WorldWideScience

Sample records for international legal metrology

  1. IT Security Standards and Legal Metrology - Transfer and Validation

    Science.gov (United States)

    Thiel, F.; Hartmann, V.; Grottker, U.; Richter, D.

    2014-08-01

    Legal Metrology's requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408). We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology's requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany's Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID) are incorporated. A verification approach to check for meeting Legal Metrology's requirements by their interpretation through Common Criteria's generic requirements is also presented.

  2. Metrological legal frame in the field of the photon dosimetry of radiotherapy in Cuba

    International Nuclear Information System (INIS)

    Walwyn S, G.; Gutierrez L, S.; Gonzalez R, N.

    2006-01-01

    The Clinical Dosimetry in the planning of the doses to administer to patients under radiant treatment is of great importance. At the moment the clinical dosemeters its are manufactured with a high technology but errors of production or manipulation cannot be discarded that lead to errors in this planning. It also exists, a group of metrological and of operation parameters that are not checked in a routine calibration, and for those that are checked, legal base that restricts its use in cases of bad operation doesn't exist. This motivated to the Cuban standard elaboration NC 352:2005, for the verification of reference dosemeters of radiotherapy, process that trafficked for an exhaustive search and study of standards and international technical reports, selecting as base document, the standard IEC 60731:1997, for essays of approval of model of clinical dosemeters used in radiotherapy. The present article shows the main technical aspects considered and the requirements and verification methods for the declaration of aptitude of the dosemeters. This document constitutes the scientific base for the implementation from a verification service to national level and an important contribution to the standardization of the metrology of ionizing radiations of Cuba. (Author)

  3. Slovak Office of Standards, Metrology and Testing. Annual Report 2001

    International Nuclear Information System (INIS)

    2002-01-01

    A brief account of activities carried out by the Slovak Office of Standards, Metrology and Testing of the Slovak Republic in 2001 is presented. These activities are reported under the headings: (1) Introduction by the President of the Slovak Office of Standards, Metrology and Testing; (2) The Vice-president's Unit Standardization and Quality; (3) The President's Office; (4) Chief Inspector Department; (5) Legislative-juridical Department; (6) Department of Economy; (7) Department of International Co-operation; (8) Department of European Integration; (9) Department of Metrology; (10) Department of Testing; (11) Department of the Cyclotron Centre SR; (12) Slovak Institute of Metrology; (13) Slovak Standards Institution; (14) Slovak Metrology Inspectorate; (15) Slovak Legal Metrology; (16) Measuring Techniques - Technocentre - MTT; Abbreviations; (17) Technical Testing Institute Piestany; (18) Testing Institute of Transport and Earthmoving Machinery - SUDST

  4. 7th International Workshop on Advanced Optical Imaging and Metrology

    CERN Document Server

    2014-01-01

    In continuation of the FRINGE Workshop Series this Proceeding contains all contributions presented at the 7. International Workshop on Advanced Optical Imaging and Metrology. The FRINGE Workshop Series is dedicated to the presentation, discussion and dissemination of recent results in Optical Imaging and Metrology. Topics of particular interest for the 7. Workshop are: - New methods and tools for the generation, acquisition, processing, and evaluation of data in Optical Imaging and Metrology (digital wavefront engineering, computational imaging, model-based reconstruction, compressed sensing, inverse problems solution) - Application-driven technologies in Optical Imaging and Metrology (high-resolution, adaptive, active, robust, reliable, flexible, in-line, real-time) - High-dynamic range solutions in Optical Imaging and Metrology (from macro to nano) - Hybrid technologies in Optical Imaging and Metrology (hybrid optics, sensor and data fusion, model-based solutions, multimodality) - New optical sensors, imagi...

  5. Legal Time of the Republic of Colombia and its international traceability using the Cesium Atomic Clock - Time and Frequency National Standard

    Science.gov (United States)

    Hernández Forero, Liz Catherine; Bahamón Cortés, Nelson

    2017-06-01

    Around the world, there are different providers of timestamp (mobile, radio or television operators, satellites of the GPS network, astronomical measurements, etc.), however, the source of the legal time for a country is either the national metrology institute or another designated laboratory. This activity requires a time standard based on an atomic time scale. The International Bureau of Weights and Measures (BIPM) calculates a weighted average of the time kept in more than 60 nations and produces a single international time scale, called Coordinated Universal Time (UTC). This article presents the current time scale that generates Legal Time for the Republic of Colombia produced by the Instituto Nacional de Metrología (INM) using the time and frequency national standard, a cesium atomic oscillator. It also illustrates how important it is for the academic, scientific and industrial communities, as well as the general public, to be synchronized with this time scale, which is traceable to the International System (SI) of units, through international comparisons that are made in real time.

  6. Social profit in the context of the activities at Fluids Measurement Sector in Legal Metrology Department - Inmetro

    Science.gov (United States)

    Cinelli, L. R.; Silva, L. G.; Junior, E. A.; Almeida, R. O.

    2018-03-01

    This article was prepared in the context of the work of the Fluids Measurement Sector (Seflu) of the Legal Metrology Department of Inmetro (Dimel) in order to try to answer the following question: What is the magnitude of Social Profit generated for brazilian society from the existence of legal control of measuring instruments within the scope of this sector? In this sense, some examples of a case study containing the main measurement instruments related to the evaluation process of models performed at the Seflu are presented.

  7. Nuclear forensics-metrological basis for legal defensibility

    International Nuclear Information System (INIS)

    Leggitt, J.; Inn, K.; Goldberg, S.; Essex, R.; LaMont, S.; Chase, S.

    2009-01-01

    The admissibility of nuclear forensics measurements and opinions derived from them in US Federal and State courts are based on criteria established by the US Supreme Court in the case of Daubert v. Merrell Dow and the 2000 Amendment of Rule 702 of the Federal Rules of Evidence. These criteria are being addressed by new efforts that include the development of certified reference materials (CRMs) to provide the basis for analytical method development, optimization, calibration, validation, quality control, testing, readiness, and declaration of measurement uncertainties. Quality data is crucial for all stages of the program, from R and D, and database development, to actual casework. Weakness at any point in the program can propagate to reduce the confidence of final conclusions. The new certified reference materials will provide the necessary means to demonstrate a high level of metrological rigor for nuclear forensics evidence and will form a foundation for legally defensible nuclear chemical analysis. The CRMs will allow scientists to devise validated analytical methods, which can be corroborated by independent analytical laboratories. CRMs are required for ISO accreditation of many different analytical techniques which may be employed in the analysis of interdicted nuclear materials. (author)

  8. Measuring up to the challenges of the 21st century. An international evaluation of the Centre for Metrology and Accreditation

    Energy Technology Data Exchange (ETDEWEB)

    Clapman, P.; Kaarls, R.; Temmes, M.

    1997-04-01

    The international evaluation of the Centre for Metrology and Accreditation (MIKES) is part of the process in which all relevant industrial and technology policy measures and organizations under the auspices of the Ministry of Trade and Industry (MTI) are being evaluated with the aim of improving their effectiveness. The overall conclusion of the evaluation is that MIKES is serving the country well. An effective national measurement system (FINMET) is being maintained which provides a wide range of calibration services covering most of the nation`s needs. The accreditation service (FINAS) is now well established, is operating effectively, and has good prospects for growth. The evaluators present, however, a number of proposals (including 33 specific recommendations) where they feel that the metrology and accreditation arrangements could be better-suited to meet future national and international challenges. According to the recommendations the Finnish quality policy framework should be developed in a consistent way. There is a need of a comprehensive governmental quality policy statement upon which the inter-ministry coordination and harmonization of various conformity assessment activities can be based. MIKES should retain its current status as an agency within MTI. The national measurement system should be more centralised and a new purpose-built national standards laboratory should be procured. The responsibility for legal metrology should be transferred to MIKES. The terms of reference and membership of Advisory Committee for Metrology, as well as the Advisory Committee for Accreditation should be revised to ensure wider representation of all relevant, and especially industrial interests

  9. Scientific language and metrology; El lenguaje cientificio y la metrologia

    Energy Technology Data Exchange (ETDEWEB)

    Campo Maldonado, D. del; Martin Blasco, B.; Prieto Esteban, E.

    2011-07-01

    The International System of Units (SI) reflects all the decisions and recommendations regarding units of measurement issued by the General Conference on Weights and Measures, including rules for writing the names and symbols of measurement units and for expressing the values of quantities. Even though the SI is internationally accepted and is the declared legal system whose use is obligatory in Spain, the Spanish Metrology Centre has been detecting an incorrect use of the units of measurement both in textbooks at all levels and in scientific articles. (Author) 5 refs.

  10. Present scenery of cuban legislation in the field of legal verification of dosimetric instruments used in radiological protection

    International Nuclear Information System (INIS)

    Salas G, Walwyn; Morales Monzon, J.A.; Hernandez Blanche, E.

    2001-01-01

    The main objective of legal metrology is to ensure the public guaranty from the point of view of safety, and the suitable accuracy of the measurements that are made on health, environmental applications, and trade. The International Organization of Legal Metrology included the ionizing radiation field on those for which the use of the verified measuring instruments are suggested. . The paper presents the advances of Cuban legislation in this field, promoted by issue of the Decree-Law 183 of Metrology. As part of such advances, the Cuban standards for verification NC 44:1999 'X and Gamma Radiation Measuring Instruments. Verification methods' is discussed. This standard was elaborated in the Cuban Secondary Standard Dosimetry Laboratory, and it is based on the available relevant international standards. Results from verification service during the year 2000 are also provided.(author)

  11. Metrological inspection of ionization chamber radioactivity meters used in nuclear medicine

    International Nuclear Information System (INIS)

    Szoerenyi, A.; Vagvoelgyi, J.

    1983-01-01

    According to the Hungarian legislation on legal metrology, any measurement involving legal effects (e.g. measurements in nuclear medicine) can only be performed by calibrated measuring instruments. The paper outlines the method and results of calibration for 125 I and 131 I radionuclide standard references used in Hungarian nuclear medical practice. The experiences proved that the radionuclide standards, similarly to radiation dosemeters, should be submitted for metrological inspection. (author)

  12. The International Legal Personality of the Individual

    DEFF Research Database (Denmark)

    Kjeldgaard-Pedersen, Astrid

    This book scrutinizes the relationship between the concept of international legal personality as a theoretical construct and the position of the individual as a matter of positive international law. By testing four main theoretical conceptions of international legal personality against historical...... to transform during the second half of the twentieth century so as to include individuals as its subjects. Rather, the answer to the question of individual rights and obligations under international law is—and always was—solely contingent upon the interpretation of international legal norms. It follows......, of course, that the entities governed by a particular norm tell us nothing about the legal system to which that norm belongs. Instead, the distinction between international and national legal norms turns exclusively on the nature of their respective sources. Against the background of these insights...

  13. Utilization of the research and measurement reactor Braunschweig for neutron metrology

    International Nuclear Information System (INIS)

    Alberts, W.G.

    1982-01-01

    The objectives of the Physikalisch-Technische Bundesanstalt (PTB) with regard to neutron metrology are briefly described. The use of the PTB's Research and Measuring Reactor as neutron source for metrological purposes is discussed. Reference neutron beams are described which serve as irradiation facilities for the calibration of detectors for radiation protection purposes in the frame of the legal metrology work in the PTB. (orig.) [de

  14. Metrology and testing

    International Nuclear Information System (INIS)

    2010-01-01

    The chapter presents the Metrology Service of Ionizing Radiation (SEMRI), the Metrology Service of Radioisotopes (SEMRA), the External Individual Monitoring Service (SEMEX), the Internal Individual Monitoring Service (SEMIN) and the associated laboratories, the analysis of environmental samples, system for management of quality from IRD and the National Program for intercomparison results of environmental samples analysis to radioisotopes determination

  15. IMPERATIVES OF THE INTERNATIONAL POLITICAL AND LEGAL ORDER

    Directory of Open Access Journals (Sweden)

    Elena IFTIME

    2016-08-01

    Full Text Available In this paper, we intend to discuss a topic of particular importance, given that it addresses the imperatives of international political and legal order, as they appear in the light of current international law. It is an issue of great complexity, of very wide current interest because the international law that establishes and maintains an international legal order is a real energetic factor of organization of international community life. So viewed, the rules of international nature respond to the current acute need of founding the relations in this field and of meeting the common needs of the members of international society. We considered that by comparison with the internal legal order reflecting the health inscribed in this order, the international legal order is influenced by the structuring and training of the mondial community. Therefore we shall insist on the principal model of organizing international life – the state – to be viewed and analyzed in a double perspective: as an internal sovereign authority and as an actor on the scene of international life. In both instances, the state provides the foundation of legal order (domestic or international for that law has always been the expression of the state wish.

  16. Metrology Measurement Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Glen E. Gronniger

    2007-10-02

    This document contains descriptions of Federal Manufacturing & Technologies (FM&T) Metrology capabilities, traceability flow charts, and the measurement uncertainty of each measurement capability. Metrology provides NIST traceable precision measurements or equipment calibration for a wide variety of parameters, ranges, and state-of-the-art uncertainties. Metrology laboratories conform to the requirements of the Department of Energy Development and Production Manual Chapter 13.2, ANSI/ISO/IEC ANSI/ISO/IEC 17025:2005, and ANSI/NCSL Z540-1. FM&T Metrology laboratories are accredited by NVLAP for the parameters, ranges, and uncertainties listed in the specific scope of accreditation under NVLAP Lab code 200108-0. See the Internet at http://ts.nist.gov/Standards/scopes/2001080.pdf. These parameters are summarized. The Honeywell Federal Manufacturing & Technologies (FM&T) Metrology Department has developed measurement technology and calibration capability in four major fields of measurement: (1) Mechanical; (2) Environmental, Gas, Liquid; (3) Electrical (DC, AC, RF/Microwave); and (4) Optical and Radiation. Metrology Engineering provides the expertise to develop measurement capabilities for virtually any type of measurement in the fields listed above. A strong audit function has been developed to provide a means to evaluate the calibration programs of our suppliers and internal calibration organizations. Evaluation includes measurement audits and technical surveys.

  17. Computed tomography for dimensional metrology

    DEFF Research Database (Denmark)

    Kruth, J.P.; Bartscher, M.; Carmignato, S.

    2011-01-01

    metrology, putting emphasis on issues as accuracy, traceability to the unit of length (the meter) and measurement uncertainty. It provides a state of the art (anno 2011) and application examples, showing the aptitude of CT metrology to: (i) check internal dimensions that cannot be measured using traditional...

  18. Preface: The 5th International Workshop on X-ray Mirror Design, Fabrication, and Metrology

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, Lahsen [Argonne National Laboratory, 9700 South Cass Avenue, Lemont, Illinois 60439 (United States); Goldberg, Kenneth; Yashchuk, Valeriy V. [Lawrence Berkeley National Laboratory, 1 Cyclotron Road, Berkeley, California 94720 (United States)

    2016-05-15

    Recent developments in synchrotron storage rings and free-electron laser-based x-ray sources with ever-increasing brightness and coherent flux have pushed x-ray optics requirements to new frontiers. This Special Topic gathers a set of articles derived from a subset of the key presentations of the International Workshop on X-ray Mirrors Fabrication (IWXM-2015) and Metrology held at Lawrence Berkley National Laboratory, Berkeley, California, USA, July 14–16, 2015. The workshop objective was to report on recent progress in x-ray synchrotron radiation mirrors fabrication as well as on new developments in related metrology tools and methods.

  19. INTERNATIONALLY LEGAL MEASURES TO COMBAT TERRORIST FINANCING

    Directory of Open Access Journals (Sweden)

    Yuniarti Yuniarti

    2014-09-01

    Full Text Available Following the terrorist attacks in the USA on September 11th, 2001, it was discovered that money laundering was a significant source of finance for terrorists. Although, the amount of money that involve is not as involve as in drug and gun trafficking, terrorist financing had been the most important substance to be monitor. Further, various legal measures have been taken internationally in order to combat terrorist financing. This research analyses the legal measures that have been taken internationally and at EU level to combat terrorist financing. Key words: Money Laundering, Terrorist Financing, International Legal measures, EU.

  20. Metrology of electrical quantum

    International Nuclear Information System (INIS)

    Camon, A.

    1996-01-01

    Since 1989 the electrical metrology laboratory of TPYCEA and the low temperature physics department of ICMA have been collaborating in the development of electrical quantum metrology. ICMA has been mainly dedicated to implement the state of the art quantum standards for which its experience on cryogenics, superconductivity and low noise instrumentation was essential. On the other hand TPYCEA concentrated its efforts on the metrological aspects, in which it has great experience. The complimentary knowledge of both laboratories, as well as the advice obtained from several prestigious metrology institutes was the key to successful completion of the two projects so far developed: i) The Josephson voltage standard (1989-1991) ii) The quantum Hall resistance standard (1991-1996) This report contains a description of both projects. Even though we can consider that the two projects are finished from the instrumental and metrological point of view, there is still a strong cooperation between ICMA and TPYCEA on the improvement of these standards, as well as on their international validation

  1. International legal positivism in a post-modern world

    NARCIS (Netherlands)

    Kammerhofer, J.; d' Aspremont, J.

    2014-01-01

    International Legal Positivism in a Post-Modern World provides fresh perspectives on one of the most important and most controversial families of theoretical approaches to the study and practice of international law. The contributors include leading experts on international legal theory who analyse

  2. Temperature metrology

    Science.gov (United States)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  3. Temperature metrology

    International Nuclear Information System (INIS)

    Fischer, J; Fellmuth, B

    2005-01-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  4. Radioactivity metrology

    International Nuclear Information System (INIS)

    Legrand, J.

    1979-01-01

    Some aspects of the radioactivity metrology are reviewed. Radioactivity primary references; absolute methods of radioactivity measurements used in the Laboratoire de Metrologie des Rayonnements Ionisants; relative measurement methods; traceability through international comparisons and interlaboratory tests; production and distribution of secondary standards [fr

  5. Importance of education and competence maintenance in metrology field (measurement science)

    International Nuclear Information System (INIS)

    Dobiliene, J; Meskuotiene, A

    2015-01-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers

  6. The quality of measurements a metrological reference

    CERN Document Server

    Fridman, A E

    2012-01-01

    This book provides a detailed discussion and commentary on the fundamentals of metrology. The fundamentals of metrology, the principles underlying the design of the SI International System of units, the theory of measurement error, a new methodology for estimation of measurement accuracy based on uncertainty, and methods for reduction of measured results and estimation of measurement uncertainty are all discussed from a modern point of view. The concept of uncertainty is shown to be consistent with the classical theory of accuracy. The theory of random measurement errors is supplemented by a very general description based on the generalized normal distribution; systematic instrumental error is described in terms of a methodology for normalizing the metrological characteristics of measuring instruments. A new international system for assuring uniformity of measurements based on agreements between national metrological institutes is discussed, in addition to the role and procedure for performance of key compari...

  7. Legal Aspects of Radioactive Waste Management: Relevant International Legal Instruments

    International Nuclear Information System (INIS)

    Wetherall, Anthony; Robin, Isabelle

    2014-01-01

    The responsible use of nuclear technology requires the safe and environmentally sound management of radioactive waste, for which countries need to have stringent technical, administrative and legal measures in place. The legal aspects of radioactive waste management can be found in a wide variety of legally binding and non-binding international instruments. This overview focuses on the most relevant ones, in particular those on nuclear safety, security, safeguards and civil liability for nuclear damage. It also identifies relevant regional instruments concerning environmental matters, in particular, with regard to strategic environmental assessments (SEAs), environmental impact assessments (EIAs), public access to information and participation in decision-making, as well as access to justice

  8. A method for standardizing the metrological unit of α-track

    International Nuclear Information System (INIS)

    Liang Xingzhong; Li Qingyang; Li Dianshu

    1989-01-01

    The conversion from the specialized unit of α-track into the legal metrological unit is described. A circulative method for measuring the transform coefficient is discussed. An experiment about the transform coefficient on a uranium deposit has made

  9. Problems of metrological supply of carbon materials production

    International Nuclear Information System (INIS)

    Belov, G.V.; Bazilevskij, L.P.; Cherkashina, N.V.

    1989-01-01

    Carbon materials and products contain internal residual stresses and have an anisotropy of properties therefore special methods of tests are required to control their quality. The main metrological problems during development, production and application of carbon products are: metrological supply of production forms and records during the development of production conditions; metrological supply of quality control of the product; metrological supply of methods for the tests of products and the methods to forecast the characteristics of product quality for the period of quaranteed service life

  10. An interferometer for high-resolution optical surveillance from GEO - internal metrology breadboard

    Science.gov (United States)

    Bonino, L.; Bresciani, F.; Piasini, G.; Pisani, M.; Cabral, A.; Rebordão, J.; Musso, F.

    2017-11-01

    This paper describes the internal metrology breadboard development activities performed in the frame of the EUCLID CEPA 9 RTP 9.9 "High Resolution Optical Satellite Sensor" project of the WEAO Research Cell by AAS-I and INETI. The Michelson Interferometer Testbed demonstrates the possibility of achieving a cophasing condition between two arms of the optical interferometer starting from a large initial white light Optical Path Difference (OPD) unbalance and of maintaining the fringe pattern stabilized in presence of disturbances.

  11. Radionuclide metrology: traceability and response to a radiological accident

    Energy Technology Data Exchange (ETDEWEB)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A., E-mail: palcruz@ird.gov.br [Instituto de Radioproteção e Dosimetria (LNMRI/IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiações Ionizantes

    2017-07-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for {sup 137}Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  12. Radionuclide metrology: traceability and response to a radiological accident

    International Nuclear Information System (INIS)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A.

    2017-01-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for 137 Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  13. Legal verification of the dosimetric instrumentation using for radiation protection in Cuba

    International Nuclear Information System (INIS)

    Walwyn, A.; Morales, J.A.

    1999-01-01

    By April of 1998 the Decree law 183 of Metrology was published at the Gaceta Oficial de la Republica de Cuba. It establishes the principles and general regulations for the organisation and juridical system of the metrological activity in Cuba. In the radiation protection field this legislation promote the establishment of a verification service of radiation measuring instruments used in the practices with radiation sources in the country. The limitations of old Cuban standards of verification related to dosimetric quantities and to the types of instruments for those which these standards are applicable; and in addition, the publication of new international standards that includes the operational quantities used for the measurement of instruments, led to the elaboration of the X and Gamma Radiation Meters Used in Radiation Protection standard. The requirements of metrological aptitude are taken from some test procedures described in the International Electrotechnical Commission (IEC) standards on photon monitoring equipment. The Secondary Standard Dosimetry Laboratory of the Centre for Radiation Protection and Higiene will start the verification service of Radiation Protection instruments. The beginning of the service is an essential element in the improvement of the accuracy of ionisation radiation metrology in Cuba, and have an evident impact in the protection of the occupationally exposed workers, because having the instruments in good technical condition became a legal exigency to the users of ionisation radiation

  14. The International Legal Framework for Nuclear Security

    International Nuclear Information System (INIS)

    2011-01-01

    The term 'nuclear security' is generally accepted to mean 'the prevention and detection of, and response to, theft, sabotage, unauthorized access, illegal transfer or other malicious acts involving nuclear material, other radioactive substances or their associated facilities.' While the ultimate responsibility for nuclear security within a State rests entirely with that State, the need for regional and international cooperation has become increasingly evident with the growing recognition that the ability to prevent, detect and respond to the threats to nuclear security within one State is affected by the adequacy and effectiveness of nuclear security measures taken by other States, particularly when nuclear material is transported across national frontiers. Since the early 1970s, the IAEA has been called upon to play an ever increasing role in assisting States, upon request, to strengthen their national legal infrastructures and physical protection systems, as well as to facilitate regional and international efforts to enhance nuclear security, including measures to protect against nuclear terrorism. This publication brings together the legally binding primary international instruments and the internationally accepted non-binding instruments that constitute the international legal framework for nuclear security. It does not discuss the safety and safeguards related instruments, which also form a part of the broader legal framework for nuclear security. By setting out the legislative bases for the mandate of the IAEA in the area of nuclear security, it is hoped that this publication will increase awareness of the IAEA's role in facilitating national, regional and international efforts to enhance nuclear security , including measures to protect against nuclear terrorism. It is also intended to serve as a guide in carrying out the IAEA's nuclear security mandate and functions assigned to it under these instruments, including in the elaboration of nuclear security

  15. Impact of the ITRS Metrology Roadmap

    International Nuclear Information System (INIS)

    Diebold, Alain C.

    2001-01-01

    The International Technology Roadmap for Semiconductors (ITRS) provides the semiconductor industry with the timing of critical technology needs for future generations of integrated circuits. The Metrology roadmap in the ITRS describes the measurement needs based on the process requirements found in the Lithography, Front End Processes, Interconnect, and Packaging Roadmaps. This paper illustrates the impact of the Metrology Roadmap on the development of key measurement technology

  16. Development Of International Non-Governmental Organizations And Legal Traditions Of Russia

    OpenAIRE

    Alexandra A. Dorskaya

    2015-01-01

    The article examines the role of international non-governmental organizations in the maintenance and creation of a positive attitude to national legal traditions. The basic stages of development of international non-governmental organizations. Analyzed their advantages and disadvantages. Considered as the legal traditions of the Russian society are reflected in the activities of legal entities and individuals - members of international non-governmental organizations.

  17. Development Of International Non-Governmental Organizations And Legal Traditions Of Russia

    Directory of Open Access Journals (Sweden)

    Alexandra A. Dorskaya

    2015-06-01

    Full Text Available The article examines the role of international non-governmental organizations in the maintenance and creation of a positive attitude to national legal traditions. The basic stages of development of international non-governmental organizations. Analyzed their advantages and disadvantages. Considered as the legal traditions of the Russian society are reflected in the activities of legal entities and individuals - members of international non-governmental organizations.

  18. In-cell overlay metrology by using optical metrology tool

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  19. International legal protection of environment in the system of fundamental generally recognized principles of international law

    International Nuclear Information System (INIS)

    Meherremov, A.A.

    2007-01-01

    The issue of international legal protection of environment in the system of fundamental, generally recognized principles of international law is analyzed in the article taking into consideration the different opinions in legal scientific researches and international practice. It is concluded that the protection of environment for the present and next generations - is a basic principle of international legal protection of environment. The meaning of this principleis that the countries will take all necessary measures for preservation and promotion of the quality of environment for the present and next generations, as well as rational management of natural resources. Adoption and national legal implementation of specific norms, in conformity with that basic principle, is a main factor in resolution of environmental problemsand ensuring environmental security

  20. Towards nuclear disarmament: State of affairs in the international legal framework

    International Nuclear Information System (INIS)

    Fanielle, Sylvain

    2016-01-01

    Since the dawn of the nuclear era, nuclear disarmament has been one of the highest priorities of the international community in ensuring global peace and security. Accordingly, numerous multilateral and bilateral political initiatives have been launched to fulfil this objective in a comprehensive manner. Many of these political efforts have resulted in the negotiation and adoption of legal instruments, which currently comprise the international legal framework on nuclear disarmament. Despite numerous achievements, this framework appears to be at a turning point. As a matter of fact, recent political and diplomatic tensions have reminded the international community that the far-reaching objective of global nuclear disarmament is under continuous pressure. In this context, is the international legal framework on nuclear disarmament effective? This article addresses both development and effectiveness of the international legal framework on nuclear disarmament. It first describes the position of nuclear disarmament within the United Nations (UN) machinery and the related political challenges. It then focuses on the Nuclear Non-Proliferation Treaty (NPT),1 with a particular focus on the interpretation and legal requirements associated with Article VI. Finally, it provides an overview of the Nuclear-Weapon-Free Zones (NWFZs) and their role in the international denuclearization dynamics. (author)

  1. Metrological issues in molecular radiotherapy

    International Nuclear Information System (INIS)

    D'Arienzo, Marco; Capogni, Marco; Smyth, Vere; Cox, Maurice; Johansson, Lena; Bobin, Christophe

    2014-01-01

    The therapeutic effect from molecular radiation therapy (MRT), on both tumour and normal tissue, is determined by the radiation absorbed dose. Recent research indicates that as a consequence of biological variation across patients the absorbed dose can vary, for the same administered activity, by as much as two orders of magnitude. The international collaborative EURAMET-EMRP project Metrology for molecular radiotherapy (MetroMRT) is addressing this problem. The overall aim of the project is to develop methods of calibrating and verifying clinical dosimetry in MRT. In the present paper an overview of the metrological issues in molecular radiotherapy is provided. (authors)

  2. Legal Considerations for International Collaborative Research Contract

    International Nuclear Information System (INIS)

    Lee, D. S.; Oh, K. B.; Kim, H. J.; Lee, J. H.

    2007-01-01

    Though collaborative research is pure academic activity the research plan and resource allocation for the research are shaped under foam of contract. Thus, legal binding effect and compulsive instrument is adopted at the research contract. This paper aimed at guiding equal collaborative research contract in legal aspect. To reach the goal (1) enforceability and elements of international collaborative contract, (2) damage calculation and related issues with those topics shall be discussed in each section

  3. 8th Brazilian Congress on Metrology (Metrologia 2015)

    International Nuclear Information System (INIS)

    2016-01-01

    THE EIGHTH BRAZILIAN CONGRESS ON METROLOGY (METROLOGIA 2015) The United Nations celebrated 2015 as the International Year of Light. By a curious coincidence, many notable events in science and technology completed a multiple of 50 or 100 years in 2015. From the pioneering work of the wise Ibn Al-Haytham in 1015, through Fresnel, Maxwell, Einstein, the discovery of the cosmic microwave background, to the use of optical fibres in communications in 1965. Electromagnetic radiation is present in our daily lives in countless applications. It is remarkable that there is no way to think about these applications without thinking of measurements. From entangled photons to more prosaic public illumination of our daily life, we are intrinsically connected all the time with the luminous phenomena. Among other things, the light allows global communication on a large scale. It strengthens the internationalization of production processes, which brings considerable changes in relations, processes and economic structures, as well as it orients the social, political and cultural behaviour of any country. These conditions of this internationalization require interchangeability of parts of complex systems, translated into strict adherence to the standards and specifications that use increasingly accurate measurement techniques, as well as the growing demand from consumer markets for products and higher quality services. They also require innovation and improvements in domestic production to boost the competitiveness of industries in domestic and foreign markets. Thus, if the Science of Measurements is taken as a serious concern, countries are better prepared to evolve towards economic and social development. In this 8"t"h edition of the Brazilian Congress on Metrology (METROLOGIA 2015), in addition to the thematic sessions in various areas of Metrology and Conformity Assessment, we hold several satellite events. They are already traditional events or highlight important current issues

  4. Consultative committee on ionizing radiation: Impact on radionuclide metrology

    International Nuclear Information System (INIS)

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM's consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. - Highlights: • Influence of CIPM MRA on radionuclide metrology at laboratories around the world. • CCRI strategy: to be the “undisputed hub for ionizing radiation global metrology.” • CCRI Strategic Plan stresses importance of measurement confidence for stakeholder. • NMIs increasing role in radionuclide metrology by designating institutions (DIs). • NMIs and DIs establish quality systems; validate capabilities through comparisons.

  5. Future metrology needs for FEL reflective optics

    International Nuclear Information System (INIS)

    Assoufid, L.

    2000-01-01

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed

  6. Future metrology needs for FEL reflective optics.

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, L.

    2000-09-21

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed.

  7. INTERNATIONAL LEGAL FRAMEWORK FOR MEDIA

    Directory of Open Access Journals (Sweden)

    Nevenka Ronkova

    2016-04-01

    Full Text Available The analysis of the international legal framework for media in a real structural form is a challenge that needs to be scientifically proven because of the exceptional role of media in general and its constant and substantial impact on the democratic processes taking place in the world. If we analyze media through the eyes of history, we cannot ignore the impression of the exceptional importance of freedom of expression as the source and promoter of many substantive changes and valuable components in the overall functioning of social and political settings. In this regard, special attention is given to the impact of media on contemporary trends related to the EU integration process, the development of democracy and the rule of law. It particularly emphasizes the freedom of expression, respect for values and standards principles, human rights and freedoms. The purpose of this paper is to analyze the international legal framework for the media and to show the determination of the most important covenants which represent a source of media law containing rules for the creation and implementation of media freedom, the expressive quality of ideas and definitely and inevitably this paper stresses the power of the media.

  8. Realizing "value-added" metrology

    Science.gov (United States)

    Bunday, Benjamin; Lipscomb, Pete; Allgair, John; Patel, Dilip; Caldwell, Mark; Solecky, Eric; Archie, Chas; Morningstar, Jennifer; Rice, Bryan J.; Singh, Bhanwar; Cain, Jason; Emami, Iraj; Banke, Bill, Jr.; Herrera, Alfredo; Ukraintsev, Vladamir; Schlessinger, Jerry; Ritchison, Jeff

    2007-03-01

    The conventional premise that metrology is a "non-value-added necessary evil" is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled "value-added" processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction (OPC), design for manufacturability (DFM), and advanced process control (APC) are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to "key enabler of large revenues," debunking the out-of-date premise that metrology is "non-value-added." Examples used will be from critical dimension (CD

  9. PREFACE: 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013)

    Science.gov (United States)

    Fu, Wei-En

    2014-03-01

    hospitality. It is my privilege and pleasure to welcome you all to the 14th International Conference on Metrology and Properties of Engineering Surfaces here in Taipei. Tom Thomas Halmstad, 1st June 2013 Greetings from Chairman of Local Organizing CommitteeVictor Lin It is the great honor of Center for Measurement Standards (CMS), metrology group of Industrial Technology Research Institute (ITRI), to host the 14th International Conference on Metrology and Properties of Engineering Surfaces (Met & Props 2013) from 17-21 June, 2013, in Taipei, Taiwan. In collaboration with four local universities, National Taiwan University (NTU), National Cheng-Kung University (NCKU), National Taiwan University of Science and Technology (NTST) and National Tsing-Hua University (NTHU), we have spent more than one year to prepare this Conference since the approval by the International Programme Committee (IPC). With the guidance from the IPC, we are able to go through the laborious, but important, process of paper selection and review from more than 100 submissions, and also to maintain the tradition in gathering the high quality and state-of-the-art papers. Finally, more than 65 full papers are collected in the programme (oral and poster), and over 120 surface metrologists from 17 countries (or economies) will attend the Conference. As stated in the preface by Professor Thomas, this series of conferences were founded by Tom and late Professor Ken Stout in the United Kingdom more than thirty years ago. I was lucky to join Ken's research group in Birmingham, and to start my journey over surface metrology in 1989, under the financial support from ITRI. With the encouragement from Professor Liam Blunt and endeavors of my colleagues, we are able to hold the Conference first time in emerging Asia, and to ''carry on the heritage and pave the way to the future'' (a Chinese proverb) in surface metrology. Taiwan is also known as Formosa, from Portuguese Ilha Formosa, which means ''Beautiful Island

  10. FOREWORD: Materials metrology Materials metrology

    Science.gov (United States)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  11. THE COURT OF JUSTICE OF THE EUROPEAN UNION AND INTERNATIONAL LEGAL ORDER

    Directory of Open Access Journals (Sweden)

    TETYANA KOMAROVA

    2017-01-01

    Full Text Available The author discusses the relationship between two legal orders: international law and European Union (EU law. The main provisions of this relationship have been established through the precedential practice of the Court of Justice of the European Union – the EU’s main judicial body. This kind of research seems important because of the gap in the theory of international law caused by the immutable dogma of the supremacy of international law. However, modern legal practice demonstrates a certain fragmentation of the international legal order because of the impact of the existence and development of regional supranational legal orders. The EU legal order, with its own special nature (sui generis, is undoubtedly one of the most developed among them. The Court of Justice of the European Union performs a crucial role in the EU legal system concerning application and interpretation of EU law. It provides a uniform interpretation of this law for the purposes of development of supranational integration. In this context the Court of Justice the European Union establishes the status of European law and its relationship with the national legal systems and international law. The Court acts as protector of the EU legal order against the influence of other legal orders. The Court’s precedential practice reveals EU law’s tendency towards its constitutionalization and the development of its autonomy. The latest practice indicates the Court’s powers to review the EU institutions’ acts in relation to the implementation of UN Security Council resolutions. This proves the Court’s ability to establish indirect control even over UN acts.

  12. Chemical metrology, strategic job for the Chilean Nuclear Energy Commission

    International Nuclear Information System (INIS)

    Gras, Nuri; Munoz, Luis; Cortes, Eduardo

    2001-01-01

    The National Standardization Institute's (INN) Metrology unit prepared a study in 1996 to evaluate the impact of metrological activity in Chile. This study was based on a survey of the supply and demand of metrological services and on studies of the behavior of the production system and technological services in Chile during the period 1990-1996. With the information obtained in this study the economic impact resulting from the lack of a national metrology system could be evaluated. This impact was estimated to be a 5% loss in gross national product equal to 125-500 million dollars because of direct product rejection in the mining, fisheries, agricultural and manufacturing sectors. Chemical measurements are responsible for 50% of these losses. In response to this need and coordinated by the INN, a metrological network of reference laboratories began to operate in 1997 for the principal physical magnitudes (mass, temperature, longitude and force) and a CORFO-FDI project began in 2001 that includes the chemical magnitudes. The Chilean Nuclear Energy Commission, aware of the problem's importance and the amount of economic damage that the country may suffer, as a result of these deficiencies, has formed a Chemical Metrology Unit to provide technical support. It aims to raise the standards of local analytical laboratories by providing international recognition to the export sector. Nuclear analytical techniques are used as reference methods. This work describes the laboratories that are included in this Chemical Metrology Unit and the historical contribution to the development of local analytical chemistry. The national and international projects are described together with the publications they have generated. The quality assurance program applied to the laboratories is described as well, which has led to the accreditation of the analytical chemical assays. The procedures used for validation and calculation of uncertain nuclear methodologies are described together with

  13. Means to verify the accuracy of CT systems for metrology applications (In the Absence of Established International Standards)

    International Nuclear Information System (INIS)

    Lettenbauer, H.; Georgi, B.; Weib, D.

    2007-01-01

    X-ray computed tomography (CT) reconstructs an unknown object from X-ray projections and has long been used for qualitative investigation of internal structures in industrial applications. Recently there has been increased interest in applying X-ray cone beam CT to the task of high-precision dimensional measurements of machined parts, since it is a relatively fast method of measuring both inner and outer geometries of arbitrary complexity. The important information for the user in dimensional metrology is if measured elements of a machined part are within the defined tolerances or not. In order to qualify cone beam CT as an established measurement technology, it must be qualified in the same manner as established measurement technologies such as coordinate measurement machines (CMMs) with tactile or optical sensors. In international standards artefacts are defined that are calibrated by certified institutions. These artefacts are defined by certain geometrical elements. CT measurements are performed on the reconstructed object volume, either directly or using an intermediate surface-extraction step. The results of these measurements have to be compared to the values of the calibrated elements; the level of agreement of the results defines the accuracy of the measurements. By using established methods to define measurement uncertainty a very high level of acceptance in dimensional metrology can be reached for the user. Only if results are comparable to standards of the established technologies the barriers of entry into metrology will be removed and all benefits of this technology will be available for the user. (authors)

  14. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  15. Metrology and ionospheric observation standards

    Science.gov (United States)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  16. The foundations of the international legal order

    NARCIS (Netherlands)

    d' Aspremont, J.

    2009-01-01

    The multifaceted character of globalization constantly confuses our understanding of the theoretical foundations of the global legal order. One of the most common answers to the complexity of any such undertaking has been provided by international constitutionalists, who have advocated a conception

  17. Timelines, borderlines and conflicts: the historical evolution of the legal divide between international and non-international armed conflicts

    NARCIS (Netherlands)

    Bartels, R.

    2009-01-01

    Calls have been made in recent years for the legal distinction between international and non-international armed conflicts to be removed. Also as of late, confusion regarding the applicable legal regime has been created by so-called transnational conflicts involving non-state entities. These

  18. Characterization and Metrology for ULSI Technology: 1998 International Conference. Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    Seiler, D.G. [NIST, Gaithersburg, MD 20899 (United States); Diebold, A.C. [SEMATECH, Austin, TX 78741 (United States); Bullis, W.M. [SEMI, Mountain View, CA 94043 (United States); Schaffner, T.J. [Texas Instruments, Dallas, TX 75221 (United States); McDonald, R. [Intel Corp., Santa Clara, CA 95050 (United States); Walters, E.J. [NIST, Gaithersburg, MD 20899 (United States)

    1998-11-01

    These proceedings represent papers presented at the 1998 International Conference on Characterization and Metrology for ULSI Technology (INIST) in March 1998. The Conference reviewed important semiconductor techniques that are crucial to continued advancements in the semiconductor industry. It brought together leaders, scientists, and engineers concerned with all aspects of the technology and characterization techniques for silicon research. The topics covered included front end processes consisting of modeling, materials, gate dielectrics, doping and wafer issues. Interconnects were discussed in detail including deposition technology. Lithography and patterning was also discussed. Finally, packaging/assembly of the integrated circuits and materials characterization including dopant profiling was discussed. The papers provide an effective portrayal of industry characterization needs and point out some of the problems that must be addressed by industry, academia, and government to continue the dramatic progress in semiconductor technology. There were 141 papers included in these proceedings, out of which 9 have been abstracted for the Energy,Science and Technology database.(AIP)

  19. IT Security Standards and Legal Metrology – Transfer and Validation

    Directory of Open Access Journals (Sweden)

    Thiel F.

    2014-01-01

    Full Text Available Legal Metrology’s requirements can be transferred into the IT security domain applying a generic set of standardized rules provided by the Common Criteria (ISO/IEC 15408. We will outline the transfer and cross validation of such an approach. As an example serves the integration of Legal Metrology’s requirements into a recently developed Common Criteria based Protection Profile for a Smart Meter Gateway designed under the leadership of the Germany’s Federal Office for Information Security. The requirements on utility meters laid down in the Measuring Instruments Directive (MID are incorporated. A verification approach to check for meeting Legal Metrology’s requirements by their interpretation through Common Criteria’s generic requirements is also presented.

  20. International acceptance of irradiated food. Legal aspects

    International Nuclear Information System (INIS)

    1979-01-01

    The three international organizations competent in the field of irradiation processing for the preservation of food (FAO, WHO, IAEA), convened, at the end of 1977, an Advisory Group to revise and update the recommendations of a similar group which met in early 1972. The Advisory Group considered how national regulations could be harmonized so as to facilitate the international movement of irradiated food. This publication contains the Report of the Advisory Group, which summarizes the considerations of the Group on regulatory control over the irradiation plant and irradiation of foods, and on assurances for comparability of control (international labelling and documentation). Annexes 1 to 6 are included in order to complete the relevant information on the legal aspects of this subject. They include a Draft General Standard for Irradiated Foods, a Draft Code of Practice for the Operation of Radiation Facilities Used for the Treatment of Foods, Recommendations of a Consultation Group on the Legal Aspects of Food Irradiation, a Listing of the Legislation on Food Irradiation Adopted in Member States (1971-1976), and Model Regulations for the Control of and Trade in Irradiated Food

  1. Legal aspects and international implications of food irradiation

    International Nuclear Information System (INIS)

    Gerard, Alain.

    1977-11-01

    This paper reports on the status of work on food irradiation at international level, namely the IAEA/FAO/WHO Vienna recommendations, the proposed EEC directive, and the Codex alimentarius draft standards. It then deals with the legal aspects of the subject, in particular the problems concerning definitions, controls and instructions, and finally reviews the regulations for international trade in irradiated foodstuffs. (NEA) [fr

  2. Metrology and quality control handbook

    International Nuclear Information System (INIS)

    Hofmann, D.

    1983-01-01

    This book tries to present the fundamentals of metrology and quality control in brief surveys. Compromises had to be made in order to reduce the material available to a sensible volume for the sake of clarity. This becomes evident by the following two restrictions which had to made: First, in dealing with the theoretical principles of metrology and quality control, mere reference had to be made in many cases to the great variety of special literature without discussing it to explain further details. Second, in dealing with the application of metrology and quality control techniques in practice, only the basic qantities of the International System of Units (SI) could be taken into account as a rule. Some readers will note that many special measuring methods and equipment known to them are not included in this book. I do hope, however, that this short-coming will show to have a positive effect, too. This book will show the reader how to find the basic quantities and units from the derived quantities and units, and the steps that are necessary to solve any kind of measuring task. (orig./RW) [de

  3. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    Science.gov (United States)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  4. International Legal Realities of Migrant Labour Rights

    Directory of Open Access Journals (Sweden)

    Giovanni Di Lieto

    2015-11-01

    Full Text Available This paper is concerned with the evolutionary process of the global governance of labour migration, which has led to the progressive privatisation and commodification of international labour mobility. The focus is on the effects of such change on working conditions for migrants. In particular, the analysis is concerned with legal conceptualisations of labour mobility and their repercussions on the normative process of migration governance. For people on the move, the journey almost always entails sacrifices and uncertainty. The possible costs range from the emotional cost of separation from families and friends to high monetary fees. The stakes can include the physical dangers of working in dangerous occupations, or even a risk of death, such as in the case of illegal border crossings. Nevertheless, millions of people are still attempting movement, facing these costs or risks, in order to improve their living standards and those of their families. The implications for international human rights law are striking. Thus, attention is drawn to the human rights of all migrant workers, and more specifically to the protection and development of basic labour rights in the framework of international organisations. Ultimately, the main point of this study is to evaluate to what extent the freedom to choose where to work and to do so in decent conditions is a current legal reality at both the national and international levels.

  5. What Deters Crime? Comparing the Effectiveness of Legal, Social, and Internal Sanctions Across Countries.

    Science.gov (United States)

    Mann, Heather; Garcia-Rada, Ximena; Hornuf, Lars; Tafurt, Juan

    2016-01-01

    The question of what deters crime is of both theoretical and practical interest. The present paper focuses on what factors deter minor, non-violent crimes, i.e., dishonest actions that violate the law. Much research has been devoted to testing the effectiveness of legal sanctions on crime, while newer models also include social sanctions (judgment of friends or family) and internal sanctions (feelings of guilt). Existing research suggests that both internal sanctions and, to a lesser extent, legal sanctions deter crime, but it is unclear whether this pattern is unique to Western countries or robust across cultures. We administered a survey study to participants in China, Colombia, Germany, Portugal, and USA, five countries from distinct cultural regions of the world. Participants were asked to report the likelihood of engaging in seven dishonest and illegal actions, and were asked to indicate the probability and severity of consequences for legal, friend, family, and internal sanctions. Results indicated that across countries, internal sanctions had the strongest deterrent effects on crime. The deterrent effects of legal sanctions were weaker and varied across countries. Furthermore, the deterrent effects of legal sanctions were strongest when internal sanctions were lax. Unexpectedly, social sanctions were positively related to likelihood of engaging in crime. Taken together, these results suggest that the relative strengths of legal and internal sanctions are robust across cultures and dishonest actions.

  6. What Deters Crime? Comparing the Effectiveness of Legal, Social, and Internal Sanctions Across Countries

    Directory of Open Access Journals (Sweden)

    Heather eMann

    2016-02-01

    Full Text Available The question of what deters crime is of both theoretical and practical interest. The present paper focuses on what factors deter minor, non-violent crimes, i.e. dishonest actions that violate the law. Much research has been devoted to testing the effectiveness of legal sanctions on crime, while newer models also include social sanctions (judgment of friends or family and internal sanctions (feelings of guilt. Existing research suggests that both internal sanctions and, to a lesser extent, legal sanctions deter crime, but it is unclear whether this pattern is unique to Western countries or robust across cultures. We administered a survey study to participants in China, Colombia, Germany, Portugal, and USA, five countries from distinct cultural regions of the world. Participants were asked to report the likelihood of engaging in seven dishonest and illegal actions, and were asked to indicate the probability and severity of consequences for legal, friend, family, and internal sanctions. Results indicated that across countries, internal sanctions had the strongest deterrent effects on crime. The deterrent effects of legal sanctions were weaker and varied across countries. Furthermore, the deterrent effects of legal sanctions were strongest when internal sanctions were lax. Unexpectedly, social sanctions were positively related to likelihood of engaging in crime. Taken together, these results suggest that the relative strengths of legal and internal sanctions are robust across cultures and dishonest actions.

  7. Metrology of image placement

    International Nuclear Information System (INIS)

    Starikov, Alexander

    1998-01-01

    Metrology of registration, overlay and alignment offset in microlithography are discussed. Requirements and limitations are traced to the device ground rules and the definitions of edge, linewidth and centerline. Precision, accuracy, system performance and metrology in applications are discussed. The impact of image acquisition and data handling on performance is elucidated. Much attention is given to the manufacturing environment and effects of processing. General new methods of metrology error diagnostics and technology characterization are introduced and illustrated. Applications of these diagnostics to tests of tool performance, error diagnostics and culling, as well as to process integration in manufacturing are described. Realistic overlay reference materials and results of accuracy evaluations are discussed. Requirements in primary standards and alternative metrology are explained. The role and capability of SEM based overlay metrology is described, along with applications to device overlay metrology

  8. The Legal Strength of International Health Instruments - What It Brings to Global Health Governance?

    Directory of Open Access Journals (Sweden)

    Haik Nikogosian

    2016-12-01

    Full Text Available Public health instruments have been under constant development and renewal for decades. International legal instruments, with their binding character and strength, have a special place in this development. The start of the 21st century saw, in particular, the birth of the first World Health Organization (WHO-era health treaties – the WHO Framework Convention on Tobacco Control (WHO FCTC and its first Protocol. The authors analyze the potential impact of these instruments on global health governance and public health, beyond the traditional view of their impact on tobacco control. Overall, the very fact that globally binding treaties in modern-era health were feasible has accelerated the debate and expectations for an expanded role of international legal regimes in public health. The impact of treaties has also been notable in global health architecture as the novel instruments required novel institutions to govern their implementation. The legal power of the WHO FCTC has enabled rapid adoption of further instruments to promote its implementation, thus, enhancing the international instrumentarium for health, and it has also prompted stronger role for national legislation on health. Notably, the Convention has elevated several traditionally challenging public health features to the level of international legal obligations. It has also revealed how the legal power of the international health instrument can be utilized in safeguarding the interests of health in the face of competing agendas and legal disputes at both the domestic and international levels. Lastly, the legal power of health instruments is associated with their potential impact not only on health but also beyond; the recently adopted Protocol to Eliminate Illicit Trade in Tobacco Products may best exemplify this matter. The first treaty experiences of the 21st century may provide important lessons for the role of legal instruments in addressing the unfolding challenges in global

  9. Nanoelectronics: Metrology and Computation

    International Nuclear Information System (INIS)

    Lundstrom, Mark; Clark, Jason V.; Klimeck, Gerhard; Raman, Arvind

    2007-01-01

    Research in nanoelectronics poses new challenges for metrology, but advances in theory, simulation and computing and networking technology provide new opportunities to couple simulation and metrology. This paper begins with a brief overview of current work in computational nanoelectronics. Three examples of how computation can assist metrology will then be discussed. The paper concludes with a discussion of how cyberinfrastructure can help connect computing and metrology using the nanoHUB (www.nanoHUB.org) as a specific example

  10. Legal aspects of radiation protection at the international level

    International Nuclear Information System (INIS)

    Papazian, J.

    1981-01-01

    A review is made of the respective activities of the various international organizations concerned with radiation protection at the legal level. These organizations are either non-governmental (I.C.R.P., I.C.R.U.) or governmental in which case they can act at the world (U.N., I.A.E.A., I.L.O., W.H.O.) or regional level (N.E.A., EURATOM, COMECOM). The legal impact of the recommendations or directives they issue is specified [fr

  11. Capability Handbook- offline metrology

    DEFF Research Database (Denmark)

    Islam, Aminul; Marhöfer, David Maximilian; Tosello, Guido

    This offline metrological capability handbook has been made in relation to HiMicro Task 3.3. The purpose of this document is to assess the metrological capability of the HiMicro partners and to gather the information of all available metrological instruments in the one single document. It provides...

  12. Dimensional metrology of lab-on-a-chip internal structures: a comparison of optical coherence tomography with confocal fluorescence microscopy.

    Science.gov (United States)

    Reyes, D R; Halter, M; Hwang, J

    2015-07-01

    The characterization of internal structures in a polymeric microfluidic device, especially of a final product, will require a different set of optical metrology tools than those traditionally used for microelectronic devices. We demonstrate that optical coherence tomography (OCT) imaging is a promising technique to characterize the internal structures of poly(methyl methacrylate) devices where the subsurface structures often cannot be imaged by conventional wide field optical microscopy. The structural details of channels in the devices were imaged with OCT and analyzed with an in-house written ImageJ macro in an effort to identify the structural details of the channel. The dimensional values obtained with OCT were compared with laser-scanning confocal microscopy images of channels filled with a fluorophore solution. Attempts were also made using confocal reflectance and interferometry microscopy to measure the channel dimensions, but artefacts present in the images precluded quantitative analysis. OCT provided the most accurate estimates for the channel height based on an analysis of optical micrographs obtained after destructively slicing the channel with a microtome. OCT may be a promising technique for the future of three-dimensional metrology of critical internal structures in lab-on-a-chip devices because scans can be performed rapidly and noninvasively prior to their use. © 2015 The Authors Journal of Microscopy © 2015 Royal Microscopical Society.

  13. Member States and International Legal Responsibility : Developments of the Institutional Veil

    NARCIS (Netherlands)

    Brölmann, C.

    2015-01-01

    The ‘institutional veil’ of international organizations is the linchpin for legal analysis and appraisal of the role and interrelation of international organizations, member States and organs. Through this lens the article examines in semi-broad strokes the position of international organizations’

  14. FOREWORD: CCM Second International Seminar: Pressure Metrology from 1 kPa to 1 GPa

    Science.gov (United States)

    Molinar, G. F.

    1994-01-01

    The Comité Consultatif pour la Masse et les Grandeurs Apparentées (CCM), through its High Pressure and Medium Pressure Working Groups, organized this Second International Seminar on Pressure Metrology from 1 kPa to 1 GPa, which was held at the Laboratoire National d'Essais (LNE), Paris, France, from 2 to 4 June 1993. The scope of the seminar was to review the state of the art of pressure measurements in the 1 kPa to I GPa pressure range and to present innovative contributions by standards laboratories, universities and industry. The seminar was organized in six sessions: liquid-column manometers; piston gauge pressure standards; properties of liquids and gases relevant to pressure metrology; pressure transducers and transfer standards; pressure standard comparison (methods and results); dynamic pressure measurements. Each session opened with the presentation of a review paper on major requirements in that field and, at the end of the seminar, a general discussion was organized on the actual limits of accuracy of static and dynamic pressure measurements in fluid media, and the fundamental problems in pressure metrology between 1 kPa and 1 GPa. The seminar was attended by sixty scientists from twenty-four countries, all working in the field of pressure measurements. Forty-nine papers were presented. The participation of scientists from so many countries indicates the importance of pressure metrology from the scientific and industrial points of view. Most papers were presented by scientists from national standards laboratories, with eight papers from universities and four from industry. Eleven papers reported the results of cooperative work involving metrological institutions dealing with high pressure, generally national standards laboratories, an indication that scientific links are already well established at this level. Links are also strengthening between industry and standards laboratories. Although industrial participation at the seminar was relatively small

  15. Improved capacity in ionizing radiation metrology at SANAEM

    International Nuclear Information System (INIS)

    Yucel, U.

    2014-01-01

    Full text : Turkey is planning to build nuclear power plants in the south and north coasts to supply the ever-increasing energy demand. The nuclear power plants based on old soviet technology in Armenia and Bulgaria close to Turkey's borders also makes constant monitoring of environmental radioactivity extremely important due to public health and environment contamination concerns. Radiation Metrology Division at SANAEM has been established in 2012 to provide uniformity and reliability of the measurements in the field of ionizing radiation metrology by R and D studies and by constituting, developing, keeping and extending internationally accepted reference measurement standards and techniques

  16. Academics for International Criminal Justice: The Role of Legal Scholars in Creating and Sustaining a New Legal Field

    DEFF Research Database (Denmark)

    Christensen, Mikkel Jarle

    The article is a sociological investigation into the crucial role of legal academics in the professional mobilization that characterized the creation and development of international criminal justice. Analyzing the different stages in the evolution of international criminal law culminating...

  17. Metrology/viewing system for next generation fusion reactors

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.

    1997-01-01

    Next generation fusion reactors require accurate measuring systems to verify sub-millimeter alignment of plasma-facing components in the reactor vessel. A metrology system capable of achieving such accuracy must be compatible with the vessel environment of high gamma radiation, high vacuum, elevated temperature, and magnetic field. This environment requires that the system must be remotely deployed. A coherent, frequency modulated laser radar system is being integrated with a remotely operated deployment system to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics to the laser source and imaging units that are located outside of the harsh environment. The deployment mechanism is a telescopic-mast positioning system. This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision ranging and surface mapping system

  18. THE IMPORTANCE OF LEGAL ORGANISATION OF RAPIDLY GROWING COMPANIES FOR INTERNATIONAL BUSINESS COMPETITIVENESS

    Directory of Open Access Journals (Sweden)

    Riko Novak

    2014-09-01

    Full Text Available This article discusses the importance of a company’s legal form for the process of internationalisation using a sample of 1577 Slovenian companies. We refer to previous studies and on the basis of additional statistical data evaluate whether the choice of corporate legal structure influences a company’s ability to compete internationally. In the domestic market, most companies operate as limited liability companies; this is also the most frequent legal form in which companies enter foreign markets. We conclude that the form by itself does not influence the decision to go international.

  19. Quantum metrology foundation of units and measurements

    CERN Document Server

    Goebel, Ernst O

    2015-01-01

    The International System of Units (SI) is the world's most widely used system of measurement, used every day in commerce and science, and is the modern form of the metric system. It currently comprises the meter (m), the kilogram (kg), the second (s), the ampere (A), the kelvin (K), the candela (cd) and the mole (mol)). The system is changing though, units and unit definitions are modified through international agreements as the technology of measurement progresses, and as the precision of measurements improves. The SI is now being redefined based on constants of nature and their realization by quantum standards. Therefore, the underlying physics and technologies will receive increasing interest, and not only in the metrology community but in all fields of science. This book introduces and explains the applications of modern physics concepts to metrology, the science and the applications of measurements. A special focus is made on the use of quantum standards for the realization of the forthcoming new SI (the...

  20. South Africa and nuclear energy - national and international legal aspects

    International Nuclear Information System (INIS)

    Barrie, G.N.

    1987-01-01

    This article gives an exposition of the national and international legal aspects of what appears to be a technological triumph for South Africa. The nuclear policy, facilities, aims and capabilities of the country are described, as well as its nuclear energy program and development. When the Nuclear Energy Act 92 of 1982 was promulgated, a new internal legal dispensation commenced. The main objects of the act, powers and functions of the Atomic Energy Corporation of South Africa Ltd and the Council for Nuclear Safety are stated. South Africa's official viewpoint and attitude regarding the Nuclear Non-Proliferation Treaty, the advantages and obstades to South Africa's signature and ratification of the Treaty are discussed

  1. International double (non-)taxation : comparative guidelines from European legal principles

    OpenAIRE

    Vijver, Van de, Anne

    2015-01-01

    Abstract: The principle of fairness advocates against international double taxation and international double non-taxation. Countries and international organizations (OECD, G20 and EU) have taken several initiatives against such taxation. However, these initiatives are not always effective. Also, certain legal authors question the legitimacy of the OECD and its action plan on BEPS. The essential goal of this research is to find guidelines to address international double (non-) taxation. We fir...

  2. Gender characteristics of legal conscience in internal affairs agencies staff

    Directory of Open Access Journals (Sweden)

    Kuznetsova O.V.

    2016-06-01

    Full Text Available This article presents the empirical research results of the level of legal conscience forming in law enforcement officials. The study of gender specifics of personnel is now becoming more and more relevant due to a constant increase in the number of women entering the Ministry of Internal Affairs service. The study involved 160 male and 120 female staff members. Analysis of the results revealed a general legal conscience trend typical for male and female employees which manifests in a high level of legal knowledge, adequate understanding of group relativity of moral and ethical norms, civic un-engagement and disinterest to leadership role. This trend reflects a certain viewpoint when human rights are considered to include only freedom, independence and personal self-assertion without responsibility and civic duties. It was found that female employees have higher level of legal conscience forming than male employees. This led to the conclusion of a high-availability of female employees to comply with legal regulations and requirements.

  3. Ninth international conference on metering and tariffs for energy supply. Proceedings

    International Nuclear Information System (INIS)

    1999-01-01

    Contributions to the ninth international conference on Metering and Tariffs for Energy Supply are presented. Topics reviewed include legal metrology, regulation and the commercial framework (3 papers); metering in a competitive supply (7 papers); interactive papers (13 papers); standardisation (5 papers); equipment design (5 papers); equipment manufacture and testing (5 papers); data collection and processing (6 papers); securing and enhancing revenue (5 papers); prepayment systems (5 papers); and metering as a business (4 papers). (UK)

  4. Legal control scenario applied to embedded software in measuring instruments

    International Nuclear Information System (INIS)

    Castro, C.G. de; Brandao, P.C.; Leitao, F.O.

    2013-01-01

    This paper presents a scenario of legal control of software in measuring instruments. Such control is hampered by intrinsic problems related to software analysis and verification. To circumvent these difficulties, several projects are being developed to attack different stages of legal control, such as the model type approval, periodic verifications and metrological expertise. The proposals that will arise from these projects will be discussed among the parts and may be incorporated into the measuring instruments. (author)

  5. International Legal Framework for Nuclear Security

    International Nuclear Information System (INIS)

    Moore, G.M.

    2010-01-01

    The responsibility for nuclear security rests entirely with each State. There is no single international instrument that addresses nuclear security in a comprehensive manner. The legal foundation for nuclear security comprises international instruments and recognized principles that are implemented by national authorities. Security systems at the national level will contribute to a strengthened and more universal system of nuclear security at the international level. The binding security treaties are; Convention on the Physical Protection of Nuclear Material, the 2005 amendment thereto, Safeguards Agreements between the Agency and states required in Connection with the Treaty on the Non-Proliferation of Nuclear Weapons. Model Protocol additional to agreement(s) between State(s) and the Agency for the application of Safeguards Convention on Early Notification of a Nuclear Accident, Convention on Assistance in the Case of a Nuclear Accident or Radiological Emergency, Convention on Nuclear Safety, Joint Convention on the Safety of Spent Fuel Management and on the Safety of Radioactive Waste Management

  6. The Legal Strength of International Health Instruments - What It Brings to Global Health Governance?

    Science.gov (United States)

    Nikogosian, Haik; Kickbusch, Ilona

    2016-09-04

    Public health instruments have been under constant development and renewal for decades. International legal instruments, with their binding character and strength, have a special place in this development. The start of the 21st century saw, in particular, the birth of the first World Health Organization (WHO)-era health treaties - the WHO Framework Convention on Tobacco Control (WHO FCTC) and its first Protocol. The authors analyze the potential impact of these instruments on global health governance and public health, beyond the traditional view of their impact on tobacco control. Overall, the very fact that globally binding treaties in modern-era health were feasible has accelerated the debate and expectations for an expanded role of international legal regimes in public health. The impact of treaties has also been notable in global health architecture as the novel instruments required novel institutions to govern their implementation. The legal power of the WHO FCTC has enabled rapid adoption of further instruments to promote its implementation, thus, enhancing the international instrumentarium for health, and it has also prompted stronger role for national legislation on health. Notably, the Convention has elevated several traditionally challenging public health features to the level of international legal obligations. It has also revealed how the legal power of the international health instrument can be utilized in safeguarding the interests of health in the face of competing agendas and legal disputes at both the domestic and international levels. Lastly, the legal power of health instruments is associated with their potential impact not only on health but also beyond; the recently adopted Protocol to Eliminate Illicit Trade in Tobacco Products may best exemplify this matter. The first treaty experiences of the 21st century may provide important lessons for the role of legal instruments in addressing the unfolding challenges in global health. © 2016 The

  7. Economic benefits of metrology in manufacturing

    DEFF Research Database (Denmark)

    Savio, Enrico; De Chiffre, Leonardo; Carmignato, S.

    2016-01-01

    examples from industrial production, in which the added value of metrology in manufacturing is discussed and quantified. Case studies include: general manufacturing, forging, machining, and related metrology. The focus of the paper is on the improved effectiveness of metrology when used at product...... and process design stages, as well as on the improved accuracy and efficiency of manufacturing through better measuring equipment and process chains with integrated metrology for process control.......In streamlined manufacturing systems, the added value of inspection activities is often questioned, and metrology in particular is sometimes considered only as an avoidable expense. Documented quantification of economic benefits of metrology is generally not available. This work presents concrete...

  8. Quantum metrology

    International Nuclear Information System (INIS)

    Xiang Guo-Yong; Guo Guang-Can

    2013-01-01

    The statistical error is ineluctable in any measurement. Quantum techniques, especially with the development of quantum information, can help us squeeze the statistical error and enhance the precision of measurement. In a quantum system, there are some quantum parameters, such as the quantum state, quantum operator, and quantum dimension, which have no classical counterparts. So quantum metrology deals with not only the traditional parameters, but also the quantum parameters. Quantum metrology includes two important parts: measuring the physical parameters with a precision beating the classical physics limit and measuring the quantum parameters precisely. In this review, we will introduce how quantum characters (e.g., squeezed state and quantum entanglement) yield a higher precision, what the research areas are scientists most interesting in, and what the development status of quantum metrology and its perspectives are. (topical review - quantum information)

  9. International and European legal aspects on underground geological storage of CO2

    International Nuclear Information System (INIS)

    Wall, C.; Olvstam, M.-L.; Bernstone, C.

    2005-01-01

    The often disconnected international and European legal rules regarding carbon dioxide (CO 2 ) storage in geological formations create legal uncertainty and a slow down in investments. Existing rules for waste dumping, such as the OSPAR and London Conventions implies that CO 2 storage in sub seabed geological formations is not permitted for climate change mitigating purposes. This paper emphasized that even in cases when complete certainty about the exact application of a legal rule is not possible, it is necessary to know if an activity is lawful. It also emphasized that CO 2 storage should be a priority in the international agenda. The current gaps in knowledge concerning the relevant international and European legislation directly related to CO 2 storage were identified in this paper, including long-term liability for risk of damages caused during the injection phase of the well. The current relevant legislation that is not directly concerned with CO 2 storage but which might have an impact on future legislation was also discussed along with relevant legal principles that might influence future legislation. Some of the many ongoing projects concerning CO 2 storage were reviewed along with papers and reports on regulating CO 2 storage. It was concluded that if CO 2 capture and storage is going to be a large-scale concept for mitigating climate change, the legal issues and requirements need to be an area of priority. 16 refs

  10. FOREIGN EXPERIENCE OF MANAGEMENT AND NORMATIVELY-LEGAL ADJUSTING BY INTERNATIONAL TRANSPORTATIONS

    Directory of Open Access Journals (Sweden)

    Nataliya Grigorievna Kuftinova

    2015-09-01

    Full Text Available In this article foreign experience of management is examined by international transportations and prospects of development of management information by bus transportations and transportations of loads. Normatively-legal adjusting, basic norms of domestic law as article of intergovernmental agreements on international transportations.

  11. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    Science.gov (United States)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  12. [The EFS metrology: From the production to the reason].

    Science.gov (United States)

    Reifenberg, J-M; Riout, E; Leroy, A; Begue, S

    2014-06-01

    In order to answer statutory requirements and to anticipate the future needs and standards, the EFS is committed, since a few years, in a process of harmonization of its metrology function. In particular, the institution has opted for the skills development by internalizing the metrological traceability of the main critical quantities (temperature, volumetric) measurements. The development of metrology so resulted in a significant increase in calibration and testing activities. Methods are homogenized and improved through accreditations. The investment strategies are based on more and more demanding specifications. The performance of the equipments is better known and mastered. Technical expertise and maturity of the national metrology function today are assets to review in more informed ways the appropriateness of the applied periodicities. Analysis of numerous information and data in the calibration and testing reports could be pooled and operated on behalf of the unique establishment. The objective of this article is to illustrate these reflections with a few examples from of a feedback of the EFS Pyrénées Méditerranée. The analysis of some methods of qualification, the exploitation of the historical metrology in order to quantify the risk of non-compliance, and to adapt the control strategy, analysis of the criticality of an instrument in a measurement process, risk analyses are tools that deserve to be more widely exploited for that discipline wins in efficiency at the national level. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  13. Radiation protection - quality and metrology

    International Nuclear Information System (INIS)

    Broutin, J.P.

    2002-01-01

    The radiation protection gathers three occupations: radiation protection agents; environment agents ( control and monitoring); metrology agents ( activities measurement and calibration). The quality and the metrology constitute a contribution in the technique competence and the guarantee of the service quality. This article, after a historical aspect of quality and metrology in France explains the advantages of such a policy. (N.C.)

  14. Optical vortex metrology: Are phase singularities foes or friends in optical metrology?

    DEFF Research Database (Denmark)

    Takeda, M.; Wang, W.; Hanson, Steen Grüner

    2008-01-01

    We raise an issue whether phase singularities are foes or friends in optical metrology, and give an answer by introducing the principle and applications of a new technique which we recently proposed for displacement and flow measurements. The technique is called optical vortex metrology because i...

  15. A Roadmap for Thermal Metrology

    Science.gov (United States)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  16. Protection of the African Lion: A Critical Analysis of the Current International Legal Regime

    Directory of Open Access Journals (Sweden)

    Samantha Watts

    2016-04-01

    Full Text Available This article looks at the current international regime that pertains to the African lion, a species that needs adequate protection across its range (a range that does not adhere to state boundaries. This analysis comes at a time when threats such as habitat and prey loss, retaliatory killing, trophy hunting and trade, are all impacting the remaining populations of African lions. The species is in danger of rapid population decline and possible extinction in the near future. Two decades ago there was an abundance of African lions, roughly 100 000, on the continent. But at present there are less than 32 000, while some believe there to be as little as 15 000 left. This decline is mainly due to the threats noted above. African lions are currently listed as "vulnerable" on the International Union for Conservation of Nature Red List of Threatened Species. This listing is being contested by commentators who believe that the species now requires an "endangered" status. African lion populations, and the threats to the species, extend across state boundaries. Therefore, international law is of particular importance in providing conservation and protection measures to the species. Creating conservation obligations at a global level allows for more uniform action, implementation and enforcement of legislation at regional and local levels. Therefore this article looks at each threat to African lion populations in detail and then assesses the international legal regime pertaining to each of these threats, and whether that regime is adequate. The Convention on Biological Diversity, Convention on the Conservation of Migratory Species, Convention on International Trade in Endangered Species of Wild Fauna and Flora and the Convention on Wetlands of International Importance are but some of the international instruments that are analysed. This article outlines the arguments that the international legal framework is not acceptable for the protection of the species

  17. International legal framework for geoengineering: Managing the risks of an emerging technology

    NARCIS (Netherlands)

    Du, Haomiao

    2016-01-01

    The present book “International Legal Framework for Geoengineering – Managing the Risks of an Emerging Technology” is about international law and an emerging technology called geoengineering, which refers to the large-scale manipulation of the planetary environment for counteracting anthropogenic

  18. Regional metrology organisations and the JCRB

    International Nuclear Information System (INIS)

    Hetherington, Paul

    2004-01-01

    In 1999, National Metrology Institutes (NMIs) from some 39 countries signed the International Committee of Weights and Measures (CIPM) Mutual Recognition Arrangement (MRA) in Paris. The MRA, drawn up by the CIPM, under the authority given to it in the Metre Convention, was in response to requirements of Governments and Regulators to provide a sound technical foundation for trade agreements. Core objectives of the MRA are to allow for the establishment of the degree of equivalence of national measurement standards and to provide for mutual recognition of calibration certificates issued by NMIs. This presentation will detail the evolution of the MRA. Globally, NMIs are affiliated to Regional Metrology Organisations (RMOs). The key role of the RMOs in the MRA process will be discussed along with the structure and objectives of the various RMOs worldwide. The Joint Committee of the RMOs and the BIPM (JCRB) plays a central part in the effective operation of the MRA. Its tasks, membership and output will also be described

  19. Bridging the Legitimacy Gap: A Proposal for the International Legal Recognition of INGOs

    DEFF Research Database (Denmark)

    Thrandardottir, Erla; Keating, Vincent Charles

    2018-01-01

    In this paper we argue that there is a gap between the de facto and de jure legitimacy of international non-governmental organizations (INGOs) that requires more consideration from scholars who study their role in the international system. The gradual acceptance of INGOs as de facto legitimate...... actors can be seen in the long-term expansion of their role in international norm deliberation. Despite this development, most INGOs still lack international legal recognition, and thus de jure legitimacy. We argue that this gap between de facto and de jure legitimacy creates problems for both INGOs...... and members of international society. In seeking to address this disjunction, we highlight the limits of the current literature in understanding legitimacy as primarily sociological phenomena through an examination of the accountability agenda. We then propose a template for INGO legal recognition based...

  20. FOREWORD: The 4th CCM International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa)

    Science.gov (United States)

    Legras, Jean-Claude; Jousten, Karl; Severn, Ian

    2005-12-01

    The fourth CCM (Consultative Committee for Mass and related quantities) International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa) was held at the Institute of Physics in London from 19-21 April 2005. The event, which was organized by the Low, Medium and High Pressure working groups of the CCM, was attended by in excess of one hundred participants with representatives from five continents and every regional metrology organization. The purpose of this conference is to review all the work that is devoted to the highest quality of pressure measurement by primary standards as well as the dissemination of the pressure scale. A total of 52 papers were presented orally, and 26 as posters, in sessions that covered the following topics: Latest scientific advances in pressure and vacuum metrology Innovative transfer standards, advanced sensors and new instrument development Primary (top-level) measurement standards International and regional key comparisons New approaches to calibration It is interesting the note that since the third conference in 1999 the pressure range covered has increased by two orders of magnitude to 109 Pa, to take into account more exacting scientific and industrial demands for traceable vacuum measurement. A further feature of the conference was the increased range of instrumentation and techniques used in the realization and potential realization of pressure standards. Seton Bennett, Director of International Metrology at the National Physical Laboratory, opened the conference and Andrew Wallard, Director of the Bureau International des Poids et Mesures (BIPM), gave the keynote address which described the implementation of the mutual recognition arrangement and the resulting removal of metrological barriers to international trade. Many experts have contributed significant amounts of their time to organize the event and to review the submitted papers. Thanks are due to all of these people

  1. Under the (legal radar screen: global health initiatives and international human rights obligations

    Directory of Open Access Journals (Sweden)

    Hammonds Rachel

    2012-11-01

    Full Text Available Abstract Background Given that many low income countries are heavily reliant on external assistance to fund their health sectors the acceptance of obligations of international assistance and cooperation with regard to the right to health (global health obligations is insufficiently understood and studied by international health and human rights scholars. Over the past decade Global Health Initiatives, like the Global Fund to fight AIDS, Tuberculosis and Malaria (Global Fund have adopted novel approaches to engaging with stakeholders in high and low income countries. This article explores how this experience impacted on acceptance of the international obligation to (help fulfil the right to health beyond borders. Methods The authors conducted an extensive review of international human rights law literature, transnational legal process literature, global public health literature and grey literature pertaining to Global Health Initiatives. To complement this desk work and deepen their understanding of how and why different legal norms evolve the authors conducted 19 in-depth key informant interviews with actors engaged with three stakeholders; the European Union, the United States and Belgium. The authors then analysed the interviews through a transnational legal process lens. Results Through according value to the process of examining how and why different legal norms evolve transnational legal process offers us a tool for engaging with the dynamism of developments in global health suggesting that operationalising global health obligations could advance the right to health for all. Conclusions In many low-income countries the health sector is heavily dependent on external assistance to fulfil the right to health of people thus it is vital that policies and tools for delivering reliable, long-term assistance are developed so that the right to health for all becomes more than a dream. Our research suggests that the Global Fund experience offers

  2. Under the (legal) radar screen: global health initiatives and international human rights obligations

    Science.gov (United States)

    2012-01-01

    Background Given that many low income countries are heavily reliant on external assistance to fund their health sectors the acceptance of obligations of international assistance and cooperation with regard to the right to health (global health obligations) is insufficiently understood and studied by international health and human rights scholars. Over the past decade Global Health Initiatives, like the Global Fund to fight AIDS, Tuberculosis and Malaria (Global Fund) have adopted novel approaches to engaging with stakeholders in high and low income countries. This article explores how this experience impacted on acceptance of the international obligation to (help) fulfil the right to health beyond borders. Methods The authors conducted an extensive review of international human rights law literature, transnational legal process literature, global public health literature and grey literature pertaining to Global Health Initiatives. To complement this desk work and deepen their understanding of how and why different legal norms evolve the authors conducted 19 in-depth key informant interviews with actors engaged with three stakeholders; the European Union, the United States and Belgium. The authors then analysed the interviews through a transnational legal process lens. Results Through according value to the process of examining how and why different legal norms evolve transnational legal process offers us a tool for engaging with the dynamism of developments in global health suggesting that operationalising global health obligations could advance the right to health for all. Conclusions In many low-income countries the health sector is heavily dependent on external assistance to fulfil the right to health of people thus it is vital that policies and tools for delivering reliable, long-term assistance are developed so that the right to health for all becomes more than a dream. Our research suggests that the Global Fund experience offers lessons to build on. PMID

  3. Under the (legal) radar screen: global health initiatives and international human rights obligations.

    Science.gov (United States)

    Hammonds, Rachel; Ooms, Gorik; Vandenhole, Wouter

    2012-11-15

    Given that many low income countries are heavily reliant on external assistance to fund their health sectors the acceptance of obligations of international assistance and cooperation with regard to the right to health (global health obligations) is insufficiently understood and studied by international health and human rights scholars. Over the past decade Global Health Initiatives, like the Global Fund to fight AIDS, Tuberculosis and Malaria (Global Fund) have adopted novel approaches to engaging with stakeholders in high and low income countries. This article explores how this experience impacted on acceptance of the international obligation to (help) fulfil the right to health beyond borders. The authors conducted an extensive review of international human rights law literature, transnational legal process literature, global public health literature and grey literature pertaining to Global Health Initiatives. To complement this desk work and deepen their understanding of how and why different legal norms evolve the authors conducted 19 in-depth key informant interviews with actors engaged with three stakeholders; the European Union, the United States and Belgium. The authors then analysed the interviews through a transnational legal process lens. Through according value to the process of examining how and why different legal norms evolve transnational legal process offers us a tool for engaging with the dynamism of developments in global health suggesting that operationalising global health obligations could advance the right to health for all. In many low-income countries the health sector is heavily dependent on external assistance to fulfil the right to health of people thus it is vital that policies and tools for delivering reliable, long-term assistance are developed so that the right to health for all becomes more than a dream. Our research suggests that the Global Fund experience offers lessons to build on.

  4. INTERNATIONAL LEGAL ASPECTS OF DEALING WITH THE CONTEMPORARY TERRORISM THREATS

    Directory of Open Access Journals (Sweden)

    Vesna Poposka

    2017-05-01

    Full Text Available Recent challenges in international security posed by two terrorist organizations, Al Qaeda and ISIS, have highlighted an urgent domestic and foreign policy challenge. Terrorism has been, for more than a decade, top headline in the world media, and the cost of terrorist activities is expressed in numerous human lives and enormous material damage. Yet to date, international organizations and governments have not been successful in the attempt to find a common definition or uniform approach. Up to now, the approaches towards terrorist activities differ from case to case. There is no single legal regime to deal with terrorist activities, and the legal regime is what gives the answer and the framework for the counter-terrorist activities of the security forces, in order to be able to deal with the threat. This paper will attempt to answer at least some of the dilemmas.

  5. Critical evaluation of the Laboratory of Radionuclide Metrology results of the Institute of Radiation Protection and Dosimetry - IRD in the international key comparisons of activity measurement of radioactive solutions

    International Nuclear Information System (INIS)

    Iwahara, A.; Tauhata, L.; Silva, C.J. da

    2014-01-01

    The Radionuclide Metrology Laboratory (LMR) of LNMRI/IRD has been participating since 1984, in international key-comparisons of activity measurement of radioactive sources organized by BIPM and the Regional Metrology Organizations as EURAMET and APMP. The measured quantity is the activity of a radioactive solution, in becquerel (Bq), containing the radionuclide involved and the of measurement methods used are 4αβ-γ coincidence/anticoincidence, coincidence sum-peak and liquid scintillation. In this paper a summary of the methods used and a performance analysis of the results obtained are presented. (author)

  6. A laser metrology/viewing system for ITER in-vessel inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Herndon, J.N.; Menon, M.M.; Slotwinski, A.; Dagher, M.A.; Yuen, J.L.

    1998-01-01

    This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision surface mapping system. A metrology system capable of achieving sub-millimeter accuracy must operate in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser optics module linked through fiber optics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic-mast. Gamma irradiation to 10 7 Gy was conducted on critical sensor components at Oak Ridge National Laboratory, with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway. (orig.)

  7. International Legal and Political Considerations Concerning the Seabed Disposal of Nuclear Waste

    International Nuclear Information System (INIS)

    Eaker, L.H.

    1983-01-01

    From its beginnings in 1973, to the present time, the concept of disposing of high-level nuclear wastes within the seabed has attracted serious investigation by numerous scientists. The scientific work to date has led to the general conclusion that the burial of high-level nuclear waste within the deep-sea clays of the oceanic basins, in conjunction with a perfected multi-barrier containment concept, could prove technically and environmentally feasible. This article discusses the need for further consideration of the international legal and political implications arising from any proposed seabed disposal of high-level nuclear waste. Further consideration of the international legal issues necessarily involves the analysis of three general areas of international law, namely: the question of coverage under the 1972 London Ocean Dumping Convention; the application and effect of the provisions of the new United Nations Convention on the Law of the Sea; and the consideration of general principles of international law. (NEA) [fr

  8. Radiation protection metrology in Austria: status and needs in a European perspective

    International Nuclear Information System (INIS)

    Maringer, F. J.; Leitner, A.; Tschurlovits, M.

    2005-01-01

    A global harmonised system of radiation protection and radiation dosimetry metrology is required to assure quality and accuracy in exchange of ideas, science, technologies and products. Accurate and high-grade measurements of ionising radiation are required in a wide range of industrial and medical applications where they are critical for human health and safety. This paper presents current work of international and Austrian metrological institutions in the field of ionising radiation and briefly discusses the future need and perspectives in the European context.(author)

  9. A survey on coordinate metrology using dimensional X-ray CT

    International Nuclear Information System (INIS)

    Matsuzaki, Kazuya

    2016-01-01

    X-ray computed tomography (X-ray CT) has been occupying indispensable position in geometrical and dimensional measurements in industry, which is capable of measuring both external and internal dimensions of industrial products. Since dimensional X-ray CT has problems about ensuring traceability and estimating uncertainty, requirement of developing measurement standard for dimensional X-ray CT is increasing. Some of national metrology institutes (NMIs) including NMIJ have been working on developing measurement standard. In this report, the background of coordinate metrology using dimensional X-ray CT is reviewed. Then, measurement error sources are discussed. Finally, the plan to develop high accuracy dimensional X-ray CT is presented. (author)

  10. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    Science.gov (United States)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  11. CONSIDERATION ON THE LEGAL REGIME APPLICABLE TO INTERNATIONAL TOURISM CONTRACTS

    Directory of Open Access Journals (Sweden)

    Serban-Alexandru STANESCU

    2017-07-01

    Full Text Available Upon conclusion of an international tourism contract, the contracting parties - one of which (the beneficiary acts as the consumer – are facing legal difficulties, which are addressed by this study from the perspective of the interference between the national law and the European Union law. Thus, one of the primary issues considered herein is that concerning the determination of the applicable law based on which the rights and obligations of the contracting parties are to be established. Secondly, this study examines the applicable procedural rules in the case where a Romanian court is requested to settle a dispute arising from an international tourism contract. Finally, the study deals with the hypothesis where a dispute arising from such a contract is settled by a foreign court, and in particular with the effects of the judgment given by the foreign court on the territory of Romania. The above mentioned issues are the grounds behind this research on the legal status of international tourism contracts, in addition to the fact that, despite the rich contractual practice in the field under consideration, the amount of specialized literature on this subject is rather limited.

  12. Criminal Prosecution of International Crimes and Principle of Legality (Pregon mednarodnih hudodelstev in načelo zakonitosti

    Directory of Open Access Journals (Sweden)

    Sabina Zgaga

    2017-12-01

    Full Text Available The majority of criminal prosecutions of core crimes’ perpetrators in the last 60 years was performed post factum, since the rules of criminal prosecution were drafted after the commission of international crimes. The paper discusses the dilemmas, which arise from the principle of legality due to the post factum nature of criminal prosecution. First, the elements of principle of legality are presented, of which those are emphasised that could be controversial in case of international crimes prosecution. Afterwards, the paper discusses the answers provided by international criminal law, especially the case law of the International Criminal Tribunal for the former Yugoslavia and by the European Court of Human Rights. The paper concludes by analysis of Slovenian view on dillemas of principle of legality and compares Slovenian positions with the ones of the European Court of Human Rights. The thesis that Slovenian Constitution includes a stricter regulation of principle of legality than the European Court of Human Rights’ system is thereby confirmed.

  13. Internal Audit and Internal Control Institutions as Legal Prevention Means of Vialations in the Sphere of Economic Financial Activities

    Directory of Open Access Journals (Sweden)

    Lvova I. G.

    2012-05-01

    Full Text Available The article deals with the issues of similarities and differences between the concepts of “internal control” and “internal audit”. The author analyzes the existing approaches in current legislation and current scientific literature, the approaches to the concepts of “internal control” and “internal audit” in respect to their goals, objectives and legal regulation at this stage

  14. Disarmament verification and its contribution to the theory of international control: A legal study

    International Nuclear Information System (INIS)

    Sayed Anwar Abou Ali.

    1991-01-01

    In this paper, the Deputy Director of the Department of International Organizations in the Ministry of Foreign Affairs, Egypt, discusses the legal aspects related to the international control for verification of disarmament

  15. A Century of Acoustic Metrology

    DEFF Research Database (Denmark)

    Rasmussen, Knud

    1998-01-01

    The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect.......The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect....

  16. Legal Coordinator | IDRC - International Development Research ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Develops systems and procedures for administering and tracking legal undertakings, coordinates and consolidates the legal information in order to meet deadlines. • Collaborates with the Secretary and General Counsel to ensure that all legal issues are handled efficiently, while respecting the highly confidential nature of ...

  17. La Metrología Óptica y sus Aplicaciones La Metrología Óptica y sus Aplicaciones

    OpenAIRE

    Daniel Malacara Hernández

    2012-01-01

    En este trabajo se presenta una introducción al campo de la metrología óptica y de su herramienta principal que es la interferometría. También se presenta un panorama de los diferentes métodos empleados en metrología describiendo con especial detalle los avances más recientes en este campo. In this work an introduction to optical metrology is presented with a brief description of its main tool which is interferometry. Also, a survey of the main different methods used in optical metrology is ...

  18. The legalization of corporate social responsibility: towards a new doctrine of international legal status in a global governance context

    NARCIS (Netherlands)

    Bijlmakers, Stephanie

    2017-01-01

    This thesis examines whether Corporate Social Responsibility (CSR) and the responsibilities of business enterprises for human rights have been legally defined in international, European law and national law. This analysis, in turn, generates novel insights and impetus for reconsidering the

  19. A risk analysis approach applied to field surveillance in utility meters in legal metrology

    Science.gov (United States)

    Rodrigues Filho, B. A.; Nonato, N. S.; Carvalho, A. D.

    2018-03-01

    Field surveillance represents the level of control in metrological supervision responsible for checking the conformity of measuring instruments in-service. Utility meters represent the majority of measuring instruments produced by notified bodies due to self-verification in Brazil. They play a major role in the economy once electricity, gas and water are the main inputs to industries in their production processes. Then, to optimize the resources allocated to control these devices, the present study applied a risk analysis in order to identify among the 11 manufacturers notified to self-verification, the instruments that demand field surveillance.

  20. SAQP pitch walk metrology using single target metrology

    Science.gov (United States)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  1. Truth and victims’ rights: Towards a legal epistemology of international criminal justice

    OpenAIRE

    Aguilera, Edgar R.

    2013-01-01

    The author advances the thesis that the now well established international crime victims' right to know the truth creates an opportunity for an applied epistemology reflection regarding international criminal justice. At the heart of the project lies the author's argument that this victims' right -if taken seriously- implies both the right that the international criminal justice system's normative structures or legal frameworks and practices feature a truth-promoting profile, or in other word...

  2. Metrological management evaluation based on ISO10012: an empirical study in ISO-14001-certified Spanish companies

    International Nuclear Information System (INIS)

    Beltran, Jaime; Rivas, Miguel; Munuzuri, Jesus; Gonzalez, Cristina

    2010-01-01

    Environmental management systems based on the ISO 14001 standard rely strongly on metrological measurement and confirmation processes to certify the extent to which organizations monitor and improve their environmental behavior. Nevertheless, the literature lacks in studies that assess the influence of these metrological processes on the performance of environmental management in organizations, even now that the international standard ISO 10012 is already available to establish requisites and guidelines for the development of a metrological management system that is compatible with any other standardized management system. This work seeks to assess that influence through the development of an evaluation model for metrological management, which is then validated through an experimental analysis of the results obtained from the application of an audit process in 11 Spanish companies, all ISO-14001-certified and operating in different industrial sectors. (author)

  3. UN legal advisers meet

    International Nuclear Information System (INIS)

    1969-01-01

    Legal Advisers from twelve international organizations belonging to the United Nations Organization's family met at the Agency's Headquarters in Vienna on 19 and 20 May to discuss legal problems of common administrative interest. The meeting was held on the initiative of the Agency while the UN Conference on the Law of Treaties was taking place in Vienna during April and May. With Mr. Constantin A. Stavropoulos, Under-Secretary, Legal Counsel of the United Nations, as chairman, this was the second meeting of Legal Advisers since 1954. The following organizations were represented: Food and Agriculture Organization of the United Nations, International Atomic Energy Agency, International Bank for Reconstruction and Development, International Civil Aviation Organization, International Labour Organisation, Inter-Governmental Maritime Consultative Organization, International Monetary Fund, International Telecommunication Union, United Nations, United Nations Educational, Scientific and Cultural Organization, United Nations Industrial Development Organization, World Health Organization. Topics discussed included the recruitment of legal staff and possible exchange of staff between organizations; competence and procedure of internal appeals committees, experience with cases before the Administrative Tribunals and evaluation of their judgments; experience with Staff Credit Unions; privileges and immunities of international organizations; headquarters and host government agreements; and patent policies of international organizations. Consultations will continue through correspondence and further meetings. (author)

  4. 2008 activity report of the French metrology - Ionising radiation; Rapport d'activite 2008 de la metrologie francaise - Rayonnements ionisants

    Energy Technology Data Exchange (ETDEWEB)

    Anon

    2009-07-01

    The activities of this section are entrusted to the Henry Becquerel national laboratory (LNE-LNHB), the national laboratory of metrology of the CEA-Saclay, and to the laboratory of dose metrology (LMDN) under the management of IRSN-Cadarache. They treat of ionizing radiations metrology in the domains of activity, photons and charged particles dosimetry, and basic data. This article summarizes the 2008 works carried out at both laboratories: international actions and comparisons, instrumentation improvements, establishment of national references. (J.S.)

  5. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  6. Ionising radiation metrology : Physical basis for the radiation protection in Spain

    International Nuclear Information System (INIS)

    Arcos, J. M. los; Brosed, A.; Fernandez, F.

    2004-01-01

    Applying radiological protection principles and, in particular optimisation, requires a system of metrological references internationally traceable and to which be traced at the national level, through a well defined calibration chain. In this paper on overview of the activities done in the national standards laboratory and in the calibration laboratories existing in Spain is presented. As a conclusion it is established that, although the necessities at the protection level are reasonably covered for α, β, X and γ radiation, the lack of a neutronic reference laboratory is detected, to give metrological support to the two laboratories with capability for making irradiations or determinations of neutronic doses, currently operating in the country. (Author) 19 refs

  7. In Situ Preservation of Underwater Cultural Heritage as an International Legal Principle

    Science.gov (United States)

    Aznar, Mariano J.

    2018-04-01

    In situ preservation is not necessarily the best underwater archaeological solution, nor is it legally required in all circumstances. Rather, it is the first and, perhaps, the most technically desirable option, when archaeological, legal, and political circumstances—in that order—so advise. Otherwise, the removal of the historical object or objects found under the sea and their conservation outside the marine environment is another plausible option, provided the archaeological standards accepted by the international scientific community are met. This paper aims to clarify the legal contours of this rule, as codified by the UNESCO Convention on the Protection of the Underwater Cultural Heritage. To this end, it proposes the following basic hypothesis: in situ preservation, as a current legal principle in underwater archaeological activities, is the first option for the protection of that heritage; because it is an option, this preservation may take a different form depending on the circumstances; nothing legally prevents the removal of remains from the seabed, provided it is done properly and they are appropriately preserved; and, finally, if necessary, this should be done as soon as possible, given the circumstances.

  8. Experimental realization of the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chenaud, B; Devoille, L; Steck, B; Feltin, N; Gonzalez-Cano, A; Poirier, W; Schopfer, F; Spengler, G; Djordjevic, S; Seron, O; Piquemal, F [Laboratoire national de metrologie et d' essais (LNE), Trappes (France); Lotkhov, S [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)], E-mail: laurent.devoille@lne.fr

    2009-02-01

    The quantum metrological triangle experiment (QMTE) consists in realizing Ohm's law with Josephson (JE), quantum Hall (QHE) and single electron tunneling (SET) effects. The aim is to check the consistency of the link among the phenomenological constants K {sub J}, R{sub K} and Q {sub X} involved in these effects and theoretically expressed with the fundamental constants e and h. Such an experiment could be a contribution for a new definition of the systeme international d'unites (SI) base units. In the QMTE, a current generated by a SET device flows through a resistor calibrated against QHE standard and the voltage induced at its terminals is compared to the metrological voltage generated by a Josephson junctions array. At LNE, the studied SET devices are 3 junctions single electron pumps with on chip resistors. The quantized current generated by this pump is theoretically equal to ef (f is the frequency of the driving signals applied on the gates) and is measured through a cryogenic current comparator (CCC), which allows to amplify the low pumping current with a metrological accuracy. We will present and discuss the experimental set-up developed at LNE and the first results. In addition to the main aim of QMTE described above, these preliminary results are also a first step towards a determination of e.

  9. International legal problems of topical interest concerning the peaceful navigation of nuclear ships

    International Nuclear Information System (INIS)

    Bernhardt, R.; Rudolf, W.

    1975-01-01

    The lack of internationally accepted laws on the operation of nuclear ships has proved so far perhaps a more serious obstacle to further development than the problems of a technical-economic kind, although international law by no means that inadequate that it could not, at least basically, do justice to the peaceful navigation of nuclear ships. The unsatisfactory legal situation has been caused in the first place by the conduct of the majority of states which, out of fear of the risks of nuclear ships, also questioned those articles of international maritime law which had been undisputed up to then. This goes in particular for the SOLAS convention, the principles of the peaceful crossing of territorial waters and of calling at ports. On the other hand, it ought to be pointed out, too, that the states obviously do not assume that the operation of nuclear ships is using the sea inadmissably under international law. One could see this as a continuation of the strict Trail-Smelter-doctrine which forbids states to allow activities which might have harmful effects on other national territories. There is no doubt, that the uses of nuclear energy belong to these dangerous activites. At the same time, they are accepted under international law, provided that they are supervised legally and provided that appropriate liability arrangments under civil law are at hand. This is the starting point for over coming the legal difficulties in the peaceful navigation of nuclear ships: it is to be treated as a matter of urgency to elaborate and to put into force comprehensive international conventions for the licensing and supervision of nuclear ships and concerning liablilty under civil law of the operators of nuclear ships. The required freedom in the navigation of nuclaer ships can only be achieved by further reglementation under maritime law. (orig./LN) [de

  10. NIF Target Assembly Metrology Methodology and Results

    Energy Technology Data Exchange (ETDEWEB)

    Alger, E. T. [General Atomics, San Diego, CA (United States); Kroll, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dzenitis, E. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Montesanti, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hughes, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Swisher, M. [IAP, Livermore, CA (United States); Taylor, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Segraves, K. [IAP, Livermore, CA (United States); Lord, D. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Reynolds, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Castro, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Edwards, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2011-01-01

    During our inertial confinement fusion (ICF) experiments at the National Ignition Facility (NIF) we require cryogenic targets at the 1-cm scale to be fabricated, assembled, and metrologized to micron-level tolerances. During assembly of these ICF targets, there are physical dimensmetrology is completed using optical coordinate measurement machines that provide repeatable measurements with micron precision, while also allowing in-process data collection for absolute accuracy in assembly. To date, 51 targets have been assembled and metrologized, and 34 targets have been successfully fielded on NIF relying on these metrology data. In the near future, ignition experiments on NIF will require tighter tolerances and more demanding target assembly and metrology capability. Metrology methods, calculations, and uncertainty estimates will be discussed. Target diagnostic port alignment, target position, and capsule location results will be reviewed for the 2009 Energetics Campaign. The information is presented via control charts showing the effect of process improvements that were made during target production. Certain parameters, including capsule position, met the 2009 campaign specifications but will have much tighter requirements in the future. Finally, in order to meet these new requirements assembly process changes and metrology capability upgrades will be necessary.

  11. Traceability and uncertainty estimation in coordinate metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Savio, Enrico; De Chiffre, Leonardo

    2001-01-01

    National and international standards have defined performance verification procedures for coordinate measuring machines (CMMs) that typically involve their ability to measure calibrated lengths and to a certain extent form. It is recognised that, without further analysis or testing, these results...... are required. Depending on the requirements for uncertainty level, different approaches may be adopted to achieve traceability. Especially in the case of complex measurement situations and workpieces the procedures are not trivial. This paper discusses the establishment of traceability in coordinate metrology...

  12. [International legal aspects of responsibility of states and international organizations for the spread of epidemics, pandemics and mass disease].

    Science.gov (United States)

    Kholikov, I V; Sazonova, K L

    2015-08-01

    The present article deals with international legal issues that arise in case when various mass diseases go beyond any national jurisdiction. The emphasis is made on the problem of international responsibility, which different actors have to bear in such cases. The authors also examine the implementation of responsibility mechanism, including the indentication of the relevant international court, authorized to establish such liability and identify the specific forms of its realization.

  13. Metrology's role in quality assurance

    International Nuclear Information System (INIS)

    Zeederberg, L.B.

    1982-01-01

    Metrology, the science of measurement, is playing an increasing role in modern industry as part of an on-going quality assurance programme. At Escom, quality assurance was critical during the construction of the Koeberg nuclear facility, and also a function in controlling services provided by Escom. This article deals with the role metrology plays in quality assurance

  14. International Humanitarian Law: The legal framework for humanitarian forensic action.

    Science.gov (United States)

    Gaggioli, Gloria

    2018-01-01

    In armed conflicts, death is not an exceptional occurrence, but becomes the rule and occurs on a daily basis. Dead bodies are sometimes despoiled, mutilated, abandoned without any funeral rite and without a decent burial. Unidentified remains may be counted by hundreds or thousands. As a result, families look for years for missing relatives, ignorant of the fate of their loved ones. International Humanitarian Law, also called the laws of war or the law of armed conflict, is an international law branch, which has been developed to regulate and, as far as possible, to humanize armed conflicts. It contains a number of clear and concrete obligations incumbent to belligerent parties on the management of dead bodies, which provide the legal framework for humanitarian forensic action. The purpose of this article is to present, in a simple and concise manner, these rules with a view to extrapolate some key legal principles, such as the obligation to respect the dignity of the dead or the right to know the fate of relatives, which shall guide anyone dealing with human remains. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Laboratorio de Metrología - LABM

    OpenAIRE

    Jaramillo Ch., Zaira J.

    2011-01-01

    esos y transacciones de forma transparente y justa para todas las partes involucradas. Una herramienta necesaria para este propósito es la Metrología, ciencia que es utilizada en el Laboratorio de Metrología (LABM) del Centro Experimenta

  16. Discrimination of legal entities: Phenomenological characteristics and legal protection

    Directory of Open Access Journals (Sweden)

    Petrušić Nevena

    2017-01-01

    Full Text Available Their social nature encourages people to associate and jointly achieve the goals that they would not be able to achieve individually. Legal entities are created as one of the legal modalities of that association, as separate entities that have their own legal personality independent of the subjectivity of their members. Legal entities are holders of some human rights, depending on the nature of the right, including the right to non-discrimination. All mechanisms envisaged for legal protection against discrimination in the national legislation are available to legal persons. On the other hand, the situation is quite different in terms of access to international forums competent to deal with cases of discrimination. Legal entities do not have access to some international forums, while they may have access to others under the same conditions prescribed for natural persons. Legal entities may be exposed to various forms of direct and indirect discrimination both in the private and in the public sphere of social relations. Phenomenological characteristics of discrimination against legal persons are not substantially different from discrimination against individuals. There are no significant differences regarding the application of discrimination test in cases of discrimination of legal entities as compared to the use of this test in cases involving discrimination of natural persons or groups of persons. Legal entities may be discriminated against on the basis of characteristics of their legal personality, such as those which are objective elements of the legal entity and part of its legal identity. Discrimination of legal entities may be based on personal characteristics of its members (i.e. people who make a personal essence of a legal entity because their characteristics can be 'transferred' to the legal entity and become part of its identity. Legal entities should also be protected from this special form of transferred (associative discrimination.

  17. On fragments and geometry : The international legal order as metaphor and how it matters

    NARCIS (Netherlands)

    Rajkovic, Nikolas

    This 9, 871 word article was published in a special issue sponsored by the Institute for Global Law and Policy of the Harvard Law School. It questions how international legal theory is consumed over whether international law is “fragmenting”, yet without ever questioning where the meaning and

  18. Metrology for WEST components design and integration optimization

    International Nuclear Information System (INIS)

    Brun, C.; Archambeau, G.; Blanc, L.; Bucalossi, J.; Chantant, M.; Gargiulo, L.; Hermenier, A.; Le, R.; Pilia, A.

    2015-01-01

    Highlights: • Metrology methods. • Interests of metrology campaign to optimize margins by reducing uncertainties. • Assembly problems are solved and validated on a numerical mock up. • Post treatment of full 3DScan of the vacuum vessel. - Abstract: On WEST new components will be implemented in an existing environment, emphasis has to be put on the metrology to optimize the design and the assembly. Hence, at a particular stage of the project, several components have to coexist in the limited vessel. Therefore, all the difficulty consists in validating the mechanical interfaces between existing components and new one; minimize the risk of the assembling and to maximize the plasma volume. The CEA/IRFM takes the opportunity of the ambitious project to sign a partnership with an industrial specialized in multipurpose metrology domains. To optimize the assembly procedure, the IRFM Assembly group works in strong collaboration with its industrial, to define and plan the campaigns of metrology. The paper will illustrate the organization, methods and results of the dedicated metrology campaigns have been defined and carried out in the WEST dis/assembly phase. To conclude, the future needs of metrology at CEA/IRFM will be exposed to define the next steps.

  19. The international legal position on transboundary shipments of radioactive waste

    International Nuclear Information System (INIS)

    Grimston, M.C.

    1997-01-01

    The recent decision not to grant planning permission for construction of a Rock Characterisation Facility near Sellafield has reopened the question of long-term radioactive waste disposal policy in the UK. One possible solution would be the construction and operation of a small number of international radioactive waste disposal facilities, taking waste from several countries. Such an approach would allow pooling of international expertise; would allow the choice of excellent sites from geological and demographical standpoints; and may be economically attractive depending on economies of scale. However, the approach would also increase the amount of waste transport, and may reduce the pressure on producers to reduce the volumes of waste arising. This paper traces the development of international legal attitudes to transboundary transport of radioactive and other hazardous waste. It concludes that as international law now stands it would be very difficult to establish a network of international waste disposal facilities, and therefore strategies which are developed will be nationally based. (Author)

  20. [International experience in the legal regulation of the circulation of medicines through the prism of the law of the world trade organization].

    Science.gov (United States)

    Pasechnyk, Olena V; Hendel, Nataliia V

    2018-01-01

    Introduction: The development of international legal cooperation in the field of health has largely been driven by the trade interests of states. The aim: The article analyzes the legal regulation of the circulation of medicines through the prism of the law of the World Trade Organization. Materials and methods: Using the historical legal method has allowed to analyze the genesis of legal regulation of the circulation of medicines through the prism of the law of the World Trade Organization. The dialectical method is widely used, in particular, when it comes to the issue of the ratio of market regulation of medicines circulation and public health protection, the formal logic method, in particular, in formulating the general principles, principles and methods of legal regulation in the field of medicines, as well as the systemic method, in particular, in defining the institutional component of legal regulation in the field of medicines. Review: The activities of the WTO include several areas related to health protection: international control over infectious diseases, international legal regulation of food safety (food security), tobacco control, environmental protection, international legal aspects of access and treatment of medicinal and pharmaceutical products, international legal regulation of medical services provision. Conclusions: It is proved that the right to health is a right to access to medicines. However, for many developing countries, it is problematic to obtain patents for the production of necessary medicines or to pay a license fee, which creates a barrier to the realization of the right to health.

  1. National Needs for Appearance Metrology

    Science.gov (United States)

    Nadal, Maria E.

    2003-04-01

    Appearance greatly influences a customer's judgement of the quality and acceptability of manufactured products, as yearly there is approximately $700 billion worth of shipped goods for which overall appearance is critical to their sale. For example, appearance is reported to be a major factor in about half of automobile purchases. The appearance of an object is the result of a complex interaction of the light field incident upon the object, the scattering and absorption properties of the object, and human perception. The measurable attributes of appearance are divided into color (hue, saturation, and lightness) and geometry (gloss, haze). The nature of the global economy has increased international competition and the need to improve the quality of many manufactured products. Since the manufacturing and marketing of these products is international in scope, the lack of national appearance standard artifacts and measurement protocols results in a direct loss to the supplier. One of the primary missions of the National Institute of Standards and Technology (NIST) is to strengthen the U.S. economy by working with industry to develop and apply technology, measurements and standards. The NIST Physics Laboratory has established an appearance metrology laboratory. This new laboratory provides calibration services for 0^o/45^o color standards and 20^o°, 60^o°, and 85^o° specular gloss, and research in the colorimetric characterization of gonioapparent including a new Standard Reference Material for metallic coatings (SRM 2017) and measurement protocols for pearlescent coatings. These services are NIST's first appearance metrology efforts in many years; a response to needs articulated by industry. These services are designed to meet demands for improved measurements and standards to enhance the acceptability of final products since appearance often plays a major role in their acceptability.

  2. An alternative method to achieve metrological confirmation in measurement process

    Science.gov (United States)

    Villeta, M.; Rubio, E. M.; Sanz, A.; Sevilla, L.

    2012-04-01

    Metrological confirmation process must be designed and implemented to ensure that metrological characteristics of the measurement system meet metrological requirements of the measurement process. The aim of this paper is to present an alternative method to the traditional metrological requirements about the relationship between tolerance and measurement uncertainty, to develop such confirmation processes. The proposed way to metrological confirmation considers a given inspection task of the measurement process into the manufacturing system, and it is based on the Index of Contamination of the Capability, ICC. Metrological confirmation process is then developed taking into account the producer risks and economic considerations on this index. As a consequence, depending on the capability of the manufacturing process, the measurement system will be or will not be in adequate state of metrological confirmation for the measurement process.

  3. Dimensional micro and nano metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; da Costa Carneiro, Kim; Haitjema, Han

    2006-01-01

    The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer these chal......The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer...... these challenges. The developments have to include new measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration. The current paper describes issues and challenges in dimensional micro and nano metrology by reviewing typical measurement tasks and available...

  4. Critical issues in overlay metrology

    International Nuclear Information System (INIS)

    Sullivan, Neal T.

    2001-01-01

    In this paper, following an overview of overlay metrology, the difficult relationship of overlay with device performance and yield is discussed and supported with several examples. This is followed by a discussion of the impending collision of metrology equipment performance and 'real' process tolerances for sub 0.18 um technologies. This convergence of tolerance and performance is demonstrated to lead to the current emergence of real-time overlay modeling in a feed-forward/feedback process environment and the associated metrology/sampling implications. This modeling takes advantage of the wealth of understanding concerning the systematic behavior of overlay registration errors. Finally, the impact of new process technologies (RET, OAI, CPSM, CMP, and etc.) on the measurement target is discussed and shown to de-stabilize overlay performance on standard overlay measurement target designs

  5. THE MANIFESTATION OF THE EUROPEAN CENTRAL BANK’S LEGAL PERSONALITY AT NATIONAL, EUROPEAN AND INTERNATIONAL LEVEL

    Directory of Open Access Journals (Sweden)

    MONICA ŞAGUNA

    2012-05-01

    Full Text Available The European Central Bank is one of the world’ s most important central banks, responsible for the monetary policy covering the 17 member States of the Eurozone. Established by the European Union in 1998, it was given the exclusive right to authorize the issue of banknotes within the European Union. The European Central Bank has legal personality under public international law. As article 282, paragraph 3 of the Treaty on functioning of the European Union and article 9, paragraph 1 of the Statute of the European System of Central Banks and of the European Central Bank states, the European Central Bank and the National Central Banks enjoy their own legal personality. The European Central Bank, given its important role in the economic integration, is the single institution of the European Union which has legal personality. This is a premise for it to fulfill its objectives. In this framework, the purpose of my paper is to analyze the effects of the European Central Bank’s legal personality from a complete perspective: at national, European and international level. Therefore the objectives of my study are: an introspection in the concept of legal personality, the identification of the reason why it was entrusted to a single institution of the European Union and a detailed analyze of the effects of the European Central Bank’ s legal personality.

  6. Protection against Indirect Expropriation under National and International Legal Systems

    Directory of Open Access Journals (Sweden)

    Max Gutbrod

    2009-04-01

    Full Text Available

    In recent years, direct expropriation2 has rarely been seen.3 States which wish to import capital do not like to be associated with posing a permanent, non-calculable threat to foreign-owned property but prefer to present themselves as jurisdictions with very stable, reliable and orderly regulatory environments.4 Expropriation, however, has by no means vanished; its execution has just become more subtle.5 Ambiguously or generously worded laws are ‘interpreted’ in a way that suits certain groups in the government or are only enforced when it suits a particular interest; administrative discretion is influenced by factors unrelated to the matter at issue, or administrations fail to conduct their processes in a transparent and comprehensible way. All these measures, turned against a foreign investor, can easily drive him out of business. Virtually all bilateral investment treaties (BITs and multilateral investment agreements (MITs, therefore, reflect this development and also cover acts of State which may expropriate “indirectly through measures tantamount to expropriation or nationalisation”6 (indirect expropriation7. Moreover, many international investment agreements (IIAs not only provide rules on (indirect expropriation but also establish so-called treatment standards “which refer to the legal regime that applies to investments once they have been admitted by the host State.”8 Administrative malfeasance, misfeasance and nonfeasance may also affect the investment adversely without amounting to “indirect expropriation”, constituting a less intense interference with the property. Indeed, there are arbitral awards which, while not accepting a claim based on “indirect expropriation”, established a compensable violation of “treatment standards”, i.e. in

  7. Distributed large-scale dimensional metrology new insights

    CERN Document Server

    Franceschini, Fiorenzo; Maisano, Domenico

    2011-01-01

    Focuses on the latest insights into and challenges of distributed large scale dimensional metrology Enables practitioners to study distributed large scale dimensional metrology independently Includes specific examples of the development of new system prototypes

  8. Editorial: 3DIM-DS 2015: Optical image processing in the context of 3D imaging, metrology, and data security

    Science.gov (United States)

    Alfalou, Ayman

    2017-02-01

    Following the first International Symposium on 3D Imaging, Metrology, and Data Security (3DIM-DS) held in Shenzhen during september 2015, this special issue gathers a series of articles dealing with the main topics discussed during this symposium. These topics highlighted the importance of studying complex data treatment systems and intensive calculations designed for high dimensional imaging and metrology for which high image quality and high transmission speed become critical issues in a number of technological applications. A second purpose was to celebrate the International Year of Light by emphasizing the important role of optics in actual information processing systems.

  9. Improving OCD time to solution using Signal Response Metrology

    Science.gov (United States)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  10. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  11. Spectroscopic metrology for isotope composition measurements and transfer standards

    Science.gov (United States)

    Anyangwe Nwaboh, Javis; Balslev-Harder, David; Kääriäinen, Teemu; Richmond, Craig; Manninen, Albert; Mohn, Joachim; Kiseleva, Maria; Petersen, Jan C.; Werhahn, Olav; Ebert, Volker

    2017-04-01

    The World Meteorological Organization (WMO) has identified greenhouse gases such as CO2, CH4 and N2O as critical for global climate monitoring. Other molecules such as CO that has an indirect effect of enhancing global warming are also monitored. WMO has stated compatibility goals for atmospheric concentration and isotope ratio measurements of these gases, e.g. 0.1 ppm for CO2 concentration measurements in the northern hemisphere and 0.01 ‰ for δ13C-CO2. For measurements of the concentration of greenhouse gases, gas analysers are typically calibrated with static gas standards e.g. traceable to the WMO scale or to the International System of Units (SI) through a national metrology institute. However, concentrations of target components, e.g. CO, in static gas standards have been observed to drift, and typically the gas matrix as well as the isotopic composition of the target component does not always reflect field gas composition, leading to deviations of the analyser response, even after calibration. The deviations are dependent on the measurement technique. To address this issue, part of the HIGHGAS (Metrology for high-impact greenhouse gases) project [1] focused on the development of optical transfer standards (OTSs) for greenhouse gases, e.g. CO2 and CO, potentially complementing gas standards. Isotope ratio mass spectrometry (IRMS) [2] is currently used to provide state-of-the-art high precision (in the 0.01 ‰ range) measurements for the isotopic composition of greenhouse gases. However, there is a need for field-deployable techniques such as optical isotope ratio spectroscopy (OIRS) that can be combined with metrological measurement methods. Within the HIGHGAS project, OIRS methods and procedures based on e.g. cavity enhanced spectroscopy (CES) and tunable diode laser absorption spectroscopy (TDLAS), matched to metrological principles have been established for the measurement of 13C/12C and 18O/16O ratios in CO2, 15N/14N ratios in N2O, and 13C/12C and 2H

  12. Analysis of key technologies for virtual instruments metrology

    Science.gov (United States)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  13. X-ray metrology for ULSI structures

    International Nuclear Information System (INIS)

    Bowen, D. K.; Matney, K. M.; Wormington, M.

    1998-01-01

    Non-destructive X-ray metrological methods are discussed for application to both process development and process control of ULSI structures. X-ray methods can (a) detect the unacceptable levels of internal defects generated by RTA processes in large wafers, (b) accurately measure the thickness and roughness of layers between 1 and 1000 nm thick and (c) can monitor parameters such as crystallographic texture and the roughness of buried interfaces. In this paper we review transmission X-ray topography, thin film texture measurement, grazing-incidence X-ray reflectivity and high-resolution X-ray diffraction. We discuss in particular their suitability as on-line sensors for process control

  14. Introduction to quantum metrology quantum standards and instrumentation

    CERN Document Server

    Nawrocki, Waldemar

    2015-01-01

    This book presents the theory of quantum effects used in metrology and results of the author’s own research in the field of quantum electronics. The book provides also quantum measurement standards used in many branches of metrology for electrical quantities, mass, length, time and frequency. This book represents the first comprehensive survey of quantum metrology problems. As a scientific survey, it propagates a new approach to metrology with more emphasis on its connection with physics. This is of importance for the constantly developing technologies and nanotechnologies in particular. Providing a presentation of practical applications of the effects used in quantum metrology for the construction of quantum standards and sensitive electronic components, the book is useful for a wide audience of physicists and metrologists in the broad sense of both terms. In 2014 a new system of units, the so called  Quantum SI, is introduced. This book helps to understand and approve the new system to both technology a...

  15. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  16. International Legal Framework for Denuclearization and Nuclear Disarmament -- Present Situation and Prospects

    Energy Technology Data Exchange (ETDEWEB)

    Gastelum, Zoe N. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); OECD NEA International School of Nuclear Law, Paris (France)

    2012-12-01

    This thesis is the culminating project for my participation in the OECD NEA International School of Nuclear Law. This paper will begin by providing a historical background to current disarmament and denuclearization treaties. This paper will discuss the current legal framework based on current and historical activities related to denuclearization and nuclear disarmament. Then, it will propose paths forward for the future efforts, and describe the necessary legal considerations. Each treaty or agreement will be examined in respect to its requirements for: 1) limitations and implementation; 2) and verification and monitoring. Then, lessons learned in each of the two areas (limitations and verification) will be used to construct a proposed path forward at the end of this paper.

  17. The Educational Rights of Students: International Perspectives on Demystifying the Legal Issues

    Science.gov (United States)

    Russo, Charles J., Ed.; Stewart, Douglas J., Ed.; De Groof, Jan, Ed.

    2006-01-01

    Education law has emerged as an important concern to educators in many countries around the world. While there are similarities in the range of rights that students in various countries have, there are also many differences. This book provides a comprehensive examination the status of the legal rights of students in 13 international communities.…

  18. Emerging technology for astronomical optics metrology

    Science.gov (United States)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  19. Metrology in Pharmaceutical Industry - A Case Study

    International Nuclear Information System (INIS)

    Yuvamoto, Priscila D.; Fermam, Ricardo K. S.; Nascimento, Elizabeth S.

    2016-01-01

    Metrology is recognized by improving production process, increasing the productivity, giving more reliability to the measurements and consequently, it impacts in the economy of a country. Pharmaceutical area developed GMP (Good Manufacture Practice) requeriments, with no introduction of metrological concepts. However, due to Nanomedicines, it is expected this approach and the consequent positive results. The aim of this work is to verify the level of metrology implementation in a Brazilian pharmaceutical industry, using a case study. The purpose is a better mutual comprehension by both areas, acting together and governmental support to robustness of Brazilian pharmaceutical area. (paper)

  20. International symposium on in situ nuclear metrology as a tool for radioecology INSINUME

    International Nuclear Information System (INIS)

    2008-01-01

    Full Text: This symposium, which is the natural continuation of the previous INSINUME conferences, held in Fleurus-Belgium, Albena-Bulgaria and Kusadasi-Turkey, has a dual purpose. First of all, it wants to bring together Radioecologists, Regulatory Authorities as well as Radiological Monitoring System Operators, in order to allow a wide exchange of information regarding practical experience and difficulties encountered in daily radiological monitoring of environment. On the other hand, the symposium intends to focus on the modern nuclear metrological tools, which could be used nowadays to ease the direct remote surveillance of the radiological status of seas, rivers, lakes and earth surface. In the past, these tools were suffering from a lack of sensitivity and reliability and were for that reason mainly used for health physics control that didn't require such a high accuracy. New systems are now at the disposal of the mathematical model users and radioecologists for investigating radioactive contaminants dispersion in normal conditions as well as in case of incidents. On basis of acquired experience and metrology progress, the final object of the symposium is to help the environment radioprotection world, to harmonise its rules, and thus to perform in the future a realistic and useful radiological monitoring. [fr

  1. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  2. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    Science.gov (United States)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  3. UPWIND 1A2 Metrology. Final Report

    DEFF Research Database (Denmark)

    Eecen, P.J.; Wagenaar, J.W.; Stefanatos, N.

    . Since this problem covers many areas of wind energy, the work package is defined as a crosscutting activity. The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The first deliverable...... is a valuable tool for the further assessment and interest has been shown from other work packages, such as Training. This report describes the activities that have been carried out in the Work Package 1A2 Metrology of the UpWind project. Activities from Risø are described in a separate report: T.F. Pedersen...... was to perform a state of the art assessment to identify all relevant measurands. The required accuracies and required sampling frequencies have been identified from the perspective of the users of the data (the other work packages in UpWind). This work led to the definition of the Metrology Database, which...

  4. Senior Legal Counsel | IDRC - International Development Research ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Advises on a range of current legal and business issues impacting IDRC by ... legal and risk management practices, and recommending courses of action to ... in conducting its overseas operations;; advising on new legislation, such as the ...

  5. Celtiberian metrology and its romanization

    Directory of Open Access Journals (Sweden)

    Leonard A. CURCHIN

    2013-05-01

    Full Text Available Celtiberian metrology has scarcely been investigated until now, with the exception of coin weights. On the basis of measurements of pre-Roman mud bricks, a Celtiberian foot of 24 cm is proposed. With regard to weights, we can accept a module of 9 g for silver jewelry and some bronze coins; however, loom weights do not conform to any metrological system. Over time, Roman measures of length (as indicated by the dimensions of bricks, tiles and architectural monuments and weight were adopted.

  6. Management of metrology in measuring of the displacement of building construction

    Directory of Open Access Journals (Sweden)

    Jiří Kratochvíl

    2007-06-01

    Full Text Available The metrology management of the measurement of the displacement of building construction is not regulated in the standard ČSN ISO 73 0405 - Measurement of the displacement of building construction. But the metrology management has to be included in the project of measurement of the displacement (Stage of project. Then we have to pay an attention to the metrological management during this measurement (Stage of realization and during the evaluation of this measurement (Stage of evaluation. We have to insist on the subsequent improving of metrology management within the frame of the next project (so-called feedback. The metrology management in the measurement of the displacement during the stages should be based on an application of statutory instruments and technical standards. We should insist especially on the system of standards for the quality control ISO 9000. Considering specialities of geodetic measurements it is necessary to adapt the metrology management. That is why it will differ from the metrology management in other fields of knowledge. This paper includes some steps of metrological provision which must not be ignored.

  7. Strengthening the international legal framework for nuclear security: Better sooner rather than later

    International Nuclear Information System (INIS)

    Wetherall, Anthony C.

    2016-01-01

    In this 21. century global environment, the threat of terrorists or other criminals eventually acquiring and using radioactive material for malicious purposes or sabotaging such material or associated facilities, could be calculated as being an inevitable, albeit a preventable catastrophe. Much has been done to address this situation, such as the International Atomic Energy Agency (IAEA) now having a recognised central role in strengthening nuclear security globally. However, concerns still remain regarding the adequacy of the global nuclear security architecture, consisting of legally binding and non-binding instruments, intergovernmental organisations (IGOs), bodies and various initiatives, as well as internationally-accepted guidance and best practices, such as those reflected in the IAEA Nuclear Security Series of publications. Issues arise with respect to the adequacy of the international framework for nuclear security and the level of effective national implementation thereof. Highlighted in this regard, is a lack of universal adherence to the international nuclear security legal instruments, an absence of sustained information sharing (particularly on national implementation) and the non-existence of binding nuclear security standards and mandatory peer review and assessment. This article examines the framework's adequacy, its gaps and weak links, as well as the measures proposed to strengthen it. Part 1 considers some past and recent events, efforts, and developments that have contributed to the current status. Thereafter, the purported gaps and weak links and proposed strengthening measures are identified. While acknowledging progress, it is assumed that some overarching considerations, particularly national sovereignty, secrecy and complacency, continue to restrictively influence and determine the extent of state behaviour. Accordingly, these considerations are also briefly addressed in Part 1. Thereafter, Part 2 provides a concise overview of the current

  8. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy

    International Nuclear Information System (INIS)

    Chauvenet, B.; Bordy, J.M.; Barthe, J.

    2009-01-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  9. The Influence of the Concept of International Legal Personality on the Drafting of the PCIJ Statute

    DEFF Research Database (Denmark)

    Kjeldgaard-Pedersen, Astrid

    2014-01-01

    In 1920, when the Advisory Committee of Jurists was appointed by the Council of the League of Nations to be responsible for the development of a Permanent Court of International Justice (PCIJ), it was conventional wisdom among scholars that only states could possess international legal personality...

  10. A Laser Metrology/Viewing System for ITER In-Vessel Inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.; Slotwinski, A.

    1997-10-01

    This paper identifies the requirements for a remotely operated precision laser ranging system for the International Thermonuclear Experimental Reactor. The inspection system is used for metrology and viewing, and must be capable of achieving submillimeter accuracy and operation in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field levels. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser-optic module linked through fiberoptics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic mast. Gamma irradiation up to 10 7 Gy was conducted on critical sensor components with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway

  11. European New Legal Realism and International Law:

    DEFF Research Database (Denmark)

    Holtermann, Jakob v. H.; Madsen, Mikael Rask

    2015-01-01

    complex analysis which takes legal validity seriously but as a genuinely empirical object of study. This article constructs this position by identifying a distinctively European realist path which takes as its primary inspirations Weberian sociology of law and Alf Ross’ Scandinavian Legal Realism...

  12. Metrology for radioactive waste management. (WP2, WP3)

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. In this presentation the Project is described. (author)

  13. Laser metrology for a next generation gravimetric mission

    Science.gov (United States)

    Mottini, Sergio; Biondetti, Giorgio; Cesare, Stefano; Castorina, Giuseppe; Musso, Fabio; Pisani, Marco; Leone, Bruno

    2017-11-01

    Within the ESA technology research project "Laser Interferometer High Precision tracking for LEO", Thales Alenia Space Italia is developing a laser metrology system for a Next Generation Gravimetric Mission (NGGM) based on satellite-to-satellite tracking. This technique is based on the precise measurement of the displacement between two satellites flying in formation at low altitude for monitoring the variations of Earth's gravity field at high resolution over a long time period. The laser metrology system that has been defined for this mission consists of the following elements: • an heterodyne Michelson interferometer for measuring the distance variation between retroreflectors positioned on the two satellites; • an angle metrology for measuring the orientation of the laser beam in the reference frames of the two satellites; • a lateral displacement metrology for measuring the deviations of the laser beam axis from the target retro-reflector. The laser interferometer makes use of a chopped measurement beam to avoid spurious signals and nonlinearity caused by the unbalance between the strong local beam and the weak return beam. The main results of the design, development and test activities performed on the breadboard of the metrology system are summarized in this paper.

  14. On Fragments and Geometry : The International Legal Order as Metaphor and How It Matters

    NARCIS (Netherlands)

    N.M. Rajkovic (Nikolas)

    2013-01-01

    markdownabstract__Abstract__ This article engages the narrative of fragmentation in international law by asserting that legal academics and professionals have failed to probe more deeply into ‘fragmentation’ as a concept and, more specifically, as a spatial metaphor. The contention here is that

  15. Environmental justice and the rights of indigenous peoples: international and domestic legal perspectives

    National Research Council Canada - National Science Library

    Westra, Laura

    2008-01-01

    ... Peoples: Some Recent ATCA Jurisprudence First Nations of Canada and the Legal and Illegal Attacks on their Existence 71 103 125 PART III - JUSTIFYING GENOCIDE: PRINCIPLES AND REALITY 7 8 Genocide and Eco-crime: The Interface Aboriginal Rights in Domestic and International Law, and the Special Case of Arctic Peoples 163 187 PART...

  16. Joint Research on Scatterometry and AFM Wafer Metrology

    NARCIS (Netherlands)

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.; Saastamoinen, T.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both

  17. La metrología en nuestras vidas

    OpenAIRE

    Jaramillo, Zaira

    2010-01-01

    A primera vista, la palabra "Metrología" nos trae a la mente la idea de condiciones meteorológicas. Nada más alejado de la realidad, porque la Meteorología es la disciplina que se encarga de estudiar las condiciones del tiempo y la Metrología se encarga de estudiar las mediciones.

  18. The UK National Quantum Technologies Hub in sensors and metrology (Keynote Paper)

    Science.gov (United States)

    Bongs, K.; Boyer, V.; Cruise, M. A.; Freise, A.; Holynski, M.; Hughes, J.; Kaushik, A.; Lien, Y.-H.; Niggebaum, A.; Perea-Ortiz, M.; Petrov, P.; Plant, S.; Singh, Y.; Stabrawa, A.; Paul, D. J.; Sorel, M.; Cumming, D. R. S.; Marsh, J. H.; Bowtell, R. W.; Bason, M. G.; Beardsley, R. P.; Campion, R. P.; Brookes, M. J.; Fernholz, T.; Fromhold, T. M.; Hackermuller, L.; Krüger, P.; Li, X.; Maclean, J. O.; Mellor, C. J.; Novikov, S. V.; Orucevic, F.; Rushforth, A. W.; Welch, N.; Benson, T. M.; Wildman, R. D.; Freegarde, T.; Himsworth, M.; Ruostekoski, J.; Smith, P.; Tropper, A.; Griffin, P. F.; Arnold, A. S.; Riis, E.; Hastie, J. E.; Paboeuf, D.; Parrotta, D. C.; Garraway, B. M.; Pasquazi, A.; Peccianti, M.; Hensinger, W.; Potter, E.; Nizamani, A. H.; Bostock, H.; Rodriguez Blanco, A.; Sinuco-Leon, G.; Hill, I. R.; Williams, R. A.; Gill, P.; Hempler, N.; Malcolm, G. P. A.; Cross, T.; Kock, B. O.; Maddox, S.; John, P.

    2016-04-01

    The UK National Quantum Technology Hub in Sensors and Metrology is one of four flagship initiatives in the UK National of Quantum Technology Program. As part of a 20-year vision it translates laboratory demonstrations to deployable practical devices, with game-changing miniaturized components and prototypes that transform the state-of-the-art for quantum sensors and metrology. It brings together experts from the Universities of Birmingham, Glasgow, Nottingham, Southampton, Strathclyde and Sussex, NPL and currently links to over 15 leading international academic institutions and over 70 companies to build the supply chains and routes to market needed to bring 10-1000x improvements in sensing applications. It seeks, and is open to, additional partners for new application development and creates a point of easy open access to the facilities and supply chains that it stimulates or nurtures.

  19. Differential Evolution for Many-Particle Adaptive Quantum Metrology

    NARCIS (Netherlands)

    Lovett, N.B.; Crosnier, C.; Perarnau- Llobet, M.; Sanders, B.

    2013-01-01

    We devise powerful algorithms based on differential evolution for adaptive many-particle quantum metrology. Our new approach delivers adaptive quantum metrology policies for feedback control that are orders-of-magnitude more efficient and surpass the few-dozen-particle limitation arising in methods

  20. Metrology and properties of engineering surfaces

    CERN Document Server

    Greenwood, J; Chetwynd, D

    2001-01-01

    Metrology and Properties of Engineering Surfaces provides in a single volume a comprehensive and authoritative treatment of the crucial topics involved in the metrology and properties of engineering surfaces. The subject matter is a central issue in manufacturing technology, since the quality and reliability of manufactured components depend greatly upon the selection and qualities of the appropriate materials as ascertained through measurement. The book can in broad terms be split into two parts; the first deals with the metrology of engineering surfaces and covers the important issues relating to the measurement and characterization of surfaces in both two and three dimensions. This covers topics such as filtering, power spectral densities, autocorrelation functions and the use of Fractals in topography. A significant proportion is dedicated to the calibration of scanning probe microscopes using the latest techniques. The remainder of the book deals with the properties of engineering surfaces and covers a w...

  1. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  2. Legality in multiple legal orders

    NARCIS (Netherlands)

    Besselink, L.F.M.; Pennings, F.J.L.; Prechal, A.

    2010-01-01

    This is the Introductory chapter to The Eclipse of the Legality Principle in the European Union, Edited by Leonard Besselink, Frans Pennings, Sacha Prechal [European Monographs, vol. 75], Kluwer Law International, Alphen aan den Rijn, 2011 [2010], xxv + 303 pp.

  3. Metrology Department - DEMET

    International Nuclear Information System (INIS)

    1989-01-01

    In this report are presented the activities and purposes of the Metrology Dept. of the Institute of Radioprotection and Dosimetry of Brazilian CNEN. It is also presented a list of services rendered by that Dept., the projects in course, personnel and publications.(J.A.M.M.)

  4. Metrological assurance and traceability for Industry 4.0 and additive manufacturing in Ukraine

    Science.gov (United States)

    Skliarov, Volodymyr; Neyezhmakov, Pavel; Prokopov, Alexander

    2018-03-01

    The national measurement standards from the point of view of traceability of the results of measurement in additive manufacturing in Ukraine are considered in the paper. The metrological characteristics of the national primary measurement standards in the field of geometric, temperature, optical-physical and time-frequency measurements, which took part in international comparisons within COOMET projects, are presented. The accurate geometric, temperature, optical-physical and time-frequency measurements are the key ones in controlling the quality of additive manufacturing. The use of advanced CAD/CAE/CAM systems allows to simulate the process of additive manufacturing at each stage. In accordance with the areas of the technology of additive manufacturing, the ways of improving the national measurement standards of Ukraine for the growing needs of metrology of additive manufacturing are considered.

  5. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    Science.gov (United States)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  6. Research on volume metrology method of large vertical energy storage tank based on internal electro-optical distance-ranging method

    Science.gov (United States)

    Hao, Huadong; Shi, Haolei; Yi, Pengju; Liu, Ying; Li, Cunjun; Li, Shuguang

    2018-01-01

    A Volume Metrology method based on Internal Electro-optical Distance-ranging method is established for large vertical energy storage tank. After analyzing the vertical tank volume calculation mathematical model, the key processing algorithms, such as gross error elimination, filtering, streamline, and radius calculation are studied for the point cloud data. The corresponding volume values are automatically calculated in the different liquids by calculating the cross-sectional area along the horizontal direction and integrating from vertical direction. To design the comparison system, a vertical tank which the nominal capacity is 20,000 m3 is selected as the research object, and there are shown that the method has good repeatability and reproducibility. Through using the conventional capacity measurement method as reference, the relative deviation of calculated volume is less than 0.1%, meeting the measurement requirements. And the feasibility and effectiveness are demonstrated.

  7. What Deters Crime? Comparing the Effectiveness of Legal, Social, and Internal Sanctions Across Countries

    OpenAIRE

    Mann, Heather; Garcia-Rada, Ximena; Hornuf, Lars; Tafurt, Juan

    2016-01-01

    The question of what deters crime is of both theoretical and practical interest. The present paper focuses on what factors deter minor, non-violent crimes, i.e. dishonest actions that violate the law. Much research has been devoted to testing the effectiveness of legal sanctions on crime, while newer models also include social sanctions (judgment of friends or family) and internal sanctions (feelings of guilt). Existing research suggests that both internal sanctions and, to a lesser extent...

  8. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN

    International Nuclear Information System (INIS)

    1992-01-01

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs

  9. UTC(SU) and EOP(SU) - the only legal reference frames of Russian Federation

    Science.gov (United States)

    Koshelyaevsky, Nikolay B.; Blinov, Igor Yu; Pasynok, Sergey L.

    2015-08-01

    There are two legal time reference frames in Russian Federation. UTC(SU) deals with atomic time and play a role of reference for legal timing through the whole country. The other one, EOP(SU), deals with Earth's orientation parameters and provides the official EOP data for scientific, technical and metrological applications in Russia.The atomic time is based on two essential hardware components: primary Cs fountain standards and ensemble of continuously operating H-masers as a time unit/time scale keeper. Basing on H-maser intercomparison system data, regular H-maser frequency calibration against Cs standards and time algorithm autonomous TA(SU) time scale is maintained by the Main Metrological Center. Since 2013 time unit in TA(SU) is the second (SU) reproduced independently by VNIIFTRI Cs primary standards in accordance to it’s definition in the SI. UTC(SU) is relied on TA(SU) and steering to UTC basing on TWSTFT/GNSS time link data. As a result TA(SU) stability level relative to TT considerably exceeds 1×10-15 for sample time one month and more, RMS[UTC-UTC(SU)] ≤ 3 ns for the period of 2013-2015. UTC(SU) is broadcasted by different national means such as specialized radio and TV stations, NTP servers and GLONASS. Signals of Russian radio stations contains DUT1 and dUT1 values at 0.1s and 0.02s resolution respectively.The definitive EOP(SU) are calculated by the Main Metrological Center basing on composition of the eight independent individual EOP data streams delivered by four Russian analysis centers: VNIIFTRI, Institute of Applied Astronomy, Information-Analytical Center of Russian Space Agency and Analysis Center of Russian Space Agency. The accuracy of ultra-rapid EOP values for 2014 is estimated ≤ 0.0006" for polar motion, ≤ 70 microseconds for UT1-UTC and ≤ 0.0003" for celestial pole offsets respectively.The other VNIIFTRI EOP activities can be grouped in three basic directions:- arrangement and carrying out GNSS and SLR observations at five

  10. Metrology at Philip Morris Europe

    Directory of Open Access Journals (Sweden)

    Gualandris R

    2014-12-01

    Full Text Available The importance of the metrology function at Philip Morris Europe (PME, a multinational organisation producing at over 40 sites in the European, Middle Eastern and African Regions is presented. Standardisation of test methods and equipment as well as the traceability of calibration gauges to the same reference gauge are essential in order to obtain comparable results among the various production centers. The metrology function as well as the qualification of instruments and the drafting of test and calibration operating procedures for this region are conducted or co-ordinated by the Research and Development Department in Neuchatel, Switzerland. In this paper the metrology function within PME is presented based on the measurement of the resistance to draw for which the PME R&D laboratory is accredited (ISO/CEI 17025, as both a calibration and a testing laboratory. The following topics are addressed in this paper: traceability of calibration standards to national standards; comparison of results among manufacturing centres; the choice, the budget as well as the computation of uncertainties. Furthermore, some practical aspects related to the calibration and use of the glass multicapillary gauges are discussed.

  11. Flexible resources for quantum metrology

    Science.gov (United States)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  12. Flexible resources for quantum metrology

    International Nuclear Information System (INIS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J; Dür, Wolfgang

    2017-01-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement. (paper)

  13. Optical vortex metrology for non-destructive testing

    DEFF Research Database (Denmark)

    Wang, W.; Hanson, Steen Grüner

    2009-01-01

    Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis.......Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis....

  14. Safeguards and legal matters 1996. International Atomic Energy Agency publications

    International Nuclear Information System (INIS)

    1997-03-01

    This catalogue lists all currently valid sales publications of the International Atomic Energy Agency dealing with Safeguards and Legal Matters. Most publications are published in English. Proceedings of conferences, symposia and panels of experts may contain some papers in languages other than English (French, Russian or Spanish), but all of these papers have abstracts in English. It should be noted that prices of books are quoted in Austrian Schillings. The prices do not include local taxes and are subject to change without notice. All books in this catalogue are 16 x 24 cm, paper-bound, unless otherwise stated

  15. International legal and political issues associated with the export/import of nuclear power plants

    International Nuclear Information System (INIS)

    Manning Muntzing, L.

    1978-01-01

    The benefits of nuclear power can be achieved by most nations only through international commerce that has been shaped by political considerations and implemented through legal instruments. The end product is a structure of legal agreements designed to implement the basic political and commercial decisions that are required for any nation to enter the nuclear power arena. The IAEA Statute, the Non-Proliferation Treaty and regional nuclear agreements have reflected the international political consensus concerning nuclear power. In recent years, however, events have occurred that in all probability will result in additional international arrangements. It is expected that the increase in terrorist activities will result in greater physical protection commitments, that concern for weapons proliferation will result in further definition of sanctions, and that such troublesome issues as double labelling of materials will be discussed by the international community. In areas such as bilateral agreements between nations, commercial arrangements and export licences, this is a period of rethinking, renegotiating, and readjusting. The result is a degree of uncertainty and lack of stability that could so jeopardize the potential for nuclear transfers that the nuclear energy option may not vest. While there always will be questions and issues, it is essential to settle some of the key problems without delay so that nuclear benefits can be realized. (author)

  16. Metrological Reliability of Medical Devices

    Science.gov (United States)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  17. Terrorism as a Social and Legal Phenomenon

    Science.gov (United States)

    Serebrennikova, Anna; Mashkova, Yekaterina

    2017-01-01

    This article examines the concept of terrorism as a social and legal phenomenon, its international legal and criminal-legal characteristics. Highlighted are the main aspects of cooperation of the states and the international community to counter terrorist activities. Terrorism as a social phenomenon is determined by paragraph 1 of article 3 of the…

  18. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  19. REGULATION OF A RIGHT TO A SALARY IN THE INTERNATIONAL LEGAL INSTRUMENTS OF ILO AND UN AND THEIR IMPLEMENTATION IN THE INTERNATIONAL LABOUR LEGISLATION IN THE REPUBLIC OF MACEDONIA

    Directory of Open Access Journals (Sweden)

    Darko Majhošev

    2017-07-01

    Full Text Available This paper deals with the salary as the most important legal institute, element and principle of the labour relations. Before we approach the analysis of the legal regime of the salary, we will define the term labour relations. This paper puts special emphasis on the terminology of the notion salary, as well as the legal nature of the legal regime of the salary. Additionally, in this paper the most important international legal instruments of ILO, UN and The European Council are analyzed for salary regulation and ban on compensation discrimination. In this context, the most important legal acts in the Republic of Macedonia are analyzed which regulate the legal institute salary, i.e. the minimum wage (The Constitution, Labour Law, and Law on Minimum Wage.

  20. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    Science.gov (United States)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  1. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  2. Joint Research on Scatterometry and AFM Wafer Metrology

    Science.gov (United States)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  3. The changing purpose of mental health law: From medicalism to legalism to new legalism.

    Science.gov (United States)

    Brown, Jennifer

    2016-01-01

    The role of law in regulating mental health detention has come to engender great contention in the legal and sociological disciplines alike. This conflict is multifaceted but is centred upon the extent to which law should control the psychiatric power of detention. In this manner the evolution of law regulating mental health detention has been seen in terms of a pendulous movement between two extremes of medicalism and legalism. Drawing on socio-legal literature, legislation, international treaties and case law this article examines the changing purpose of mental health law from an English and Council of Europe perspective by utilizing the concepts of medicalism, legalism and new legalism as descriptive devices before arguing that the UN Convention on the Rights of Persons with Disabilities goes further than all of these concepts and has the potential to influence mental health laws internationally. Copyright © 2016 Elsevier Ltd. All rights reserved.

  4. European research project 'Metrology for radioactive waste management'

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. This poster presents impact, excellence, relevance to EMPR objectives, and implementation and management of this project.(author)

  5. Bayesian estimation methods in metrology

    International Nuclear Information System (INIS)

    Cox, M.G.; Forbes, A.B.; Harris, P.M.

    2004-01-01

    In metrology -- the science of measurement -- a measurement result must be accompanied by a statement of its associated uncertainty. The degree of validity of a measurement result is determined by the validity of the uncertainty statement. In recognition of the importance of uncertainty evaluation, the International Standardization Organization in 1995 published the Guide to the Expression of Uncertainty in Measurement and the Guide has been widely adopted. The validity of uncertainty statements is tested in interlaboratory comparisons in which an artefact is measured by a number of laboratories and their measurement results compared. Since the introduction of the Mutual Recognition Arrangement, key comparisons are being undertaken to determine the degree of equivalence of laboratories for particular measurement tasks. In this paper, we discuss the possible development of the Guide to reflect Bayesian approaches and the evaluation of key comparison data using Bayesian estimation methods

  6. Earth observation space programmes, SAFISY activities, strategies of international organisations, legal aspects. Volume 3

    International Nuclear Information System (INIS)

    1992-01-01

    This volume is separated in four sessions. First part is on earth observation space programmes (international earth observation projects and international collaboration, the ERS-1, SPOT and PRIRODA programmes, the first ESA earth observation polar platform and its payload, the future earth observation remote sensing techniques and concepts). The second part is on SAFISY activities (ISY programmes, education and applications, demonstrations and outreach projects). The third part is on programme and strategies of international organisations with respect to earth observation from space. The fourth part is on legal aspects of the use of satellite remote sensing data in Europe. (A.B.). refs., figs., tabs

  7. Overview of the international legal framework governing the safe and peaceful uses of nuclear energy - Some practical steps

    International Nuclear Information System (INIS)

    Rautenbach, J.; Tonhauser, W.; Wetherall, A.

    2006-01-01

    The accident on 26 April 1986 in unit 4 of the Chernobyl nuclear power plant in the former Ukrainian Republic of the Union of Soviet Socialist Republics, near the present borders of Belarus, the Russian Federation and Ukraine, was categorised at the time as 'the most devastating accident in the history of nuclear power'. Two decades on, the assessment of the health, environmental and socio-economic impacts of the accident still continues, with the aim of providing definitive and authoritative answers. In addition, from a legal perspective the accident underlined some significant deficiencies and gaps in the international legal and regulatory norms that had been established to govern the safe and peaceful uses of nuclear energy. At the same time, it stressed the need for a collective international focus on [nuclear] safety and, in its wake, prompted a call for the creation of an international regime for the safe development of [nuclear energy] under the auspices of the IAEA. For all its devastating consequences, the accident was in fact a wake-up call for the 'international nuclear community' and led to a new era in international nuclear cooperation, involving states which had so far been removed both geographically and technologically from nuclear power. In its aftermath, the international nuclear community, in an attempt to allay concerns of the public and political world over the use of the atom as a viable energy source, sought to rebuild confidence in the safety of nuclear energy, primarily through the IAEA, by urgently addressing those main deficiencies in the existing international legal framework that had been exemplified by the accident. As much as has already been written on the substantive provisions and negotiating history of the different international instruments that compromise this legal framework and that were developed under the auspices of the IAEA in the two decades since the Chernobyl accident, this paper only briefly describes their substance

  8. Legal Principles and Solutions to Combat Money Laundering in the International System

    OpenAIRE

    Majid Karimi

    2013-01-01

    This study focuse on combat money laundering legal principles and solutions to in the International System. As its clear Money laundering is the attempt to disguise the proceeds of illegal activity so that they appear to come from legitimate sources. Money is laundered through banking systems and credit institutions, non financial institutions and non financial economic activities. Combating money laundering phenomenon dates back to the 1980s. In 1989, Financial Action Task Force was set up t...

  9. Advanced metrology by offline SEM data processing

    Science.gov (United States)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  10. EU international family law: Legal basis, sources, case law of ECJ

    Directory of Open Access Journals (Sweden)

    Bordaš Bernadet

    2012-01-01

    Full Text Available The paper offers analysis of two issues. The first is the overview of the legal basis of international family law and it's sources under the Treaty of Lisbon on the Functioning of the European Union, and the second the case law of the European Court of Justice. Since 1999, when the Treaty of Amsterdam came into force, four regulations were adopted in matters of international family law as secondary sources of EU law, and three of them came into force. National courts of Member Sates are bound to apply directly three regulations, but so far only the interpretation of Brussels II bis Regulation has reached the European Court of Justice. Some of the judgments of the Court could be of interest for Serbian private international law. The reason is in the fact that the Court gave rulings on issues and concepts which are not defined in Serbian law, so they could influence the development and definitions of the those in the course of drawing up the new Act of Private International Law in Serbia. The paper reviews the Sundelind Lopez, the Hadady, the Case A. and the Mercredi judgments.

  11. Color and appearance metrology facility

    Data.gov (United States)

    Federal Laboratory Consortium — The NIST Physical Measurement Laboratory has established the color and appearance metrology facility to support calibration services for 0°/45° colored samples, 20°,...

  12. Radionuclide metrology research for nuclear site decommissioning

    Science.gov (United States)

    Judge, S. M.; Regan, P. H.

    2017-11-01

    The safe and cost-effective decommissioning of legacy nuclear sites relies on accurate measurement of the radioactivity content of the waste materials, so that the waste can be assigned to the most appropriate disposal route. Such measurements are a new challenge for the science of radionuclide metrology which was established largely to support routine measurements on operating nuclear sites and other applications such as nuclear medicine. In this paper, we provide a brief summary of the international measurement system that is established to enable nuclear site operators to demonstrate that measurements are accurate, independent and fit for purpose, and highlight some of the projects that are underway to adapt the measurement system to meet the changing demands from the industry.

  13. At-wavelength Optical Metrology Development at the ALS

    International Nuclear Information System (INIS)

    Yuan, Sheng Sam; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; Mochi, Iacopo; Macdougall, James; Morrison, Gregory Y.; Smith, Brian V.; Domning, Edward E.; McKinney, Wayne R.; Warwick, Tony

    2010-01-01

    Nano-focusing and brightness preservation for ever brighter synchrotron radiation and free electron laser beamlines require surface slope tolerances of x-ray optics on the order of 100 nrad. While the accuracy of fabrication and ex situ metrology of x-ray mirrors has improved over time, beamline in situ performance of the optics is often limited by application specific factors such as x-ray beam heat loading, temperature drift, alignment, vibration, etc. In the present work, we discuss the recent results from the Advanced Light Source developing high accuracy, in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad accuracy surface slope measurements with reflecting x-ray optics. The techniques will ultimately allow closed-loop feedback systems to be implemented for x-ray nano-focusing. In addition, we present a dedicated metrology beamline endstation, applicable to a wide range of in situ metrology and test experiments. The design and performance of a bendable Kirkpatrick-Baez (KB) mirror with active temperature stabilization will also be presented. The mirror is currently used to study, refine, and optimize in situ mirror alignment, bending and metrology methods essential for nano-focusing application.

  14. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    Science.gov (United States)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  15. Slovak Institute of Metrology. Annual Report 2001

    International Nuclear Information System (INIS)

    Bily, M.

    2002-03-01

    A brief account of activities carried out by the Slovak Institute of Metrology (SMU) in 2001 is presented. These activities are reported under the headings: (1) Organisation identification; (2) Mission and medium-term perspectives; (3) Contract with Slovak Office of Standards, Metrology and Testing of the Slovak Republic; (4) SMU activities ; (5) Economic results; (6) Personnel management; (7) Aims and results of their fulfilment; (8) Evaluation and analysis of SMU development in 2001; (9) Main group of outputs users; (10) Conclusion

  16. Objectives and functions of ionizing radiation metrology

    International Nuclear Information System (INIS)

    Rothe, H.

    1981-01-01

    Proceeding from the fundamental objectives of ionizing radiation metrology, the main tasks of metrological research and assurances of accurate measurements in dosimetry and activity determination are summarized. With a view to the technical performance of these tasks the state-of-the-art and the trends in reproduction and dissemination of dosimetric and activity units are outlined. Problems are derived that should be solved within the framework of the CMEA Standing Commissions on Standardization and on the Peaceful Uses of Atomic Energy. (author)

  17. Adopting New International Health Instruments - What Can We Learn From the FCTC? Comment on "The Legal Strength of International Health Instruments - What It Brings to Global Health Governance?"

    Science.gov (United States)

    Hesselman, Marlies; Toebes, Brigit

    2017-07-15

    This Commentary forms a response to Nikogosian's and Kickbusch's forward-looking perspective about the legal strength of international health instruments. Building on their arguments, in this commentary we consider what we can learn from the Framework Convention on Tobacco Control (FCTC) for the adoption of new legal international health instruments. © 2018 The Author(s); Published by Kerman University of Medical Sciences. This is an open-access article distributed under the terms of the Creative Commons Attribution License (http://creativecommons.org/licenses/by/4.0), which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

  18. Plant equipment services with laser metrology

    International Nuclear Information System (INIS)

    Hayes, J.H.; Kreitman, P.J.

    1995-01-01

    A new industrial metrology process is now being applied to support PWR Nuclear Plant Steam Generator Replacement Projects. The method uses laser tracking interferometry to perform as built surveys of existing and replacement plant equipment. This method provides precision data with a minimum of setup when compared to alternative methods available. In addition there is no post processing required to ascertain validity. The data is obtained quickly, processed in real time and displayed during the survey in the desired coordinate system. These capabilities make this method of industrial measure ideal for various data acquisition needs throughout the power industry, from internal/external equipment templating to area mapping. Laser tracking interferometry is an improvement on the present use of optical instruments and surveying technique. In order to describe the laser tracking interferometry measurement process, previous methods of templating and surveying are first reviewed

  19. Legal protection of private persons in the case of acts of foreign states contrary to international law - with special reference to international environmental law

    Energy Technology Data Exchange (ETDEWEB)

    Schwarze, J

    1986-01-01

    The author describes the basis for claims following to international law for a case like Chernobyl. He examines possibilities of enforcement of private claims, regarding legal protection in courts of the state where the incident occurred, and of the state where the damage was suffered, of the International Court of Justice, and by way of diplomatic protection. Individual guarantees of procedure still can be improved at present.

  20. Interpretation of the “Refugee” Term in the International Legal Acts and Laws of the CIS Countries

    Directory of Open Access Journals (Sweden)

    Gennadij A. Borisov

    2017-06-01

    Full Text Available The article describes peculiarities of the "refugee" term interpretation in the international legal acts and laws of the CIS countries. In particular, much attention is paid to its usage in the UN Convention of 1951 “About the status of refugees” and Protocol to it of 1967, and also in the Laws of the Russian Federation (Federal Law of 19.02 1993 No 4528-I “About refugees”, Armenia (The Law of the Armenia Republic of 16.01.2007 No ЗР-47 “About refugees and asylum”, Belarus (The Law of the Belarus Republic of 23.06 2008 No 354-З “About granting to citizens and people with no citizenship a refugee status, additional or temporal protection in the Belarus Republic” and Ukraine (The Law of Ukraine of 08.07.2011 No 3671-VI “About refugees and people who need additional or temporal protection”. Specific features of the interpretation of the concept of "refugee" in the legal system of these states are defined. The article gives arguments as for a single approach to the “refugee” term interpretation that must be legally confirmed within every country by a traditional international definition.

  1. Joint Research on Scatterometry and AFM Wafer Metrology

    OpenAIRE

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) m...

  2. THE STATUS OF INTERNATIONAL AGREEMENTS CONCLUDED BY THE EUROPEAN UNION IN THE EU LEGAL ORDER

    Directory of Open Access Journals (Sweden)

    Agoston Mohay

    2017-12-01

    Full Text Available The European Union is a member of the international community and is a party to numerous international agreements. The status of these international agreements in the EU legal order however is not made expressly clear by the Treaties. The most pertinent question that arises is whether secondary EU law may be reviewed in the light of international agreements in annulment procedures or preliminary ruling procedures before the Court of Justice of the EU. In its jurisprudence the Court of Justice has tied the possibility of review to the question of the direct effect of international treaties, but there are some issues of consistency in this regard. This problem is a part of the broader question of the relationship of international law and EU law, including the question whether this relationship is more akin to a monist or a dualist approach.

  3. Laser metrology and optic active control system for GAIA

    Science.gov (United States)

    D'Angelo, F.; Bonino, L.; Cesare, S.; Castorina, G.; Mottini, S.; Bertinetto, F.; Bisi, M.; Canuto, E.; Musso, F.

    2017-11-01

    The Laser Metrology and Optic Active Control (LM&OAC) program has been carried out under ESA contract with the purpose to design and validate a laser metrology system and an actuation mechanism to monitor and control at microarcsec level the stability of the Basic Angle (angle between the lines of sight of the two telescopes) of GAIA satellite. As part of the program, a breadboard (including some EQM elements) of the laser metrology and control system has been built and submitted to functional, performance and environmental tests. In the followings we describe the mission requirements, the system architecture, the breadboard design, and finally the performed validation tests. Conclusion and appraisals from this experience are also reported.

  4. A Comparative Study of Legal Terminologies in French and Romanian. The Translation of International Contract Law Terminologies

    Directory of Open Access Journals (Sweden)

    Adriana SFERLE

    2012-01-01

    Full Text Available Our article is a comparative study investigating the main aspects of legal terminology in French and Romanian. In this context, the analysis aims at translating French - Romanian, Romanian - French, terminologies of international commercial contracts. With this study we intend to improve the knowledge of legal terminology in Romanian. Romania has been faced lately, particularly since January 1st 2007, when it joined the European Union, with a real need for terminological studies, for dictionaries and data bases in all fields relating to translation and interpreting.

  5. Geodesy and metrology with a transportable optical clock

    Science.gov (United States)

    Grotti, Jacopo; Koller, Silvio; Vogt, Stefan; Häfner, Sebastian; Sterr, Uwe; Lisdat, Christian; Denker, Heiner; Voigt, Christian; Timmen, Ludger; Rolland, Antoine; Baynes, Fred N.; Margolis, Helen S.; Zampaolo, Michel; Thoumany, Pierre; Pizzocaro, Marco; Rauf, Benjamin; Bregolin, Filippo; Tampellini, Anna; Barbieri, Piero; Zucco, Massimo; Costanzo, Giovanni A.; Clivati, Cecilia; Levi, Filippo; Calonico, Davide

    2018-05-01

    Optical atomic clocks, due to their unprecedented stability1-3 and uncertainty3-6, are already being used to test physical theories7,8 and herald a revision of the International System of Units9,10. However, to unlock their potential for cross-disciplinary applications such as relativistic geodesy11, a major challenge remains: their transformation from highly specialized instruments restricted to national metrology laboratories into flexible devices deployable in different locations12-14. Here, we report the first field measurement campaign with a transportable 87Sr optical lattice clock12. We use it to determine the gravity potential difference between the middle of a mountain and a location 90 km away, exploiting both local and remote clock comparisons to eliminate potential clock errors. A local comparison with a 171Yb lattice clock15 also serves as an important check on the international consistency of independently developed optical clocks. This campaign demonstrates the exciting prospects for transportable optical clocks.

  6. Advances in speckle metrology and related techniques

    CERN Document Server

    Kaufmann, Guillermo H

    2010-01-01

    Speckle metrology includes various optical techniques that are based on the speckle fields generated by reflection from a rough surface or by transmission through a rough diffuser. These techniques have proven to be very useful in testing different materials in a non-destructive way. They have changed dramatically during the last years due to the development of modern optical components, with faster and more powerful digital computers, and novel data processing approaches. This most up-to-date overview of the topic describes new techniques developed in the field of speckle metrology over the l

  7. The international legal regime governing the peaceful uses of nuclear energy

    International Nuclear Information System (INIS)

    Talaie, F.

    2004-01-01

    This paper studies the legal regime governing the peaceful uses of nuclear energy. It addresses the issue of the prevention of the use by states of the nuclear weapons (as the most destructive weapon of mass destruction) and their elimination as the main purpose for maintaining international peace and security.Then, the paper presents examples of peaceful applications of nuclear energy. It points out that the peaceful uses of nuclear materials and technology are not hampered by obligation of States not to divert these materials into nuclear weapons. In this context, the paper analyses the provisions of the main international and regional treaties related to the nuclear energy (especially the Treaty on Non-proliferation of Nuclear Weapons and the Regional Treaty of TLATELOLCO). It also examines the international mechanism for monitoring the peaceful uses of nuclear energy and in particular studies the role of the International Atomic Energy Agency in the prevention of the proliferation of nuclear weapons through the application of safeguards agreements and the additional protocol to these agreements. One special part of the paper is dedicated to Iran and the peaceful uses of nuclear energy. The paper concludes that the existing rules of international law do not prevent any State from using and applying nuclear energy and technology for peaceful uses. These rules only make such uses subject to a comprehensive verification mechanism through the International Atomic Energy Agency safeguards agreements and the additional protocol the these agreements

  8. Legal protection of private persons in the case of acts of foreign states contrary to international law - with special reference to international environmental law

    International Nuclear Information System (INIS)

    Schwarze, J.

    1986-01-01

    The author describes the basis for claims following to international law for a case like Chernobyl. He examines possibilities of enforcement of private claims, regarding legal protection in courts of the state where the incident occurred, and of the state where the damage was suffered, of the International Court of Justice, and by way of diplomatic protection. Individual guarantees of procedure still can be improved at present. (CW) [de

  9. Coherent double-color interference microscope for traceable optical surface metrology

    Science.gov (United States)

    Malinovski, I.; França, R. S.; Bessa, M. S.; Silva, C. R.; Couceiro, I. B.

    2016-06-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed.

  10. Coherent double-color interference microscope for traceable optical surface metrology

    International Nuclear Information System (INIS)

    Malinovski, I; França, R S; Bessa, M S; Silva, C R; Couceiro, I B

    2016-01-01

    Interference microscopy is an important field of dimensional surface metrology because it provides direct traceability of the measurements to the SI base unit definition of the metre. With a typical measurement range from micrometres to nanometres interference microscopy (IM) covers the gap between classic metrology and nanometrology, providing continuous transfer of dimensional metrology into new areas of nanoscience and nanotechnology. Therefore IM is considered to be an indispensable tool for traceable transfer of the metre unit to different instruments. We report here the metrological study of an absolute Linnik interference microscope (IM) based on two frequency stabilized lasers. The design permits the flexible use of both lasers for measurements depending on the demand of the concrete measurement task. By principle of operation IM is combination of imaging and phase-shifting interferometry (PSI). The traceability is provided by the wavelength reference, that is, a He-Ne 633 nm stabilized laser. The second laser source, that is, a Blue-Green 488 nm grating stabilized laser diode, is used for improvements of resolution, and also for resolving integer fringe discontinuities on sharp features of the surface. The IM was optimized for surface height metrology. We have performed the study of the systematic effects of the measurements. This study allowed us to improve the hardware and software of IM and to find corrections for main systematic errors. The IM is purposed for 1D to 3D height metrology and surface topography in an extended range from nanometres to micrometres. The advantages and disadvantages of the design and developed methods are discussed. (paper)

  11. Legal questions about negotiating a new international climate agreement

    International Nuclear Information System (INIS)

    Maljean-Dubois, Sandrine; Wemaere, Matthieu

    2015-01-01

    Although the last IPCC report emphasized the need for urgent action, international cooperation on the climate has stalled. The second phase (2013-2020) of the Kyoto Protocol has been merely symbolic. The Cancun agreement, which made the Copenhagen one operational, laid the basis for a more flexible system for the period up to 2020. Negotiations on the period after 2020, which started in Durban in 2011, should end with a new agreement in Paris in late 2015. This future agreement should apply to all, as stipulated in the Durban Platform. However the increasing symmetry of obligations between North and South has been achieved by significantly lowering the goals set by each country with regard to its economic situation and national priorities. What kind of agreement will come out of Paris? What legal form will it take?

  12. Comparison of asphere measurements by tactile and optical metrological instruments

    NARCIS (Netherlands)

    Bergmans, R.H.; Nieuwenkamp, H.J.; Kok, G.J.P.; Blobel, G.; Nouira, H.; Küng, A.; Baas, M.; Voert, M.J.A. te; Baer, G.; Stuerwald, S.

    2015-01-01

    A comparison of topography measurements of aspherical surfaces was carried out by European metrology institutes, other research institutes and a company as part of an European metrology research project. In this paper the results of this comparison are presented. Two artefacts were circulated, a

  13. Plutonium glove boxes - metrology and operational states

    International Nuclear Information System (INIS)

    Thyer, A.M.

    2001-01-01

    The main objective was to undertake a literature review in support of NII's ongoing work in improving safety in the nuclear industry to help define suitable standards of cleanliness for plutonium glove boxes. This is to cover the following areas: existing or proposed national/international standards relating to plutonium glove box cleanliness management; practicable metrology options for assessing the plutonium content of glove boxes; any available dose information relating to the operation of modern and 'old design'; current contamination levels of specific significance (i.e. any accepted level in decommissioning/waste terms, typical criticality limits (if available), any box plutonium loadings that are documented with corresponding operator doses etc.); and, techniques for the decontamination of plutonium glove boxes and their relative effectiveness. This should then form the basis of any further development work undertaken by the UK nuclear industry. Main recommendations are as follows: 1) No information could be found in open literature on acceptable levels of contamination in boxes and action levels for cleanup. If these are not available in closed publications the 2) Where possible, the decontamination methods identified should be tested and dose information recorded against each method to allow informed decisions on which is the optimum technique for a particular form of contamination. 3) Consideration should be given to utilisation of metrology options which have the lowest potential for exposure of operators. Preferred options, may be detection from the outside of boxes using hand-held or permanently located radiation detectors, or semi-intrusive methods such as air-ionisation readings which would require one-off installation of detectors in ductwork

  14. Magnetic nanoparticles. Metrological aspects

    International Nuclear Information System (INIS)

    Nikiforov, V N; Nikiforov, A V; Oxengendler, B L; Turaeva, N N; Sredin, V G

    2011-01-01

    The experiments on influence of the iron oxide cluster size on the specific magnetic moment are performed. Both free and covered clusters are investigated. The experiments are interpreted on the base of core-shell model by analogy to Weizsaecker formula in the nuclear physics. Metrological parameters for the cluster size investigation are obtained.

  15. A new approach to stitching optical metrology data

    Science.gov (United States)

    King, Christopher W.

    The next generation of optical instruments, including telescopes and imaging apparatus, will generate an increased requirement for larger and more complex optical forms. A major limiting factor for the production of such optical components is the metrology: how do we measure such parts and with respect to what reference datum This metrology can be thought of as part of a complete cycle in the production of optical components and it is currently the most challenging aspect of production. This thesis investigates a new and complete approach to stitching optical metrology data to extend the effective aperture or, in future, the dynamic range of optical metrology instruments. A practical approach is used to build up a complete process for stitching on piano and spherical parts. The work forms a basis upon which a stitching system for aspheres might be developed in the future, which is inherently more complicated. Beginning with a historical perspective and a review of optical polishing and metrology, the work presented relates the commercially available metrology instruments to the stitching process developed. The stitching is then performed by a numerical optimization routine that seeks to join together overlapping sub-aperture measurements by consideration of the aberrations introduced by the measurement scenario, and by the overlap areas between measurements. The stitching is part of a larger project, the PPARC Optical Manipulation and Metrology project, and was to benefit from new wavefront sensing technology developed by a project partner, and to be used for the sub-aperture measurement. Difficult mathematical problems meant that such a wavefront sensor was not avail able for this work and a work-around was therefore developed using commercial instruments. The techniques developed can be adapted to work on commercial ma chine platforms, and in partuicular, the OMAM NPL/UCL swing-arm profilometer described in chapter 5, or the computer controlled polishing machines

  16. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  17. Whither the legal control of nuclear energy?

    International Nuclear Information System (INIS)

    Riley, Peter

    1995-01-01

    International nuclear trade is governed by the regime of legal control of nuclear energy, nuclear materials, knowledge of nuclear processes and weapons. Nuclear trade is under pinned by international agreements concerning physical protection and safeguards, the control of nuclear weapons, the protection of nuclear materials from terrorist action and third part liability. The political and geographical boundary changes of the past two years have significantly altered the background against which this regime has developed. Such changes have affected nuclear trade. The paper summarised the legal control of nuclear energy between States, identifies the areas of change which may affect this regime and the consequences for international trade. Conclusions are drawn as to the development of the international legal control of nuclear energy. (author). 21 refs

  18. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  19. German Legal History: National Traditions and Transnational Perspectives

    Directory of Open Access Journals (Sweden)

    Thomas Duve

    2014-01-01

    Full Text Available In this article, I review select institutional and analytical traditions of Legal History in 20th century Germany, in order to put forth some recommendations for the future development of our discipline. A careful examination of the evolution of Legal History in Germany in the last twenty-five years, in particular, reveals radical transformations in the research framework: Within the study of law, there has been a shift in the internal reference points for Legal History. While the discipline is opening up to new understandings of law and to its neighboring disciplines, its institutional position at the law departments has become precarious. Research funding is being allocated in new ways and the German academic system is witnessing ever more internal differentiation. Internationally, German contributions and analytic traditions are receiving less attention and are being marginalized as new regions enter into a global dialogue on law and its history. The German tradition of research in Legal History had for long been setting benchmarks internationally; now it has to reflect upon and react to new global knowledge systems that have emerged in light of the digital revolution and the transnationalization of legal and academic systems. If legal historians in Germany accept the challenge these changing conditions pose, thrilling new intellectual and also institutional opportunities emerge. Especially the transnationalization of law and the need for a transnational legal scholarship offers fascinating perspectives for Legal History.

  20. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Kraakman, R.; Voorbraak, W.P.

    1993-04-01

    Additional to the in-core EXOTIC experiments, six irradiations of ceramic material, R212-001 to R212-006, have been performed in the PSF of the HFR. This note presents the neutron metrology results for these irradiations. (orig.)

  1. LEGAL CULTURES AND MEDIATION. INTERACTIONS AND EVOLUTIONS

    Directory of Open Access Journals (Sweden)

    Claudiu Ramon D. BUTCULESCU

    2014-05-01

    Full Text Available Mediation, as an alternative dispute resolution method, is closely connected with the system of legal cultures. Mediation is an important link between legal culture and the judicial system. Mediation also acts as an interface between internal legal culture and external legal culture. This paper addresses the issues regarding the links and interactions between mediation and legal cultures, as well as the effects that arise from these interactions.

  2. Interoperability: linking design and tolerancing with metrology.

    Science.gov (United States)

    Morse, Edward; Heysiattalab, Saeed; Barnard-Feeney, Allison; Hedberg, Thomas

    2016-01-01

    On October 30, 2014 the American National Standards Institute (ANSI) approved QIF v 2.0 (Quality Information Framework, version 2.0) as an American National Standard. Subsequently in early 2016 QIF version 2.1 was approved. This paper describes how the QIF standard models the information necessary for quality workflow across the full metrology enterprise. After a brief description of the XML 'language' used in the standard, the paper reports on how the standard enables information exchange among four major activities in the metrology enterprise (product definition; measurement planning; measurement execution; and the analysis and reporting of the quality data).

  3. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  4. Effect of measurement error budgets and hybrid metrology on qualification metrology sampling

    Science.gov (United States)

    Sendelbach, Matthew; Sarig, Niv; Wakamoto, Koichi; Kim, Hyang Kyun (Helen); Isbester, Paul; Asano, Masafumi; Matsuki, Kazuto; Osorio, Carmen; Archie, Chas

    2014-10-01

    Until now, metrologists had no statistics-based method to determine the sampling needed for an experiment before the start that accuracy experiment. We show a solution to this problem called inverse total measurement uncertainty (TMU) analysis, by presenting statistically based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important "risk versus reward" sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions and then to reveal why low sampling can lead to unstable and misleading results. One model is developed that can help experimenters minimize sampling costs. A second cost model reveals the inadequacy of some current sampling practices-and the enormous costs associated with sampling that provides reasonable levels of certainty in the result. We introduce the strategies on how to manage and mitigate these costs and begin the discussion on how fabs are able to manufacture devices using minimal reference sampling when qualifying metrology steps. Finally, the relationship between inverse TMU analysis and hybrid metrology is explored.

  5. 5th Conference on Advanced Mathematical and Computational Tools in Metrology

    CERN Document Server

    Cox, M G; Filipe, E; Pavese, F; Richter, D

    2001-01-01

    Advances in metrology depend on improvements in scientific and technical knowledge and in instrumentation quality, as well as on better use of advanced mathematical tools and development of new ones. In this volume, scientists from both the mathematical and the metrological fields exchange their experiences. Industrial sectors, such as instrumentation and software, will benefit from this exchange, since metrology has a high impact on the overall quality of industrial products, and applied mathematics is becoming more and more important in industrial processes.This book is of interest to people

  6. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    Science.gov (United States)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  7. Efficiency improvements of offline metrology job creation

    Science.gov (United States)

    Zuniga, Victor J.; Carlson, Alan; Podlesny, John C.; Knutrud, Paul C.

    1999-06-01

    Progress of the first lot of a new design through the production line is watched very closely. All performance metrics, cycle-time, in-line measurement results and final electrical performance are critical. Rapid movement of this lot through the line has serious time-to-market implications. Having this material waiting at a metrology operation for an engineer to create a measurement job plan wastes valuable turnaround time. Further, efficient use of a metrology system is compromised by the time required to create and maintain these measurement job plans. Thus, having a method to develop metrology job plans prior to the actual running of the material through the manufacture area can significantly improve both cycle time and overall equipment efficiency. Motorola and Schlumberger have worked together to develop and test such a system. The Remote Job Generator (RJG) created job plans for new device sin a manufacturing process from an NT host or workstation, offline. This increases available system tim effort making production measurements, decreases turnaround time on job plan creation and editing, and improves consistency across job plans. Most importantly this allows job plans for new devices to be available before the first wafers of the device arrive at the tool for measurement. The software also includes a database manager which allows updates of existing job plans to incorporate measurement changes required by process changes or measurement optimization. This paper will review the result of productivity enhancements through the increased metrology utilization and decreased cycle time associated with the use of RJG. Finally, improvements in process control through better control of Job Plans across different devices and layers will be discussed.

  8. International legal status of refugees in the territory of one party to armed conflict

    Directory of Open Access Journals (Sweden)

    Tesla Milan

    2017-01-01

    Full Text Available The international law of armed conflicts provides a legal protection to refugees if they find themselves in the territory of warring parties. Refugees are regarded as protected persons, particularly in international armed conflicts. Refugees are people forced to leave their country to find a shelter in a foreign country as a result of political events or the treat of prosecution. The legal status of refugees was regulated first by Convention relating to the Status of Refugees of 1951. The legal status of refugees in armed conflicts was regulated by Geneva Convention relating to Protection of Civilian Persons in Time of War of 1949 and by Protocol I of 1977. Both acts regulate the status of refugees who, at the moment of the beginning of hostile activities find themselves in the territory of one party to the conflict i.e., the occupied territory. So it is therefore about foreigners who have been granted a refugee status before the start of the conflict. According to the same Convention, party to the conflict, at which territory the refugees find themselves in, cannot consider them, neither treat them as foreigners nor hostile citizens, just because they belong to a hostile state. Protocol I, expended the protection of refugees in the way it is regulated, that the persons treated like refugees or stateless persons, before the start of hostilities, would be under the protection of provisions of IV Geneva Convention in all circumstances and without any adverse distinction. We should particularly emphasize the right of refugees, not to be driven out to the country where their lives or freedom could be endangered because of their belonging to a group or because of their political opinion.

  9. Analysis - what is legal medicine?

    Science.gov (United States)

    Beran, Roy G

    2008-04-01

    Legal medicine addresses the interface between medicine and law in health care. The Australian College of Legal Medicine (ACLM) established itself as the peak body in legal and forensic medicine in Australia. It helped establish the Expert Witness Institute of Australia (EWIA), the legal medicine programme at Griffith University and contributes to government enquiries. Public health, disability assessment, competing priorities of privacy verses notification and determination of fitness for a host of pursuits are aspects of legal medicine. Complementing the EWIA, the ACLM runs training programmes emphasising legal medicine skills additional to clinical practice, advocating clinical relevance. Assessment of athletes' fitness and ensuring that prohibited substances are not inadvertently prescribed represent a growing area of legal medicine. Ethical consideration of health care should respect legal medicine principles rather than armchair commentary. International conventions must be respected by legal medicine and dictate physicians' obligations. The NSW courts imposed a duty to provide emergency medical care. Migration and communicable diseases are aspects of legal medicine. Police surgeons provide a face to legal medicine (which incorporates forensic medicine) underpinning its public perception of specialty recognition. Legal medicine deserves its place as a medical specialty in its own right.

  10. Labor rights as legal constitutional category – Kosovo in relation to international labor organization

    Directory of Open Access Journals (Sweden)

    Muhamet Vokrri

    2015-11-01

    Full Text Available The purpose of this study paper is the analyzing of the processes that followed the labor relations, its historical development respectively, since its first concrete efforts from the representatives of the workers (unions till the establishment of the International Labor Organization (1919. As it is known the labor law presents one of the fundamental rights of the human being, thus such rights (right to work, freedom of work are constitutional category and protected in whole legal systems of the present time. The efforts to install such positive spirit were not easy. Genuinely is known that bearers of progressive developments in this field (end of XIX century were organized groups of workers (unions, then initiatives from various statesmen and later to be materialized from the governments of present time followed by the addressing and protecting of these rights in international aspect. Practice has proved that creation, purpose and activity of International Labor Organization has provided its benefits in achieving the primary principles of work, such rights proclaimed by the majority of world states and embedded in their highest legal act (Constitution of the organization in question (ILO. We consider that bearers of government politics of Kosovo, responsible sectors of this field respectively, shall regard these rules set and implemented by this international body and at the same time make maximal efforts towards advancing the current legislation in this field as well as to utilize all necessary resources in order to achieve the vital goal which is the adherence in ILO. This would certainly have an impact on minimizing the occurrence of eventual discontent from the organized groups (Unions as well as other classes and naturally the progress and positive effects in this field would be visible and useful for the society.

  11. Metrology network: a case study on the metrology network of defense and security from SIBRATEC

    International Nuclear Information System (INIS)

    Pereira, Marisa Ferraz Figueira

    2016-01-01

    This study is focused on understanding the effects of the infrastructure improvement of these laboratories and the role of network management in offering support and metrological services to the defense and security sector enterprises, within the project purposes. It is also aimed identify gaps on offering calibration and, or testing services to supply demands of the defense and security industries, and analyze adequacy of RDS project to demands of defense and security industries, with the purpose to contribute with information for future actions. The experimental research is qualitative type, with exploratory research characteristics, based on case study. It was structured in two parts, involving primary data collection and secondary data. In order to collect the primary data two questionnaires were prepared, one (Questionnaire A) to the five RDS laboratories representatives and other (Questionnaire B) to the contacts of 63 defense and security enterprises which need calibration and test services, possible customers of RDS laboratories. Answers from four representatives of RDS laboratories and from 26 defense and security enterprises were obtained. The collection of secondary data was obtained from documentary research. The analysis was made based on five dimensions defined in order to organize and improve the understanding of the research setting. They are RDS project coverage, regional, network management, metrological traceability and importance and visibility of RDS. The results indicated that the performance of RDS does not interfere, by that time, in the metrological traceability of the products of the defense and security enterprises that participated in the research. (author)

  12. Human Rights Treaties Are an Important Part of the "International Health Instrumentariam" Comment on "The Legal Strength of International Health Instruments - What It Brings to Global Health Governance?"

    Science.gov (United States)

    Forman, Lisa

    2017-10-02

    In their commentary, Haik Nikogosian and Ilona Kickbusch argue for the necessity of new binding international legal instruments for health to address complex health determinants and offer a cogent analysis of the implications of such treaties for future global health governance. Yet in doing so they pay no attention to the existing instrumentarium of international legally binding treaties relevant to health, in the form of human rights treaties. International human rights law has entrenched individual entitlements and state obligations in relation to individual and public health through iterative human rights treaties since 1946. These treaties offer normative specificity, institutional monitoring and the possibility of enforcement and accountability. If we are to build a new 'international health instrumentariam' we should not ignore existing and important tools that can assist in this endeavor. © 2018 The Author(s); Published by Kerman University of Medical Sciences. This is an open-access article distributed under the terms of the Creative Commons Attribution License (http://creativecommons.org/licenses/by/4.0), which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

  13. Metrology in CNEN NN 3.05/13 standard

    International Nuclear Information System (INIS)

    Mello, Marina Santiago de

    2014-01-01

    The nuclear medicine exams are widely used tools in health services for a reliable clinical and functional diagnosis of a disease. In Brazil, the National Nuclear Energy Commission, through the norm CNEN-NN 3:05/13, provides for the requirements of safety and radiological protection in nuclear medicine services. The objective of this review article was to emphasize the importance of metrology in compliance with this norm. We observed that metrology plays a vital role as it ensures the quality, accuracy, reproducibility and consistency of the measurements in the field of nuclear medicine. (author)

  14. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    Science.gov (United States)

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  15. Vendor-based laser damage metrology equipment supporting the National Ignition Facility

    International Nuclear Information System (INIS)

    Campbell, J. H; Jennings, R. T.; Kimmons, J. F.; Kozlowski, M. R.; Mouser, R. P.; Schwatz, S.; Stolz, C. J.; Weinzapfel, C. L.

    1998-01-01

    A sizable laser damage metrology effort is required as part of optics production and installation for the 192 beam National Ignition Facility (NIF) laser. The large quantities, high damage thresholds, and large apertures of polished and coated optics necessitates vendor-based metrology equipment to assure component quality during production. This equipment must be optimized to provide the required information as rapidly as possible with limited operator experience. The damage metrology tools include: (1) platinum inclusion damage test systems for laser amplifier slabs, (2) laser conditioning stations for mirrors and polarizers, and (3) mapping and damage testing stations for UV transmissive optics. Each system includes a commercial Nd:YAG laser, a translation stage for the optics, and diagnostics to evaluate damage. The scanning parameters, optical layout, and diagnostics vary with the test fluences required and the damage morphologies expected. This paper describes the technical objectives and milestones involved in fulfilling these metrology requirements

  16. Metrological analysis of a virtual flowmeter-based transducer for cryogenic helium

    Energy Technology Data Exchange (ETDEWEB)

    Arpaia, P., E-mail: pasquale.arpaia@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Girone, M., E-mail: mario.girone@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Department of Engineering, University of Sannio, Benevento (Italy); Liccardo, A., E-mail: annalisa.liccardo@unina.it [Department of Electrical Engineering and Information Technology, University of Napoli Federico II, Naples (Italy); Pezzetti, M., E-mail: marco.pezzetti@cern.ch [Technology Department, European Organization for Nuclear Research (CERN), Geneva (Switzerland); Piccinelli, F., E-mail: fabio.piccinelli@cern.ch [Department of Mechanical Engineering, University of Brescia, Brescia (Italy)

    2015-12-15

    The metrological performance of a virtual flowmeter-based transducer for monitoring helium under cryogenic conditions is assessed. At this aim, an uncertainty model of the transducer, mainly based on a valve model, exploiting finite-element approach, and a virtual flowmeter model, based on the Sereg-Schlumberger method, are presented. The models are validated experimentally on a case study for helium monitoring in cryogenic systems at the European Organization for Nuclear Research (CERN). The impact of uncertainty sources on the transducer metrological performance is assessed by a sensitivity analysis, based on statistical experiment design and analysis of variance. In this way, the uncertainty sources most influencing metrological performance of the transducer are singled out over the input range as a whole, at varying operating and setting conditions. This analysis turns out to be important for CERN cryogenics operation because the metrological design of the transducer is validated, and its components and working conditions with critical specifications for future improvements are identified.

  17. THE RIGHT TO FORM AND TO JOIN TRADE UNIONS AS DEFINED IN INTERNATIONAL LEGAL INSTRUMENTS

    Directory of Open Access Journals (Sweden)

    Andon Majhoshev

    2017-07-01

    Full Text Available The right of workers to form and to join trade unions is one of the most important international labour standards. This means that employees, no matter where they are employed (public or private sector, have the right to form their own organizations (unions. Apart from the employees, employers also have the right to form and join in employers’ associations. The right of employees and employers to organize is based on the following principles: voluntariness, autonomy and democracy. The general objective of the formation of unions and employers’ associations is to protect the rights and interests of members of the union and the employers’ association, as well as their promotion in an organized manner. The provision and guarantee of union and workers' rights are guaranteed by a number of international and regional legal instruments (conventions, recommendations, regulations, such as ILO, UN, Council of Europe and the European Union, which will be analysed further in this paper. The main objective arising from these documents is to improve the position of workers and their protection. Within the paper, we will also analyse the most important legal acts of the Republic of Macedonia concerning the right to join unions. By analysing the content of the national labour legislation, we will determine the extent to which the international labour law is being implemented. Moreover, the paper will analyse the basic principles underlying union organization and association.

  18. The Remarkable Metrological History of Radiocarbon Dating [II].

    Science.gov (United States)

    Currie, Lloyd A

    2004-01-01

    This article traces the metrological history of radiocarbon, from the initial breakthrough devised by Libby, to minor (evolutionary) and major (revolutionary) advances that have brought (14)C measurement from a crude, bulk [8 g carbon] dating tool, to a refined probe for dating tiny amounts of precious artifacts, and for "molecular dating" at the 10 µg to 100 µg level. The metrological advances led to opportunities and surprises, such as the non-monotonic dendrochronological calibration curve and the "bomb effect," that gave rise to new multidisciplinary areas of application, ranging from archaeology and anthropology to cosmic ray physics to oceanography to apportionment of anthropogenic pollutants to the reconstruction of environmental history. Beyond the specific topic of natural (14)C, it is hoped that this account may serve as a metaphor for young scientists, illustrating that just when a scientific discipline may appear to be approaching maturity, unanticipated metrological advances in their own chosen fields, and unanticipated anthropogenic or natural chemical events in the environment, can spawn new areas of research having exciting theoretical and practical implications.

  19. DABAM: an open-source database of X-ray mirrors metrology

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez del Rio, Manuel, E-mail: srio@esrf.eu [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Bianchi, Davide [AC2T Research GmbH, Viktro-Kaplan-Strasse 2-C, 2700 Wiener Neustadt (Austria); Cocco, Daniele [SLAC National Accelerator Laboratory, 2575 Sand Hill Road, Menlo Park, CA 94025 (United States); Glass, Mark [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Idir, Mourad [NSLS II, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Metz, Jim [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Raimondi, Lorenzo; Rebuffi, Luca [Elettra-Sincrotrone Trieste SCpA, Basovizza (TS) (Italy); Reininger, Ruben; Shi, Xianbo [Advanced Photon Source, Argonne National Laboratory, Argonne, IL 60439 (United States); Siewert, Frank [BESSY II, Helmholtz Zentrum Berlin, Institute for Nanometre Optics and Technology, Albert-Einstein-Strasse 15, 12489 Berlin (Germany); Spielmann-Jaeggi, Sibylle [Swiss Light Source at Paul Scherrer Institut, CH-5232 Villigen PSI (Switzerland); Takacs, Peter [Instrumentation Division, Brookhaven National Laboratory, Upton, NY 11973-5000 (United States); Tomasset, Muriel [Synchrotron Soleil (France); Tonnessen, Tom [InSync Inc., 2511C Broadbent Parkway, Albuquerque, NM 87107 (United States); Vivo, Amparo [ESRF - The European Synchrotron, 71 Avenue des Martyrs, 38000 Grenoble (France); Yashchuk, Valeriy [Advanced Light Source, Lawrence Berkeley National Laboratory, MS 15-R0317, 1 Cyclotron Road, Berkeley, CA 94720-8199 (United States)

    2016-04-20

    DABAM, an open-source database of X-ray mirrors metrology to be used with ray-tracing and wave-propagation codes for simulating the effect of the surface errors on the performance of a synchrotron radiation beamline. An open-source database containing metrology data for X-ray mirrors is presented. It makes available metrology data (mirror heights and slopes profiles) that can be used with simulation tools for calculating the effects of optical surface errors in the performances of an optical instrument, such as a synchrotron beamline. A typical case is the degradation of the intensity profile at the focal position in a beamline due to mirror surface errors. This database for metrology (DABAM) aims to provide to the users of simulation tools the data of real mirrors. The data included in the database are described in this paper, with details of how the mirror parameters are stored. An accompanying software is provided to allow simple access and processing of these data, calculate the most usual statistical parameters, and also include the option of creating input files for most used simulation codes. Some optics simulations are presented and discussed to illustrate the real use of the profiles from the database.

  20. Documentary Letters of Credit, Legal Nature and Sources of Law

    Directory of Open Access Journals (Sweden)

    Alavi Hamed

    2016-06-01

    Full Text Available There is no doubt about risky nature of international trade. Such risk can be conceptualized as country risk, transportation risk, customer risk and etc. Documentary Letters of Credit (LC are used as a method of payment in international business for many centuries in order to reduce risk of trade specially when parties are located in different countries and do not have precise information from financial standing of each other. In such occasion LC will reduce the risk of trade by shifting payment obligation from buyer as an individual to a payment guarantee of a bank as a legal entity in return for presentation of complying documents with terms of credit by seller. Familiarity with legal nature and different legal frameworks which govern the international operation of documentary letters of credit can facilitate the process of international trade for businessmen and boost national economies. However, lack of knowledge about them can impose huge losses on international traders. Situation will be more complicated when we understand that there are many internationally recognized legal frameworks which can affect the operation of LC and they get frequently updated in order to address technological and economic developments in global market. In this paper, author tries to answer questions regarding (i what are international legal frameworks governing operation of documentary letters of credit? (ii which areas of LC operation has been covered by them and (iii how do they address the legal questions regarding international operation of documentary letters of credit?

  1. Public Participation and the Rights of the Child: Reflection on International Law Standards in the Legal System of the Russian Federation

    Directory of Open Access Journals (Sweden)

    Mariya Riekkinen

    2016-01-01

    Full Text Available This article deals with the much debated issue of children’s public participation from the perspective of legal practices in the Russian Federation. Having emerged at the level of national jurisdictions, the practice of engaging minors in decision-making processes on issues of public significance – or the practice of public participation of children – is stipulated by the UN Committee on the Rights of the Child, based on Article 12 of the UN Convention on the Rights of the Child. Public participation of minors implies that children have clearly defined opportunities to take part in decision-making processes concerning those political and public matters affecting their interests.Albeit limited by the clause “regarding the issues concerning them,” the claims for such participation are dictated by emerging standards of international law. The author has examined the process of devising these standards in Russian public law. Moreover, an analysis of the evolution of academic views on public participation of children in Russian legal scholarship is also included in this article.Relying extensively on the method of legal analysis and the comparative analysis of the conformity of national public law standards with respect to international law, the author proposes several legal amendments to the Federal law “On the Basic Guarantees of the Rights of the Child in the Russian Federation,” which would lead to anchoring more solidly the participatory right of minors in the legal system of the Russian Federation.

  2. Law in Translation: Challenges and Opportunities in Teaching International Students in Business Law and Legal Environment Courses

    Science.gov (United States)

    Dove, Laura R.; Bryant, Natalie P.

    2016-01-01

    The purpose of this article is to outline the unique challenges faced by international students enrolled in business law or legal environment of business courses. It is also imperative to recognize the numerous opportunities that instructors can create in business law classrooms that will enhance the experience of all students given the…

  3. Machine tool metrology an industrial handbook

    CERN Document Server

    Smith, Graham T

    2016-01-01

    Maximizing reader insights into the key scientific disciplines of Machine Tool Metrology, this text will prove useful for the industrial-practitioner and those interested in the operation of machine tools. Within this current level of industrial-content, this book incorporates significant usage of the existing published literature and valid information obtained from a wide-spectrum of manufacturers of plant, equipment and instrumentation before putting forward novel ideas and methodologies. Providing easy to understand bullet points and lucid descriptions of metrological and calibration subjects, this book aids reader understanding of the topics discussed whilst adding a voluminous-amount of footnotes utilised throughout all of the chapters, which adds some additional detail to the subject. Featuring an extensive amount of photographic-support, this book will serve as a key reference text for all those involved in the field. .

  4. Coordinate Metrology by Traceable Computed Tomography

    DEFF Research Database (Denmark)

    Müller, Pavel

    is an important factor for decision making about manufactured parts. However, due to many influences in CT, estimation of the uncertainty is a challenge, also because standardized procedures and guidelines are not available yet. In this thesis, several methods for uncertainty estimation were applied in connection......, characterization and correction of measurement errors in the CT volume. Their application appeared to be suitable for this task. Because the two objects consist of ruby spheres and carbon fibre, CT scans did not produce image artifacts, and evaluation of sphere-to-sphere distances was robust. Several methods...... metrology and coordinate metrology and is currently becoming more and more important measuring technique for dimensional measurements. This is mainly due to the fact that with CT, a complete three-dimensional model of the scanned part is in a relatively short time visualized using a computer...

  5. Civil legal responsibility for environmental pollution

    Directory of Open Access Journals (Sweden)

    Pajtić Bojan L.

    2015-01-01

    Full Text Available Serbia's EU accession process has actualised the need to harmonise our legislation with the common legal regulations of the European community of nations. The accelerated economic growth produces environmental challenges associated with harmful emissions. This paper gives an account of international declarations, conventions, directives and other state and civil society instruments of legal protection against the environmental damage. A special focus is placed on our positive legislation and enforcement of legal regulations in ensuring the civil legal responsibility, i.e. prevention of the occurrence of damage and indemnification for the damage caused.

  6. Metrology and analytical chemistry: Bridging the cultural gap

    International Nuclear Information System (INIS)

    King, Bernard

    2002-01-01

    Metrology in general and issues such as traceability and measurement uncertainty in particular are new to most analytical chemists and many remain to be convinced of their value. There is a danger of the cultural gap between metrologists and analytical chemists widening with unhelpful consequences and it is important that greater collaboration and cross-fertilisation is encouraged. This paper discusses some of the similarities and differences in the approaches adopted by metrologists and analytical chemists and indicates how these approaches can be combined to establish a unique metrology of chemical measurement which could be accepted by both cultures. (author)

  7. Enabling CD SEM metrology for 5nm technology node and beyond

    Science.gov (United States)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  8. Automation of testing the metrological reliability of nondestructive control systems

    International Nuclear Information System (INIS)

    Zhukov, Yu.A.; Isakov, V.B.; Karlov, Yu.K.; Kovalevskij, Yu.A.

    1987-01-01

    Opportunities of microcomputers are used to solve the problem of testing control-measuring systems. Besides the main program the program of data processing when characterizing the nondestructive control systems is written in the microcomputer. The program includes two modules. The first module contains tests-programs, by which accuracy of functional elements of the microcomputer and interface elements with issuing a message to the operator on readiness of the elements for operation and failure of a certain element are determined. The second module includes: calculational programs when determining metrological reliability of measuring channel reliability, a calculational subprogram for random statistical measuring error, time instability and ''dead time''. Automation of testing metrological reliability of the nondestructive control systems increases reliability of determining metrological parameters and reduces time of system testing

  9. In-line CD metrology with combined use of scatterometry and CD-SEM

    Science.gov (United States)

    Asano, Masafumi; Ikeda, Takahiro; Koike, Toru; Abe, Hideaki

    2006-03-01

    Measurement characteristics in scatterometry and CD-SEM for lot acceptance sampling of inline critical dimension (CD) metrology were investigated by using a statistical approach with Monte Carlo simulation. By operation characteristics curve analysis, producer's risk and consumer's risk arising from sampling were clarified. Single use of scatterometry involves a higher risk, such risk being particularly acute in the case of large intra-chip CD variation because it is unable to sufficiently monitor intra-chip CD variation including local CD error. Substituting scatterometry for conventional SEM metrology is accompanied with risks, resulting in the increase of unnecessary cost. The combined use of scatterometry and SEM metrology in which the sampling plan for SEM is controlled by scatterometry is a promising metrology from the viewpoint of the suppression of risks and cost. This is due to the effect that CD errors existing in the distribution tails are efficiently caught.

  10. The Act of 17 March 2000 on metrology and on changes and amendments of some acts

    International Nuclear Information System (INIS)

    2000-01-01

    This act metrology for organization of unity and correctness of mensuration adapts (a) the law measurement units, (b) the requests on committed gauges and their metrological control, (c) the conditions of official mensuration, (d) the requests on consumptive packages articles; (e) the conditions of authorization and registration, (f) operation of organs of the state administration for metrology, (g) the metrological authority (h) putting of fines. This act shall into effect on 1 July 2000

  11. Optical metrology techniques for dimensional stability measurements

    NARCIS (Netherlands)

    Ellis, Jonathan David

    2010-01-01

    This thesis work is optical metrology techniques to determine material stability. In addition to displacement interferometry, topics such as periodic nonlinearity, Fabry-Perot interferometry, refractometry, and laser stabilization are covered.

  12. Metrological challenges for measurements of key climatological observables: oceanic salinity and pH, and atmospheric humidity. Part 1: overview

    Science.gov (United States)

    Feistel, R.; Wielgosz, R.; Bell, S. A.; Camões, M. F.; Cooper, J. R.; Dexter, P.; Dickson, A. G.; Fisicaro, P.; Harvey, A. H.; Heinonen, M.; Hellmuth, O.; Kretzschmar, H.-J.; Lovell-Smith, J. W.; McDougall, T. J.; Pawlowicz, R.; Ridout, P.; Seitz, S.; Spitzer, P.; Stoica, D.; Wolf, H.

    2016-02-01

    Water in its three ambient phases plays the central thermodynamic role in the terrestrial climate system. Clouds control Earth’s radiation balance, atmospheric water vapour is the strongest ‘greenhouse’ gas, and non-equilibrium relative humidity at the air-sea interface drives evaporation and latent heat export from the ocean. On climatic time scales, melting ice caps and regional deviations of the hydrological cycle result in changes of seawater salinity, which in turn may modify the global circulation of the oceans and their ability to store heat and to buffer anthropogenically produced carbon dioxide. In this paper, together with three companion articles, we examine the climatologically relevant quantities ocean salinity, seawater pH and atmospheric relative humidity, noting fundamental deficiencies in the definitions of those key observables, and their lack of secure foundation on the International System of Units, the SI. The metrological histories of those three quantities are reviewed, problems with their current definitions and measurement practices are analysed, and options for future improvements are discussed in conjunction with the recent seawater standard TEOS-10. It is concluded that the International Bureau of Weights and Measures, BIPM, in cooperation with the International Association for the Properties of Water and Steam, IAPWS, along with other international organizations and institutions, can make significant contributions by developing and recommending state-of-the-art solutions for these long standing metrological problems in climatology.

  13. Safeguards and legal matters 1994. International Atomic Energy Agency Publications

    International Nuclear Information System (INIS)

    1995-01-01

    This catalogue lists all sales publications of the International Atomic Energy Agency dealing with Safeguards and Legal Matters issued during the period 1970-1994. Most publications are published in English, through some are also available in French, Russian and Spanish. Proceedings of conferences, symposia and panels of experts may contain some papers in languages other than English (French, Russian or Spanish), but all of these papers have abstracts in English. If publications are also available in other languages than English, this is noted as C for Chinese, F for French, R for Russian and S for Spanish by the relevant ISBN number. It should be noted that prices of books are quoted in Austrian Schillings. The prices do not include local taxes and are subject to change without notice. All books in this catalogue are 16 x 24 cm, paper-bound, unless otherwise stated

  14. Activities of IPEN Nuclear Metrology Laboratory

    International Nuclear Information System (INIS)

    Dias, M.S.; Koskinas, M.F.; Pocobi, E.; Silva, C.A.M.; Machado, R.R.

    1987-01-01

    The activities of IPEN Nuclear Metrology Laboratory, which the principal objective is radionuclides activities determination for supplying sources and standard radioactive solutions in activity are presented. The systems installed, the activity bands and some of standards radionuclides are shown. (C.G.C.) [pt

  15. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    Science.gov (United States)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  16. Legal Counsel | IDRC - International Development Research Centre

    International Development Research Centre (IDRC) Digital Library (Canada)

    The Legal Counsel assists the Corporate Secretary and General Counsel in advising, and providing support to, Centre management and the Board of Governors on ... This involves providing strategic and tactical advice to, and working as an integral member of, IDRC negotiating teams on particular transactions towards:.

  17. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy.

    Science.gov (United States)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-05-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or "tophat" beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  18. Mycotoxin metrology: Gravimetric production of zearalenone calibration solution

    Science.gov (United States)

    Rego, E. C. P.; Simon, M. E.; Li, Xiuqin; Li, Xiaomin; Daireaux, A.; Choteau, T.; Westwood, S.; Josephs, R. D.; Wielgosz, R. I.; Cunha, V. S.

    2018-03-01

    Food safety is a major concern for countries developing metrology and quality assurance systems, including the contamination of food and feed by mycotoxins. To improve the mycotoxin analysis and ensure the metrological traceability, CRM of calibration solution should be used. The production of certified mycotoxin solutions is a major challenge due to the limited amount of standard for conducting a proper purity study and due to the cost of standards. The CBKT project was started at BIPM and Inmetro produced gravimetrically one batch of zearelenone in acetronitrile (14.708 ± 0.016 μg/g, k=2) and conducted homogeneity, stability and value assignment studies.

  19. International legal instruments promoting synergy's in nuclear safety, security and safeguards: myth of reality?

    International Nuclear Information System (INIS)

    Vasmant, A.

    2009-01-01

    The purpose of this article is to assess the existing synergies between nuclear safety, nuclear security and non-proliferation/safeguards resulting from the adoption of international legal instruments. Keeping in mind that a synergy is the extra success achieved by two or more elements of a system working together instead of on their own, this paper will try to evaluate the possibility of a so-called '3 S' approach to optimize the benefits so defined. to achieve this, Part 1 focuses on the history of the three regimes and their major features, while Part 2, 3 and 4 explore the various benefits of, limits to, synergies between the nuclear safety, nuclear security and safeguards regimes. Part 5 describes the potential '3 S' approach in international nuclear law. (N.C.)

  20. Speckle-based at-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Zhou, Tunhe; Kashyap, Yogesh; Sawhney, Kawal

    2017-08-01

    To achieve high resolution and sensitivity on the nanometer scale, further development of X-ray optics is required. Although ex-situ metrology provides valuable information about X-ray optics, the ultimate performance of X-ray optics is critically dependent on the exact nature of the working conditions. Therefore, it is equally important to perform in-situ metrology at the optics' operating wavelength (`at-wavelength' metrology) to optimize the performance of X-ray optics and correct and minimize the collective distortions of the upstream beamline optics, e.g. monochromator, windows, etc. Speckle-based technique has been implemented and further improved at Diamond Light Source. We have demonstrated that the angular sensitivity for measuring the slope error of an optical surface can reach an accuracy of two nanoradians. The recent development of the speckle-based at-wavelength metrology techniques will be presented. Representative examples of the applications of the speckle-based technique will also be given - including optimization of X-ray mirrors and characterization of compound refraction lenses. Such a high-precision metrology technique will be extremely beneficial for the manufacture and in-situ alignment/optimization of X-ray mirrors for next-generation synchrotron beamlines.

  1. Security, development and human rights: normative, legal and policy challenges for the international drug control system.

    Science.gov (United States)

    Barrett, Damon

    2010-03-01

    This commentary addresses some of the challenges posed by the broader normative, legal and policy framework of the United Nations for the international drug control system. The 'purposes and principles' of the United Nations are presented and set against the threat based rhetoric of the drug control system and the negative consequences of that system. Some of the challenges posed by human rights law and norms to the international drug control system are also described, and the need for an impact assessment of the current system alongside alternative policy options is highlighted as a necessary consequence of these analyses. Copyright (c) 2010 Elsevier B.V. All rights reserved.

  2. Metrological reliability of the calibration procedure in terms of air kerma using the ionization chamber NE2575

    International Nuclear Information System (INIS)

    Guimaraes, Margarete Cristina; Silva, Teogenes Augusto da; Rosado, Paulo H.G.

    2016-01-01

    Metrology laboratories are expected to provide X radiation beams that were established by international standardization organizations to perform calibration and testing of dosimeters. Reliable and traceable standard dosimeters should be used in the calibration procedure. The aim of this work was to study the reliability of the NE 2575 ionization chamber used as standard dosimeter for the air kerma calibration procedure adopted in the CDTN Calibration Laboratory. (author)

  3. PREFACE: Fundamental Constants in Physics and Metrology

    Science.gov (United States)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  4. The future of 2D metrology for display manufacturing

    Science.gov (United States)

    Sandstrom, Tor; Wahlsten, Mikael; Park, Youngjin

    2016-10-01

    The race to 800 PPI and higher in mobile devices and the transition to OLED displays are driving a dramatic development of mask quality: resolution, CDU, registration, and complexity. 2D metrology for large area masks is necessary and must follow the roadmap. Driving forces in the market place point to continued development of even more dense displays. State-of-the-art metrology has proven itself capable of overlay below 40 nm and registration below 65 nm for G6 masks. Future developments include incoming and recurrent measurements of pellicalized masks at the panel maker's factory site. Standardization of coordinate systems across supplier networks is feasible. This will enable better yield and production economy for both mask and panel maker. Better distortion correction methods will give better registration on the panels and relax the flatness requirements of the mask blanks. If panels are measured together with masks and the results are used to characterize the aligners, further quality and yield improvements are possible. Possible future developments include in-cell metrology and integration with other instruments in the same platform.

  5. Metrology requirements for the serial production of ELT primary mirror segments

    Science.gov (United States)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  6. Legal provisions concerning the handling and disposal of radioactive waste in international and national law

    International Nuclear Information System (INIS)

    Bischof, W.

    1980-01-01

    The development and present state of legislation and regulation in the field of handling and disposal of radioactive waste is surveyed. On the basis of the comprehensive collection of all legal sources of atomic energy law, including the radiation protection law of the Institute of Public International Law of the Goettingen University (Germany, F.R.), the report will consider provisions of international organizations (IAEA, OECD-NEA, EURATOM-Basic Norms, ICRP), of international agreements (London, Barcelona, Paris, Helsinki Conventions; civil liability conventions) and of the national law of different countries (USA, UK, France, Germany, F.R. and D.R., Italy, Switzerland, Belgium, the Netherlands, Spain). The following subjects are considered: notion and definition of radioactive waste, license-system for handling, storage and disposal; exemptions; licensing of nuclear installations and waste disposal; obligation to deliver radioactive wastes; centralized interim and final storage installations; penalties. (H.K.)

  7. Metrological traceability of holmium oxide solution

    Science.gov (United States)

    Gonçalves, D. E. F.; Gomes, J. F. S.; Alvarenga, A. P. D.; Borges, P. P.; Araujo, T. O.

    2018-03-01

    Holmium oxide solution was prepared as a candidate of certified reference material for spectrophotometer wavelength scale calibration. Here is presented the necessary steps for evaluation of the uncertainty and the establishment of metrological traceability for the production of this material. Preliminary results from the first produced batch are shown.

  8. International Festival of Student Films as the Innovative Means of Legal Education and Multimedia Training of Future Lawyers

    Science.gov (United States)

    Garmaev, Yury Petrovich; Chumakova, Lydia Petrovna

    2016-01-01

    The main purpose of the article is to ensure further modernization of the educational activities in law universities based on the use of multimedia technologies as well as development of tools for legal education through implementation of the project of international student film festivals. The methodology is based on the concept and methods of…

  9. Issues of Teaching Metrology in Higher Education Institutions of Civil Engineering in Russia

    Science.gov (United States)

    Pukharenko, Yurii Vladimirovich; Norin, Veniamin Aleksandrovich

    2017-01-01

    The work analyses the training process condition in teaching the discipline "Metrology, Standardization, Certification and Quality Control." It proves that the current educational standard regarding the instruction of the discipline "Metrology, Standardization, Certification and Quality Control" does not meet the needs of the…

  10. Quantum interference metrology at deep-UV wavelengths using phase-controlled ultrashort laser pulses

    NARCIS (Netherlands)

    Zinkstok, R. Th; Witte, S.; Ubachs, W.; Hogervorst, W.; Eikema, K. S E

    2005-01-01

    High-resolution metrology at wavelengths shorter than ultraviolet is in general hampered by a limited availability of appropriate laser sources. It is demonstrated that this limitation can be overcome by quantum-interference metrology with frequency up-converted ultrafast laser pulses. The required

  11. Metrology for ITER Assembly

    International Nuclear Information System (INIS)

    Bogusch, E.

    2006-01-01

    The overall dimensions of the ITER Tokamak and the particular assembly sequence preclude the use of conventional optical metrology, mechanical jigs and traditional dimensional control equipment, as used for the assembly of smaller, previous generation, fusion devices. This paper describes the state of the art of the capabilities of available metrology systems, with reference to the previous experience in Fusion engineering and in other industries. Two complementary procedures of transferring datum from the primary datum network on the bioshield to the secondary datum s inside the VV with the desired accuracy of about 0.1 mm is described, one method using the access directly through the ports and the other using transfer techniques, developed during the co-operation with ITER/EFDA. Another important task described is the development of a method for the rapid and easy measurement of the gaps between sectors, required for the production of the customised splice plates between them. The scope of the paper includes the evaluation of the composition and cost of the systems and team of technical staff required to meet the requirements of the assembly procedure. The results from a practical, full-scale demonstration of the methodologies used, using the proposed equipment, is described. This work has demonstrated the feasibility of achieving the necessary accuracies for the successful building of ITER. (author)

  12. Metrology of radiation protection. Pt. 1. Physical requirements and terminology

    Energy Technology Data Exchange (ETDEWEB)

    Wagner, S R

    1979-10-01

    Starting from a general consideration of the needs for radiation protection the physical requirements of a relevant metrology are developed. The expedient physical quantities are introduced and problems in the realization and dissemination of their units discussed. It is shown that owing to these difficulties, derived or operational quantities have to be developed for the construction and calibration of practical measuring instruments. Finally the relations between the metrology of radiation protection and of medical radiology are pointed out and commented. (orig.).

  13. Forum metrology 2009: control of optics, targets and optical analyzers

    International Nuclear Information System (INIS)

    Desenne, D.; Andre, R.

    2010-01-01

    The 1. 'Forum Metrologie' of the CEA/DAM has been held in the 'Institut Laser et Plasma' on the December 9, 2009, close to the 'Centre d'etudes Scientifiques et Techniques d'Aquitaine'. It has been set up by the 'Departement Lasers de Puissance'. The chosen thematic was the metrology around laser experiments, with a special focus on the metrology of the dedicated optics, targets and optical analysers. The talks have shown the progress and difficulties in each of these fields. (authors)

  14. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    International Nuclear Information System (INIS)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-01-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  15. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    Energy Technology Data Exchange (ETDEWEB)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk [Diamond Light Source, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  16. The Politics of Legal Arrangements

    DEFF Research Database (Denmark)

    Leander, Anna

    2018-01-01

    This article explores the place of formal legal arrangements in the politics surrounding the hybrid, enmeshed public-in-the-private forms of authority this special issue focuses on. It does so by analyzing the significance of one specific legal arrangement, the Duty of Care, for the politics...... and divisions currently organizing debates about the regulation of commercial security as well as about managerialism in international law more generally....

  17. The role of victims at the International Criminal Court : legal challenges from the tension between restorative and retributive justice

    NARCIS (Netherlands)

    Zago, G.

    2014-01-01

    The work of the International Criminal Court is characterized by a diversity of legal goals: indeed, its purpose is not limited to the fulfillment of a classic retributive scope, by punishing the accused for the commission of crimes within the Court's jurisdiction, but it also intends to achieve a

  18. Metrology Techniques for the Assembly of NCSX

    International Nuclear Information System (INIS)

    Priniski, C.; Dodson, T.; Duco, M.; Raftopoulos, S.; Ellis, R.; Brooks, A.

    2009-01-01

    In support of the National Compact Stellerator Experiment (NCSX), stellerator assembly activities continued this past year at the Princeton Plasma Physics Laboratory (PPPL) in partnership with the Oak Ridge National Laboratory (ORNL). The construction program saw the completion of the first two Half Field-Period Assemblies (HPA), each consisting of three modular coils. The full machine includes six such sub-assemblies. A single HPA consists of three of the NCSX modular coils wound and assembled at PPPL. These geometrically-complex three dimensional coils were wound using computer-aided metrology and CAD models to tolerances within +/- 0.5mm. The assembly of these coils required similar accuracy on a larger scale with the added complexity of more individual parts and fewer degrees of freedom for correction. Several new potential positioning issues developed for which measurement and control techniques were developed. To accomplish this, CAD coordinate-based computer metrology equipment and software similar to the solutions employed for winding the modular coils was used. Given the size of the assemblies, the primary tools were both interferometer aided and Absolute Distance Measurement (ADM)-only based laser trackers. In addition, portable Coordinate Measurement Machine (CMM) arms and some novel indirect measurement techniques were employed. This paper will detail both the use of CAD coordinate-based metrology technology and the techniques developed and employed for dimensional control of NSCX subassemblies. The results achieved and possible improvements to techniques will be discussed.

  19. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N.

    2017-01-01

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case. PMID:28276428

  20. World wide matching of registration metrology tools of various generations

    Science.gov (United States)

    Laske, F.; Pudnos, A.; Mackey, L.; Tran, P.; Higuchi, M.; Enkrich, C.; Roeth, K.-D.; Schmidt, K.-H.; Adam, D.; Bender, J.

    2008-10-01

    Turn around time/cycle time is a key success criterion in the semiconductor photomask business. Therefore, global mask suppliers typically allocate work loads based on fab capability and utilization capacity. From a logistical point of view, the manufacturing location of a photomask should be transparent to the customer (mask user). Matching capability of production equipment and especially metrology tools is considered a key enabler to guarantee cross site manufacturing flexibility. Toppan, with manufacturing sites in eight countries worldwide, has an on-going program to match the registration metrology systems of all its production sites. This allows for manufacturing flexibility and risk mitigation.In cooperation with Vistec Semiconductor Systems, Toppan has recently completed a program to match the Vistec LMS IPRO systems at all production sites worldwide. Vistec has developed a new software feature which allows for significantly improved matching of LMS IPRO(x) registration metrology tools of various generations. We will report on the results of the global matching campaign of several of the leading Toppan sites.

  1. Optimal adaptive control for quantum metrology with time-dependent Hamiltonians.

    Science.gov (United States)

    Pang, Shengshi; Jordan, Andrew N

    2017-03-09

    Quantum metrology has been studied for a wide range of systems with time-independent Hamiltonians. For systems with time-dependent Hamiltonians, however, due to the complexity of dynamics, little has been known about quantum metrology. Here we investigate quantum metrology with time-dependent Hamiltonians to bridge this gap. We obtain the optimal quantum Fisher information for parameters in time-dependent Hamiltonians, and show proper Hamiltonian control is generally necessary to optimize the Fisher information. We derive the optimal Hamiltonian control, which is generally adaptive, and the measurement scheme to attain the optimal Fisher information. In a minimal example of a qubit in a rotating magnetic field, we find a surprising result that the fundamental limit of T 2 time scaling of quantum Fisher information can be broken with time-dependent Hamiltonians, which reaches T 4 in estimating the rotation frequency of the field. We conclude by considering level crossings in the derivatives of the Hamiltonians, and point out additional control is necessary for that case.

  2. The admission and enrolment of foreign legal practitioners in South ...

    African Journals Online (AJOL)

    Globalisation requires ever closer co-operation between legal professionals hailing from different national jurisdictions. This interactive global environment has fostered growing international training and mobility among legal practitioners and the internationalisation of legal education. Increasing numbers of law students get ...

  3. Legal aspects of transfrontier air pollution

    International Nuclear Information System (INIS)

    Rauschning, D.

    1986-01-01

    This contribution deals with the technical developments and the necessary adaptation of the legal and social systems in the various states. The author first discusses provisions of international law with regard to giving proof of environmental pollution caused by a neighbour state. He then deals with the legal aspects of long-distance air pollution. Finally, the Federal German substantial air pollution control law and relevant licensing provisions are taken as an example to show how the Federal Republic of Germany comes up to the obligations set by international law, to provide for due protection of the environment in neighbour states. (orig./HSCH) [de

  4. Evaluation of uncertainties in X radiation metrologic chain in the Secondary Standard Dosimetry Laboratory/IRD-Brazilian CNEN

    International Nuclear Information System (INIS)

    Fonseca Coelho, B.C. da.

    1987-01-01

    The equipment to measure ionizing radiation used in medicine needs appropriate technical qualifications to comply with their purposes and regular calibrations to assure the correct evaluation of associated quantities. By legal requirements, the annual calibration of users' dosemeters is to be done in a Secondary Standard Dosimetry Laboratory (SSDL), andthe SSDL'S standard dosemeters are refered to a Primary Standard Dosimetry (PSDL), establishing a rigourous metrological network. The SSDL network. The SSDL needs to maintain, regularly, a quality control program for short and Long term stability of standard dosemeters. The purpose of the work was to determine the uncertainties associated to technical procedures of X-rays calibration at the SSDL/IRD/IRD. To evaluate the influence of the nine main parameters that can give origin to uncertainties, specific procedures and methods are established, according to international requirements and recomendations. The methods are based on the comparison of the behaviour of the users' dosemeters, with a standard dosemeter in the many measuring conditions set up for the secondary standard used as a reference. The total uncertainty obtained was 1,81% usig a conservative procedure, to protect the users and patients. When needed to transfer the calibration factor and their uncertainty, the procedure used was to determine the uncertainty under the worsst possible operating conditions of the equipment, to obtain a superestimated value. This represents an excellent result for an SDDL of IAEA Network. (autor) [pt

  5. Material synthesis and evaluation of metrological characteristics of potassium fluozirconate certified reference material

    Directory of Open Access Journals (Sweden)

    D. G. Lisienko

    2016-01-01

    Full Text Available The relevance of the study. For metrological support of control methods for composition ofpotassium fluozirconate, used in the production of metallic zirconium, applied in various technical fields, including nuclear power, electronics, chemical engineering. The purpose: development of synthesis technology, and determination of metrological characteristics of certified reference material for composition ofpotassium fluozirconate (set, intended for metrological support of measuring element mass fraction: hafnium (Hf, silicon (Si, iron (Fe, aluminium (Al, chromium (Cr, tin (Sn, titanium (Ti in potassium fluozirconate. Research methods: X-ray diffraction, differential scanning colorimetry, thermogravimetric analysis, atomic-emission spectral analysis with arc excitation, mass spectral analysis, X-ray fluorescence analysis. Results. As a result of research a set of certified reference materials for composition of potassium fluozirconate is developed and produced. The CRM type is approved by Federal Agency on Technical Regulating and Metrology and registered in State Register of Approved Reference Material Types under number GSO 10593-2015.

  6. Metrology of the radon in air volume activity at the italian radon reference chamber

    Energy Technology Data Exchange (ETDEWEB)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M. [Istituto Nazionale di Metrologia delle Radiazioni Ionizzanti, ENEA Centro Ricerche Casaccia Roma (Italy)

    2006-07-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of {sup 222}Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m{sup 3}. The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  7. Metrology of the radon in air volume activity at the italian radon reference chamber

    International Nuclear Information System (INIS)

    Sciocchetti, G.; Cotellessa, G.; Soldano, E.; Pagliari, M.

    2006-01-01

    The approach of the Italian National Institute of Ionising Radiations (I.N.M.R.I.-ENEA) on radon metrology has been based on a complete and integrated system which can be used to calibrate the main types of 222 Rn in air measuring instruments with international traceability. The Italian radon reference chamber is a research and calibration facility developed at the Casaccia Research Center in Roma. This facility has an inner volume of one m 3 . The wall is a cylindrical stainless steel vessel coupled with an automated climate apparatus operated both at steady and dynamic conditions. The control and data acquisition equipment is based on Radotron system, developed to automate the multitasking management of different sets of radon monitors and climatic sensors. A novel approach for testing passive radon monitors with an alpha track detector exposure standard has been developed. It is based on the direct measurement of radon exposure with a set of passive integrating monitors based on the new ENEA piston radon exposure meter. This paper describes the methodological approach on radon metrology, the status-of-art of experimental apparatus and the standardization procedures. (authors)

  8. Metrology Sampling Strategies for Process Monitoring Applications

    KAUST Repository

    Vincent, Tyrone L.; Stirton, James Broc; Poolla, Kameshwar

    2011-01-01

    , economic pressures prompt a reduction in metrology, for both capital and cycle-time reasons. This paper explores the use of modeling and minimum-variance prediction as a method to select the sites for measurement on each wafer. The models are developed

  9. Dynamic Length Metrology (DLM) for measurements with sub-micrometre uncertainty in a production environment

    DEFF Research Database (Denmark)

    De Chiffre, Leonardo; Hansen, Hans Nørgaard; Hattel, Jesper Henri

    2016-01-01

    Conventional length metrology for traceable accurate measurements requires costly temperature controlled facilities, long waiting time for part acclimatisation, and separate part material characterisation. This work describes a method called Dynamic Length Metrology (DLM) developed to achieve sub...

  10. Remote laboratory for phase-aided 3D microscopic imaging and metrology

    Science.gov (United States)

    Wang, Meng; Yin, Yongkai; Liu, Zeyi; He, Wenqi; Li, Boqun; Peng, Xiang

    2014-05-01

    In this paper, the establishment of a remote laboratory for phase-aided 3D microscopic imaging and metrology is presented. Proposed remote laboratory consists of three major components, including the network-based infrastructure for remote control and data management, the identity verification scheme for user authentication and management, and the local experimental system for phase-aided 3D microscopic imaging and metrology. The virtual network computer (VNC) is introduced to remotely control the 3D microscopic imaging system. Data storage and management are handled through the open source project eSciDoc. Considering the security of remote laboratory, the fingerprint is used for authentication with an optical joint transform correlation (JTC) system. The phase-aided fringe projection 3D microscope (FP-3DM), which can be remotely controlled, is employed to achieve the 3D imaging and metrology of micro objects.

  11. U.S. financing for international independent power production projects: Legal and business issues

    International Nuclear Information System (INIS)

    Buehler, J.E. Jr.

    1990-01-01

    Fundamental changes are occurring in the capital and project development markets both domestically and internationally. In the United States, the capital market has undergone dramatic changes recently, characterized by clubbed debt structures, uncertain pricing spreads, and declining leverage ratios. In response, project sponsors and their investment bankers have created innovative debt and equity structures to attract investors while at the same time minimizing project risk and preserving the flexibility for the project to operate optimally. The structure of a project financing, either U.S. or international, will vary depending on (1) the differing project management/control concerns, financial goals and risk profiles of the developer, equipment and fuel suppliers, bank lenders and equity sources, (2) regulatory issues, such as compliance with the Public Utility Holding Company Act (PUHCA) in the U.S. and similar national utility legislation in the host foreign country, and (3) the tax implications of a given structure to the project owner, lender, and equity supplier. In response to these investor-specific goals and/or constraints, various forms of project structures have been developed. The focus of this paper is on legal and business issues which arise in international project finance, using U.S.project finance as a model that expresses the risk profile that U.S. financial institutions are accustomed to and overlaying the unique risks that are added to project financing which are international in nature

  12. Regulating the helping hand: improving legal preparedness for cross-border disaster medicine.

    Science.gov (United States)

    Fisher, David

    2010-01-01

    Medical care is a highly regulated field in nearly every country. Therefore, it is not surprising that legal issues regularly arise in cross-border disaster operations that have with the potential to profoundly impact the effectiveness of international assistance. Little attention has been paid to preparing for and addressing these kinds of issues. This paper will report on research by the International Federation of Red Cross and Red Crescent Societies (IFRC) on International Disaster Response Law, and discuss new developments in the international legal framework for addressing these issues. For seven years, the IFRC has studied legal issues in cross-border disaster assistance. Its activities have included several dozen case studies, a global survey of governments and humanitarian stakeholders, and a series of meetings and high-level conferences. The IFRC has found a consistent set of regulatory problems in major disaster relief operations related to the entry and regulation of international relief. These include some issues specific to the health field, such as the regulation of drug donations and the recognition of foreign medical qualifications. To address the gaps in domestic and international regulatory structures, the IFRC spearheaded the development of new international guidelines. The legal risks for international health providers in disaster settings are real and should be better integrated into program planning. Governments must become more proactive in ensuring that legal frameworks are flexible enough to mitigate these problems.

  13. The need for LWR metrology standardization: the imec roughness protocol

    Science.gov (United States)

    Lorusso, Gian Francesco; Sutani, Takumichi; Rutigliani, Vito; van Roey, Frieda; Moussa, Alain; Charley, Anne-Laure; Mack, Chris; Naulleau, Patrick; Constantoudis, Vassilios; Ikota, Masami; Ishimoto, Toru; Koshihara, Shunsuke

    2018-03-01

    As semiconductor technology keeps moving forward, undeterred by the many challenges ahead, one specific deliverable is capturing the attention of many experts in the field: Line Width Roughness (LWR) specifications are expected to be less than 2nm in the near term, and to drop below 1nm in just a few years. This is a daunting challenge and engineers throughout the industry are trying to meet these targets using every means at their disposal. However, although current efforts are surely admirable, we believe they are not enough. The fact is that a specification has a meaning only if there is an agreed methodology to verify if the criterion is met or not. Such a standardization is critical in any field of science and technology and the question that we need to ask ourselves today is whether we have a standardized LWR metrology or not. In other words, if a single reference sample were provided, would everyone measuring it get reasonably comparable results? We came to realize that this is not the case and that the observed spread in the results throughout the industry is quite large. In our opinion, this makes the comparison of LWR data among institutions, or to a specification, very difficult. In this paper, we report the spread of measured LWR data across the semiconductor industry. We investigate the impact of image acquisition, measurement algorithm, and frequency analysis parameters on LWR metrology. We review critically some of the International Technology Roadmap for Semiconductors (ITRS) metrology guidelines (such as measurement box length larger than 2μm and the need to correct for SEM noise). We compare the SEM roughness results to AFM measurements. Finally, we propose a standardized LWR measurement protocol - the imec Roughness Protocol (iRP) - intended to ensure that every time LWR measurements are compared (from various sources or to specifications), the comparison is sensible and sound. We deeply believe that the industry is at a point where it is

  14. Laser metrology applied to the nuclear maintenance

    International Nuclear Information System (INIS)

    Garrido Garcia, J.; Sarti Fernandez, F.

    2012-01-01

    The development of this paper focuses on providing an overview of the state of the art about laser metrology. This type of equipment combines the measurement philosophy of laser scanning with the great precision of the robotic equipment of auscultation. Getting micron.

  15. International perspectives on the legal environment for selection

    NARCIS (Netherlands)

    Myors, B.; Lievens, F.; Schollaert, E.; van Hoye, G.; Cronshaw, S.F.; Mladinic, A.; Rodríguez, V.; Aguinis, H.; Steiner, D.D.; Rolland, F.; Schuler, H.; Frintrup, A.; Nikolaou, I.; Tomprou, M.; Subramony, S.; Raj, S.B.; Tzafrir, S.; Bamberger, P.; Bertolino, M.; Mariani, M.; Fraccaroli, F.; Sekiguchi, T.; Onyura, B.; Yang, H.; Anderson, N.; Evers, A.; Chernyshenko, O.; Englert, P.; Kriek, H.J.; Joubert, T.; Salgado, J.F.; König, C.J.; Thommen, L.A.; Chuang, A.; Sinangil, H.K.; Bayazit, M.; Cook, M.; Shen, W.; Sackett, P.R.

    2008-01-01

    Perspectives from 22 countries on aspects of the legal environment for selection are presented in this article. Issues addressed include (a) whether there are racial/ethnic/religious subgroups viewed as "disadvantaged," (b) whether research documents mean differences between groups on individual

  16. Gloss evaluation from soft and hard metrologies.

    Science.gov (United States)

    Wang, Zihao; Xu, Lihao; Hu, Yu; Mirjalili, Fereshteh; Luo, Ming Ronnier

    2017-09-01

    Recent advances in bidirectional reflectance distribution function (BRDF) acquisitions have provided a novel approach for appearance measurement and analysis. In particular, since gloss appearance is dependent on the directional reflective properties of surfaces, it is reasonable to leverage the BRDF for gloss evaluation. In this paper, we investigate gloss appearance from both soft metrology and hard metrology. A psychophysical experiment was conducted for the gloss assessment of 47 neutral-color samples. In the evaluation of gloss perception from gloss meter measurements, we report several ambiguous correspondences in the medium gloss range. In order to analyze and explain this phenomenon, the BRDF was acquired and examined using a commercial BRDF measuring device. With an improved correlation-to-visual perception, we propose a two-dimensional gloss model by combining a parameter, the standard deviation of the specular lobe, from Ward's BRDF model with measured gloss values.

  17. An active pixels spectrometers for neutronic fields metrology

    International Nuclear Information System (INIS)

    Taforeau, Julien

    2013-01-01

    The fundamental metrology is responsible for the sustainability of the measurement systems and handles to supply the reference standards. Concerning the metrology of ionizing radiations and, in particular the neutron metrology, detectors standards are used to characterize reference fields, in terms of energy and fluence. The dosimeters or particle detectors are calibrated on these reference fields. This thesis presents the development of a neutron spectrometer neutron candidate to the status of primary standard for the characterization of neutron fields in the range from 5 to 20 MeV. The spectrometer uses the recoil proton telescope as detection principle; the CMOS technology, through three sensor positions, is taking advantage to realize the tracking of protons. A Si(Li) detector handles the measure of the residual proton energy. The device simulations, realized under MCNPX, allow to estimate its performances and to validate the neutron energy reconstruction. An essential step of characterization of the telescope elements and in particular of CMOS sensors is also proposed to guarantee the validity of posterior experimental measurements. The tests realized as well in mono-energy fields as in radionuclide source show the very good performances of the system. The quantification of uncertainties indicates an energy estimation with 1.5 % accuracy and a resolution of less than 6 %. The fluence measurement is performed with an uncertainty about 4 to 6%. (author)

  18. Constitutionalising the Right Legal Representation at CCMA ...

    African Journals Online (AJOL)

    Recently, the issue of legal representation at internal disciplinary hearings and CCMA arbitrations has been a fervent topic of labour law discourse in South Africa. While the courts have consistently accepted the common law principle that there is no absolute right to legal representation at tribunals other than courts of law, ...

  19. The Opportunities and Challenges of Bringing New Metrology Equipment to Market

    Science.gov (United States)

    Perloff, David S.

    2005-09-01

    This paper provides an overview of the economic and technological factors which are driving the demand for new metrology and inspection equipment, the challenges and opportunities facing new companies in bringing such equipment to market, and the funding environment in which new companies must raise capital to finance their efforts. Seven metrology companies and one inspection equipment company that have received first-time venture backing since 2000 are used to illustrate how these specialized businesses are launched and funded.

  20. Metrology of natural radionuclides. Current challenges in radiation protection for industry and the environment; Metrologie natuerlicher Radionuklide. Aktuelle Herausforderungen fuer den Strahlenschutz in Industrie und Umwelt

    Energy Technology Data Exchange (ETDEWEB)

    Maringer, F.J. [Bundesamt fuer Eich- und Vermessungswesen, Wien (Austria). Referat fuer ionisierende Strahlung und Radioaktivitaet; Univ. fuer Bodenkultur, Wien (Austria). Low-Level Counting Lab. Arsenal; Moser, H.; Kabrt, F. [Bundesamt fuer Eich- und Vermessungswesen, Wien (Austria). Referat fuer ionisierende Strahlung und Radioaktivitaet; Baumgartner, A.; Stietka, M. [Univ. fuer Bodenkultur, Wien (Austria). Low-Level Counting Lab. Arsenal

    2015-07-01

    In a range of industrial branches increased activity concentrations of natural radionuclides occur in various NORM materials processed. The ICRP 103 recommendation, and subsequent the IAEA International Basic Safety Standards and the European Basic Safety Standards for Radiation Protection, raised new challenges in radiation protection concerning natural radionuclide metrology and activity measurement methods - in particular for natural decay chain radionuclides ({sup 238}U+, {sup 232}Th+, {sup 235}U+). Especially adequate traceability and optimized measurement uncertainties of applied activity measurement methods are of increasing concern. In this paper a review on radionuclide metrology of natural radionuclides and its implementation to end-user activity measurement methods and practice is presented. This includes an overview on current and emerging drivers, targets, challenges, deliverables, technologies and stakeholders in the field. Current research results on activity measurement standards and instrumentation for natural radionuclides, revised decay data, in-situ measurement methods, NORM reference materials, are covered as well as benefits of natural radionuclide metrology on radiation protection of workers and the public.

  1. Reference metrology in a research fab: the NIST clean calibrations thrust

    Science.gov (United States)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  2. 100 Years of radionuclide metrology

    International Nuclear Information System (INIS)

    Judge, S.M.; Arnold, D.; Chauvenet, B.; Collé, R.; De Felice, P.; García-Toraño, E.; Wätjen, U.

    2014-01-01

    The discipline of radionuclide metrology at national standards institutes started in 1913 with the certification by Curie, Rutherford and Meyer of the first primary standards of radium. In early years, radium was a valuable commodity and the aim of the standards was largely to facilitate trade. The focus later changed to providing standards for the new wide range of radionuclides, so that radioactivity could be used for healthcare and industrial applications while minimising the risk to patients, workers and the environment. National measurement institutes responded to the changing demands by developing new techniques for realising primary standards of radioactivity. Looking ahead, there are likely to be demands for standards for new radionuclides used in nuclear medicine, an expansion of the scope of the field into quantitative imaging to facilitate accurate patient dosimetry for nuclear medicine, and an increasing need for accurate standards for radioactive waste management and nuclear forensics. - Highlights: • The driving forces for the development of radionuclide metrology. • Radium standards to facilitate trade of this valuable commodity in the early years. • After 1950, focus changes to healthcare and industrial applications. • National Measurement Institutes develop new techniques, standards, and disseminate the best practice in measurement. • Challenges in nuclear medicine, radioactive waste management and nuclear forensics

  3. Fractal Metrology for biogeosystems analysis

    Directory of Open Access Journals (Sweden)

    V. Torres-Argüelles

    2010-11-01

    Full Text Available The solid-pore distribution pattern plays an important role in soil functioning being related with the main physical, chemical and biological multiscale and multitemporal processes of this complex system. In the present research, we studied the aggregation process as self-organizing and operating near a critical point. The structural pattern is extracted from the digital images of three soils (Chernozem, Solonetz and "Chocolate" Clay and compared in terms of roughness of the gray-intensity distribution quantified by several measurement techniques. Special attention was paid to the uncertainty of each of them measured in terms of standard deviation. Some of the applied methods are known as classical in the fractal context (box-counting, rescaling-range and wavelets analyses, etc. while the others have been recently developed by our Group. The combination of these techniques, coming from Fractal Geometry, Metrology, Informatics, Probability Theory and Statistics is termed in this paper Fractal Metrology (FM. We show the usefulness of FM for complex systems analysis through a case study of the soil's physical and chemical degradation applying the selected toolbox to describe and compare the structural attributes of three porous media with contrasting structure but similar clay mineralogy dominated by montmorillonites.

  4. CHILD LABOR ABUSE: LEGAL ASPECTS

    Directory of Open Access Journals (Sweden)

    Darko Majhoshev

    2016-01-01

    Full Text Available The paper addresses the problem of child labor and ways of protection from child labor abuse. Child labor is a negative social phenomenon that is widespread throughout the world, and also in Republic of Macedonia. International and national institutions and organizations are making serious efforts to eradicate this negative phenomenon, through the adoption of numerous international legal instruments (conventions, recommendations, declarations, etc.. Child labor as a phenomenon refers to the employment of children in any work that deprives children of their childhood, interferes with their ability of education, and that is socially, mentally, physically, or morally dangerous and harmful. All international organizations define this practice as exploitative and destructive to the development of the whole society. With international legal instruments of the UN, ILO, Council of Europe and the EU child labor is strictly prohibited. There are some important differences which exist between the many kinds of work that is done by children. Some of them are demanding and difficult, others are hazardous and morally reprehensible. Children are doing a very wide range of activities and tasks when they work.

  5. Profile variation impact on FIB cross-section metrology

    Science.gov (United States)

    Cordes, Aaron; Bunday, Benjamin; Nadeau, Jim

    2012-03-01

    The focused ion beam (FIB) milling tool is an important component of reference metrology and process characterization, both as a supporting instrument for bulk sample preparation before forwarding to the transmission electron microscope (TEM) and other instruments and as an in situ measurement instrument using angled scanning electron microscopy. As features grow denser, deeper and more demanding, full-profile reference metrology is needed, and this methodology will only grow in importance. Thus, the ability to extract accurate dimensional and profile information out of the crosssectional faces produced by FIB milling is critical. For features that demonstrate perfect symmetry in the plane of the cross section, analyzing images and extracting metrology data are straightforward. However, for industrial materials, symmetry is not a safe assumption: as features shrink, the line edge and sidewall roughness increases as a percentage of the overall feature dimension. Furthermore, with the introduction of more complex architectures such as 3D memory and FinFETs, the areas of greatest interest, such as the intersections of wrap-around gates, cannot be assumed to be symmetrical in any given plane if cut placement is not precisely controlled. Therefore it is important to establish the exact location and repeatability of the cross-section plane, both in terms of coordinate placement and effective angle of the milled surface. To this end, we prepared designed-in line edge roughness samples in the Albany Nanotech facility using SEMATECH's AMAG6 metrology reticle. The samples were thoroughly characterized before being milled by a non-destructive, sidewall-scanning atomic force microscope (AFM). These samples are then milled and measured under varying process and setup parameters using a single-beam FIB with angled SEM. We established methodologies that allow precise alignment of the cut planes of slice-and-view FIB milling to 3D-AFM scan lines to compare repeated sections

  6. Legal Framework and Mechanism of Marine Fisheries Subsidies in the Aspects of International Trade and Sustainable Development

    Directory of Open Access Journals (Sweden)

    Adijaya Yusuf

    2015-12-01

    Full Text Available Issues in fisheries have been regulated in various international conventions. The United Nations Convention on the Law of the Sea 1982 (UNCLOS 1982 has builta regime in the field of conservation and management of fishery resources based upon maritime zones or fish species that exist and available in this zone. However, UNCLOS 1982 only focuses on the issue of fisheries in the Exclusive Economic Zone (EEZ and the high seas, thus it was not sufficient to overcome the problems of high frequent of fishing in maritime zones which are fully subject to the jurisdiction of coastal states, such as in the Inland waters, archipelagic waters and the Territorial Sea. This article aims to examine the legal framework and mechanisms of fisheries subsidies in the aspects of trade and sustainable development. In this article, discussion would carried out in order to examine the legal framework and mechanisms of marine fisheries subsidies that are implemented with the principles of fair-trade and sustainable development, both in the international level, as well as in the national level. Thus, this research is expected to be able to bridge the interests of developed countries and developing countries, especially Indonesia, in order to achieve fair trade in the field of fisheries and resource utilization of sustainable fisheries.

  7. Research document no. 20. The constitutionalizing of the international legal regime of the petroleum investments and the world market reconstruction

    International Nuclear Information System (INIS)

    Noel, P.

    2000-09-01

    We analyse the new international legal regime for upstream petroleum investments and ''state contracts'' in general. In striking contrast to the ''New international economic order'' and ''Permanent sovereignty over natural resources'' ideologies of the 1960 and 1970, the emerging regime promotes the sanctification of contractual economic rights; the strict definition of State sovereign prerogatives, and the severe limitation of their conditions of exercise; the internationalization of the settlement of disputes through direct firm-State arbitration; the integration of national territories in a competitive, transparent, non-discriminative global market for investment. We demonstrate that it is rooted in the principles of liberal constitutionalism, hence promoting the internationalization of the Rule of Law. Such a legal regime is conducive to the expansion of the market for petroleum rights, as it restores the institutional conditions for credible commitment by the State. It will also accelerate the trend toward the ''commoditization'' of hydrocarbon resources. Bilateral investments treaties (especially the United States BIT program) as well as multilateral/regional instruments both general (draft MAI, MIGA, MERCOSUR, ALENA) and energy-specific (Energy Charter Treaty) are analysed as the main pillars and diffusion mechanisms of the new regime. A final paragraph indicates the way forward: the evaluation of the impact of this new legal regime on the world oil supply curve, especially as it eventually reaches - or not - some of the lowest-cost, biggest-resources countries. (author)

  8. Juris International

    CERN Document Server

    A database on international trade law aimed at lawyers and legal counsel in developing and transition economies. Juris International is a multilingual collection (English, Spanish, and French) of legal information on international trade. Juris International aims to facilitate and reduce the work involved in research for business lawyers, advisers and in-house counsel, and state organizations in developing nd transition economies, by providing access to texts which have often been difficult to obtain. Its objective is to gather a large quantity of basic information at one site (favoring complete legal texts), without the need to send for the information, and consequently without excessive communication costs for users who d benefit from an efficient and cheap telecommunications network.

  9. The emerging international constitutional order: the implications of hierarchy in international law for the coherence and legitimacy of international decision-making

    Directory of Open Access Journals (Sweden)

    E de Wet

    2007-11-01

    Full Text Available The article examines the contours and implications of the emerging international constitutional order. The "constitutional" nature of this order relates to the fact that it contains certain fundamental substantive and structural norms that form a supreme legal framework for the exercise of public power. The substantive elements primarily include the value system of the international legal order, meaning norms of positive law with a strong ethical underpinning (notably human rights norms that have acquired a special hierarchical standing vis-à-vis other international norms through state practice. The structural elements refer to the subjects of the international legal order that collectively constitute the international community (polity, as well as the mechanisms for enforcement of the international value system. This vision of an international constitutional model is inspired by the intensification in the shift of public decision-making away from the nation state towards international actors of a regional (for example EU or functional (for example WTO, UN nature, and its eroding impact on the notion of a “total” constitutional order, where the fundamental substantive and structural norms that form the supreme legal framework for the exercise of public power are concentrated in the nation state. It is also inspired by the belief that such a supreme legal framework is only possible in a system where different national, regional and functional legal orders complement each other in order to form an international constitutional order.

  10. The law isn't everything: The impact of legal and non-legal sanctions on motorists' drink driving behaviors.

    Science.gov (United States)

    Freeman, James; Szogi, Elizabeth; Truelove, Verity; Vingilis, Evelyn

    2016-12-01

    The effectiveness of drink driving countermeasures (such as sanctions) to deter motorists from driving over the legal limit is extremely important when considering the impact the offending behavior has on the community. However, questions remain regarding the extent that both legal and non-legal factors influence drink driving behaviors. This is of particular concern given that both factors are widely used as either sanctioning outcomes or in media campaigns designed to deter drivers (e.g., highlighting the physical risk of crashing). This paper reports on an examination of 1,253 Queensland motorists' perceptions of legal and non-legal drink driving sanctions and the corresponding deterrent impact of such perceptions on self-reported offending behavior. Participants volunteered to complete either an online or paper version of the questionnaire. Encouragingly, quantitative analysis of the data revealed that participants' perceptions of both legal sanctions (e.g., certainty, severity and swiftness) as well as non-legal sanctions (e.g., fear of social, internal or physical harm) were relatively high, with perceptual certainty being the highest. Despite this, a key theme to emerge from the study was that approximately 25% of the sample admitted to drink driving at some point in time. Multivariate analyses revealed six significant predictors of drink driving, being: males, younger drivers, lower perceptions of the severity of sanctions, and less concern about the social, internal, and physical harms associated with the offense. However, a closer examination of the data revealed that the combined deterrence model was not very accurate at predicting drink driving behaviors (e.g., 21% of variance). A range of non-legal deterrent factors have the potential to reduce the prevalence of drink driving although further research is required to determine how much exposure is required to produce a strong effect. Copyright © 2016 Elsevier Ltd and National Safety Council. All rights

  11. Absolute, pressure-dependent validation of a calibration-free, airborne laser hygrometer transfer standard (SEALDH-II from 5 to 1200 ppmv using a metrological humidity generator

    Directory of Open Access Journals (Sweden)

    B. Buchholz

    2018-01-01

    Full Text Available Highly accurate water vapor measurements are indispensable for understanding a variety of scientific questions as well as industrial processes. While in metrology water vapor concentrations can be defined, generated, and measured with relative uncertainties in the single percentage range, field-deployable airborne instruments deviate even under quasistatic laboratory conditions up to 10–20 %. The novel SEALDH-II hygrometer, a calibration-free, tuneable diode laser spectrometer, bridges this gap by implementing a new holistic concept to achieve higher accuracy levels in the field. We present in this paper the absolute validation of SEALDH-II at a traceable humidity generator during 23 days of permanent operation at 15 different H2O mole fraction levels between 5 and 1200 ppmv. At each mole fraction level, we studied the pressure dependence at six different gas pressures between 65 and 950 hPa. Further, we describe the setup for this metrological validation, the challenges to overcome when assessing water vapor measurements on a high accuracy level, and the comparison results. With this validation, SEALDH-II is the first airborne, metrologically validated humidity transfer standard which links several scientific airborne and laboratory measurement campaigns to the international metrological water vapor scale.

  12. EARNINGS MANAGEMENT, AUDIT QUALITY AND LEGAL ENVIRONMENT: AN INTERNATIONAL COMPARISON

    Directory of Open Access Journals (Sweden)

    Mehmet Unsal Memis

    2012-01-01

    Full Text Available This paper investigates the relationship between earnings management-audit quality and earnings management-legal system quality by using 1507 firms’ observations from listed companies in private firms across different 8 emerging countries. Consistent with previous research, differentiation between Big 4 and non-Big 4 audit firms are used as a audit quality proxy and discretionary accruals are used to measure the earnings management. According to the results, only for Brazilian and Mexican companies, there is significant relationship between the discretionary accruals and audit quality. For the other countries there is not significant relationship. Furthermore efficiency of the legal system helps decrease earnings management incentives. Along with results, the big four auditors do not constrain the earnings management incentives in every emerging country but effective legal system does. In this analysis we used other earnings management related variables like the size of the firms, leverage, lagged ROA of the firms which have loss in the previous year and Tobin Q as control variables.

  13. Metrology positronic issuers for nuclear medicine in Cuba: current state and development prospects

    International Nuclear Information System (INIS)

    Oropesa Verdecia, Pilar; Serra Águila, Rolando A.; García Rodríguez, Lourdes; Moreno León, Yecenia; Bell Hechavarría, Ailec; Jénez Magaña, Yoel

    2016-01-01

    At work the current state of metrology positronic issuers for nuclear medicine in Cuba is presented. the main achievements in the implementation of measurement standards and issues affecting the uncertainty of measurements at different levels of hierarchy of the existing chain of traceability for determinations of the activity of F-18 and Ga-68 is described, the main radionuclides expected to be used in the short term in PET and PET / CT applications in the country. Immediate prospects development of measurement standards positronic emitters for use in nuclear medicine in Cuba, in particular the possibilities of establishing equivalence between Cuban standards and national and international standards are also set. (author)

  14. Metrology for fire experiments in outdoor conditions

    CERN Document Server

    Silvani, Xavier

    2013-01-01

    Natural fires can be considered as scale-dependant, non-linear processes of mass, momentum and heat transport, resulting from a turbulent reactive and radiative fluid medium flowing over a complex medium, the vegetal fuel. In natural outdoor conditions, the experimental study of natural fires at real scale needs the development of an original metrology, one able to capture the large range of time and length scales involved in its dynamic nature and also able to resist the thermal, mechanical and chemical aggression of flames on devices. Robust, accurate and poorly intrusive tools must be carefully set-up and used for gaining very fluctuating data over long periods. These signals also need the development of original post-processing tools that take into account the non-steady nature of their stochastic components. Metrology for Fire Experiments in Outdoor Conditions closely analyzes these features, and also describes measurements techniques, the thermal insulation of fragile electronic systems, data acquisitio...

  15. Handbook of 3D machine vision optical metrology and imaging

    CERN Document Server

    Zhang, Song

    2013-01-01

    With the ongoing release of 3D movies and the emergence of 3D TVs, 3D imaging technologies have penetrated our daily lives. Yet choosing from the numerous 3D vision methods available can be frustrating for scientists and engineers, especially without a comprehensive resource to consult. Filling this gap, Handbook of 3D Machine Vision: Optical Metrology and Imaging gives an extensive, in-depth look at the most popular 3D imaging techniques. It focuses on noninvasive, noncontact optical methods (optical metrology and imaging). The handbook begins with the well-studied method of stereo vision and

  16. How the Triangle of Bologna Quality Assurance, a National Legal Framework and Internal Quality Enhancement Supports Institutional Improvement

    Directory of Open Access Journals (Sweden)

    Veronika Kareva

    2017-06-01

    Full Text Available The Republic of Macedonia (RM has been a part of the Bologna process since 2003. The Ministry of Education, law and policy makers and higher education institutions have actively engaged with its main concepts. In parallel with this, since the adoption of the law on higher education in 2008 and the reform of the Accreditation and Evaluation Board, there have been numerous changes and amendments culminating in the fast-tracked adoption of a new law at the beginning of 2015. Some of its solutions created a huge debate among the academic community, other intellectuals and students themselves, resulting in the postponement of that law and a kind of legal vacuum. In such turbulent circumstances, individual higher education institutions had to consider how and to what extent to adopt and develop relevant standards and guidelines, comply with the legal framework and promote good practice. The aim of this paper is to present how these three aspects, Bologna standards and guidelines for Quality Assurance (QA, a national legal framework and an institutional approach are being reflected, merged and implemented at a relatively young higher education institution. It questions the impact of these three elements on each other and how one institution’s drive for improvement is affected. This is done through a qualitative analysis of the three-fold perspectives. The conclusions and recommendations are expected to be of use to policy makers in the country and region as they evaluate how international trends and good practice fit into the socio-economic and political conditions of RM and similar countries. At the same time, it can demonstrate how far institutional quality assurance and progress can be implemented and recognized in the country itself and by some international stakeholders. It can also prove that the South East European University (SEEU is a national leader in this field as RM has no functioning QA evaluation system, while SEEU has managed to

  17. Quality control of secondary standards and calibration systems, therapy level, of National Laboratory of Metrology from Ionizing Radiations (LNMRI)

    International Nuclear Information System (INIS)

    Cecatti, E.R.; Freitas, L.C. de

    1992-01-01

    The results of quality control program of secondary standards, therapy level, and the calibration system of clinical dosemeters were analysed from 1984, when a change in the laboratory installation occurred and new standards were obtained. The national and the international intercomparisons were emphasised. The results for graphite wall chambers were compared, observing a maximum variation of about 0,6%. In the case of Delrin (TK01) wall chambers, the maximum variation was 1,7%. The results of post intercomparisons with thermoluminescent dosemeters have presented derivations lesser than 1%, securing the standards consistence at LNMRI with the international metrological system. (C.G.C.)

  18. Legal problems of energy supply within the European Communities

    International Nuclear Information System (INIS)

    Tettinger, P.J.

    1993-01-01

    The report contains two articles; the first one is titled: The Directives on Transit of Gas and Electricity - Considerations regarding the juridical limits of the realisation of the Internal Market in the Energy Sector. It has basic considerations regarding the competences of the EC-legal nature of primary and secondary Community law; it analyzes the network of competences, the legality of the Commission's Proposals concerning the Internal Energy Market and further on the possibilities of legal recourse for enterprises in the Federal Republic of Germany in case the proposal directives are adopted. The second article deals with legal problems of energy supply within the EC-especially under the aspect of British coal mining. It incluses considerations regarding a proposed European Energy Charter, recent developments in EC-law regarding electricity and natural gas, third country imports: dumping, and privatisation. (HSCH)

  19. Target-Tracking Camera for a Metrology System

    Science.gov (United States)

    Liebe, Carl; Bartman, Randall; Chapsky, Jacob; Abramovici, Alexander; Brown, David

    2009-01-01

    An analog electronic camera that is part of a metrology system measures the varying direction to a light-emitting diode that serves as a bright point target. In the original application for which the camera was developed, the metrological system is used to determine the varying relative positions of radiating elements of an airborne synthetic aperture-radar (SAR) antenna as the airplane flexes during flight; precise knowledge of the relative positions as a function of time is needed for processing SAR readings. It has been common metrology system practice to measure the varying direction to a bright target by use of an electronic camera of the charge-coupled-device or active-pixel-sensor type. A major disadvantage of this practice arises from the necessity of reading out and digitizing the outputs from a large number of pixels and processing the resulting digital values in a computer to determine the centroid of a target: Because of the time taken by the readout, digitization, and computation, the update rate is limited to tens of hertz. In contrast, the analog nature of the present camera makes it possible to achieve an update rate of hundreds of hertz, and no computer is needed to determine the centroid. The camera is based on a position-sensitive detector (PSD), which is a rectangular photodiode with output contacts at opposite ends. PSDs are usually used in triangulation for measuring small distances. PSDs are manufactured in both one- and two-dimensional versions. Because it is very difficult to calibrate two-dimensional PSDs accurately, the focal-plane sensors used in this camera are two orthogonally mounted one-dimensional PSDs.

  20. National Defense Center of Excellence for Industrial Metrology and 3D Imaging

    Science.gov (United States)

    2012-10-18

    Appendices) W911NF-08-2-0057 - Metrology - FinalReport 100 m to 200 m. For volume determination (e.g., mining , excavations) and topographic mapping...infrastructure, rail systems, mining ), mobile mapping, and security applications. Lockheed Martin has selected the HDL-64E as the main perception...Appendices) W911NF-08-2-0057 - Metrology - FinalReport The Obscurant Penetrating Autosynchronous Lidar ( OPAL ) has been developed as a variant ofNeptec ’s

  1. Metrology for industrial quantum communications: the MIQC project

    Science.gov (United States)

    Rastello, M. L.; Degiovanni, I. P.; Sinclair, A. G.; Kück, S.; Chunnilall, C. J.; Porrovecchio, G.; Smid, M.; Manoocheri, F.; Ikonen, E.; Kubarsepp, T.; Stucki, D.; Hong, K. S.; Kim, S. K.; Tosi, A.; Brida, G.; Meda, A.; Piacentini, F.; Traina, P.; Natsheh, A. Al; Cheung, J. Y.; Müller, I.; Klein, R.; Vaigu, A.

    2014-12-01

    The ‘Metrology for Industrial Quantum Communication Technologies’ project (MIQC) is a metrology framework that fosters development and market take-up of quantum communication technologies and is aimed at achieving maximum impact for the European industry in this area. MIQC is focused on quantum key distribution (QKD) technologies, the most advanced quantum-based technology towards practical application. QKD is a way of sending cryptographic keys with absolute security. It does this by exploiting the ability to encode in a photon's degree of freedom specific quantum states that are noticeably disturbed if an eavesdropper trying to decode it is present in the communication channel. The MIQC project has started the development of independent measurement standards and definitions for the optical components of QKD system, since one of the perceived barriers to QKD market success is the lack of standardization and quality assurance.

  2. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  3. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  4. Metrological characterization of 3D imaging devices

    Science.gov (United States)

    Guidi, G.

    2013-04-01

    Manufacturers often express the performance of a 3D imaging device in various non-uniform ways for the lack of internationally recognized standard requirements for metrological parameters able to identify the capability of capturing a real scene. For this reason several national and international organizations in the last ten years have been developing protocols for verifying such performance. Ranging from VDI/VDE 2634, published by the Association of German Engineers and oriented to the world of mechanical 3D measurements (triangulation-based devices), to the ASTM technical committee E57, working also on laser systems based on direct range detection (TOF, Phase Shift, FM-CW, flash LADAR), this paper shows the state of the art about the characterization of active range devices, with special emphasis on measurement uncertainty, accuracy and resolution. Most of these protocols are based on special objects whose shape and size are certified with a known level of accuracy. By capturing the 3D shape of such objects with a range device, a comparison between the measured points and the theoretical shape they should represent is possible. The actual deviations can be directly analyzed or some derived parameters can be obtained (e.g. angles between planes, distances between barycenters of spheres rigidly connected, frequency domain parameters, etc.). This paper shows theoretical aspects and experimental results of some novel characterization methods applied to different categories of active 3D imaging devices based on both principles of triangulation and direct range detection.

  5. On Plagiarism and Power Relations in Legal Academia and Legal Education

    Directory of Open Access Journals (Sweden)

    Tilen Štajnpihler

    2017-12-01

    Full Text Available The article challenges the misconception that legal academia is a harmonious community without internal discrepancies, characterised by common interests, a coherent set of values and standards of behaviour that are unilaterally transposed into the legal profession through the process of legal education. The paper focuses on a case study of a public dispute between two law professors initiated by an article published in one of the main national law magazines wherein one accused the other of plagiarism. Even though the dispute did not come to an unequivocal conclusion, it deserves a closer examination as it clearly exposed two important issues. Firstly, it revealed certain unresolved issues concerning legal writing and legal ethics that are essential elements of the legal profession, as they have a profound impact on legal education and legal practice, and, secondly, it showed that these divergences are at least to some extent related to the latent network of power relations and struggles that dominate the legal (academic field. Este artículo cuestiona la creencia de que el mundo jurídico-académico es una comunidad armoniosa sin discrepancias internas, caracterizada por intereses comunes, valores coherentes y parámetros de comportamiento que se transponen de forma unilateral al ejercicio de la profesión jurídica a través de la educación en Derecho. El artículo se centra en el estudio de una disputa entre dos profesores de Derecho, en la cual uno acusaba al otro de plagio. A pesar de que la disputa no se resolvió de forma clara, merece un análisis más cuidadoso, ya que puso de manifiesto dos temas importantes: en primer lugar, algunos conflictos sin resolver sobre la escritura y la ética del derecho que son elementos esenciales de la profesión jurídica, pues tienen un profundo impacto sobre la educación y la práctica del Derecho; y, en segundo lugar, que estos desacuerdos están relacionados con las redes latentes de poder que

  6. International criminal justice: a pillar for the international rule of law

    Directory of Open Access Journals (Sweden)

    Gonzalo Aguilar Cavallo

    2012-12-01

    Full Text Available The international criminal justice has experienced a rapid change over the past years. This circumstance has underscored the need for interaction and complementation between international and domestic law. Some authors consider that the international criminal justice, and the activities of its tribunals, jeopardize the legality of international law. Our vision is that international criminal justice is a central pillar of the rule of law, at the national and the international levels. Far from undermining the legality of international law, international criminal justice paves the way towards a true international public order.

  7. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    Science.gov (United States)

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  8. Definitions in use by the visible and near-infrared, and thermal working groups

    Science.gov (United States)

    Bruegge, Carol J.; Miller, ED; Martin, Bob; Kieffer, Hugh H.; Palmer, James M.

    1992-01-01

    The Calibration Advisory Panel (CAP) is composed of calibration experts from each of the Earth Observing System (EOS) instruments, science investigation, and cross-calibration teams. These members come from a variety of institutions and backgrounds. In order to facilitate an exchange of ideas, and assure a common basis for communication, it was desirable to assemble this list of definitions. These definitions were developed for use by the visible and near-infrared working group, and the thermal infrared working group. Where necessary or appropriate, deviations from these for specific instruments or other sensor types are given in the individual calibration plans. The definitions contained in this document are derived, wherever possible, from definitions accepted by international and national metrological commissions including the United States National Institute of Standards and Technology (NIST), the International Bureau of Weights and Measures (BIPM), the International Electrotechnical Commission (IEC), the International Organization for Standardization (ISO), and the International Organization of Legal Metrology (OIML).

  9. ROMANIAN AERONAUTICAL METEOROLOGY APPLICABLE LEGAL FRAMEWORK –BRIEFING

    Directory of Open Access Journals (Sweden)

    CATALIN POPA

    2012-05-01

    Full Text Available The purpose of this briefing is toprovide an overview of the aeronautical meteorology legal framework in Romania. In this context, the role and importance of aeronautical meteorology in international air traffic management will be underlined, with focus on the civil aviation activity in Romania. The international legal framework and modalities of implementing these rules at national level will constitute a significant part of the present study., Specific accent will be put on the national regulatory framework and structure, means of updating it, and how it responds to changing regulatory requirements.

  10. Metrological large range scanning probe microscope

    International Nuclear Information System (INIS)

    Dai Gaoliang; Pohlenz, Frank; Danzebrink, Hans-Ulrich; Xu Min; Hasche, Klaus; Wilkening, Guenter

    2004-01-01

    We describe a metrological large range scanning probe microscope (LR-SPM) with an Abbe error free design and direct interferometric position measurement capability, aimed at versatile traceable topographic measurements that require nanometer accuracy. A dual-stage positioning system was designed to achieve both a large measurement range and a high measurement speed. This dual-stage system consists of a commercially available stage, referred to as nanomeasuring machine (NMM), with a motion range of 25 mmx25 mmx5 mm along x, y, and z axes, and a compact z-axis piezoelectric positioning stage (compact z stage) with an extension range of 2 μm. The metrological LR-SPM described here senses the surface using a stationary fixed scanning force microscope (SFM) head working in contact mode. During operation, lateral scanning of the sample is performed solely by the NMM. Whereas the z motion, controlled by the SFM signal, is carried out by a combination of the NMM and the compact z stage. In this case the compact z stage, with its high mechanical resonance frequency (greater than 20 kHz), is responsible for the rapid motion while the NMM simultaneously makes slower movements over a larger motion range. To reduce the Abbe offset to a minimum the SFM tip is located at the intersection of three interferometer measurement beams orientated in x, y, and z directions. To improve real time performance two high-end digital signal processing (DSP) systems are used for NMM positioning and SFM servocontrol. Comprehensive DSP firmware and Windows XP-based software are implemented, providing a flexible and user-friendly interface. The instrument is able to perform large area imaging or profile scanning directly without stitching small scanned images. Several measurements on different samples such as flatness standards, nanostep height standards, roughness standards as well as sharp nanoedge samples and 1D gratings demonstrate the outstanding metrological capabilities of the instrument

  11. International Criminalization of International Terrorizm

    Directory of Open Access Journals (Sweden)

    Alexander Grigoryevich Volevodz

    2014-01-01

    Full Text Available Analysis and studying of the terrorism in all its facets is a complex entangled problem with less clear legal regulation that it might seem at first glance, especially after its transformation from local phenomenon into a world threat. Hitherto terrorism and actions connected to it have been criminalized by the majority of states. There are in modern criminal law whole systems of rules on criminal liability for terrorism which differs considerably from country to country. Terrorism has been criminalized in numerous international regional and universal antiterrorist legal instruments. The author notes that differences in definitions that are enshrined in them hinders international cooperation in criminal matters with respect to terrorist cases. Difficulties reside in the necessity to meet the dual criminality requirement and in the political offense exception. These difficulties can only be overcome through elaboration of a universally recognized definition of the notion of international terrorism and making it legally binding via its inclusion into a universal convention. The issue of definition of international terrorism is an important part of an efficient mutual assistance among states in fight against this crime. In this article the author accounts of actual ways of tackling by the international community of the issue of criminalization of international terrorism and of factors influencing them.

  12. An Assessment of Critical Dimension Small Angle X-ray Scattering Metrology for Advanced Semiconductor Manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Settens, Charles M. [State Univ. of New York (SUNY), Albany, NY (United States)

    2015-01-01

    Simultaneous migration of planar transistors to FinFET architectures, the introduction of a plurality of materials to ensure suitable electrical characteristics, and the establishment of reliable multiple patterning lithography schemes to pattern sub-10 nm feature sizes imposes formidable challenges to current in-line dimensional metrologies. Because the shape of a FinFET channel cross-section immediately influences the electrical characteristics, the evaluation of 3D device structures requires measurement of parameters beyond traditional critical dimension (CD), including their sidewall angles, top corner rounding and footing, roughness, recesses and undercuts at single nanometer dimensions; thus, metrologies require sub-nm and approaching atomic level measurement uncertainty. Synchrotron critical dimension small angle X-ray scattering (CD-SAXS) has unique capabilities to non-destructively monitor the cross-section shape of surface structures with single nanometer uncertainty and can perform overlay metrology to sub-nm uncertainty. In this dissertation, we perform a systematic experimental investigation using CD-SAXS metrology on a hierarchy of semiconductor 3D device architectures including, high-aspect-ratio contact holes, H2 annealed Si fins, and a series of grating type samples at multiple points along a FinFET fabrication process increasing in structural intricacy and ending with fully fabricated FinFET. Comparative studies between CD-SAXS metrology and other relevant semiconductor dimensional metrologies, particularly CDSEM, CD-AFM and TEM are used to determine physical limits of CD-SAXS approach for advanced semiconductor samples. CD-SAXS experimental tradeoffs, advice for model-dependent analysis and thoughts on the compatibility with a semiconductor manufacturing environment are discussed.

  13. The universal legal framework against nuclear terrorism

    International Nuclear Information System (INIS)

    Gehr, W.

    2007-01-01

    After the events of September 11, the United Nations Security Council adopted Resolution 1373 (2001) which has been called the 'Counter-Terrorism Code' of the world, because it creates legal obligations for all 192 Member States of the United Nations. UN Security Council Resolutions 1373 (2001), 1540 (2004) and 1735 (2006) as well as a defined set of 13 global treaties constitute the universal legal framework against terrorism which must be implemented in a manner consistent with international human rights obligations. Basically, these 13 treaties as well as Resolution 1373 are international criminal law instruments. Within this universal legal framework, the framework against nuclear terrorism is constituted by Resolution 1540, the Convention on the Physical Protection of Nuclear Material (CPPNM) which entered into force in 1987, and the International Convention for the Suppression of Terrorist Bombings which is in force since 2001. These three legal instruments will be supplemented by the International Convention for the Suppression of Acts of Nuclear Terrorism, an amendment to the CPPNM and two Protocols amending the Convention for the Suppression of Unlawful Acts Against the Safety of Maritime Navigation and the Protocol for the Suppression of Unlawful Acts Against the Safety of Fixed Platforms Located on the Continental Shelf, once these instruments, all of which were adopted in 2005, enter into force. The Terrorism Prevention Branch (TPB) of the United Nations Office on Drugs and Crime (UNODC) assists countries which are in need of legislative assistance for the drafting of appropriate counter-terrorism laws that duly take into account the obligations contained in Resolution 1373, the United Nations sanctions against Al-Qaida and the Taliban as well as in the 13 universal conventions for the prevention and the suppression of terrorism, including the CPPNM and the new International Convention for the Suppression of Acts of Nuclear Terrorism. UNODC/TPB has also

  14. Legal regime of human activities in outer space law

    Science.gov (United States)

    Golda, Carlo

    1994-01-01

    Current developments in space activities increasingly involve the presence of humans on board spacecraft and, in the near future, on the Moon, on Mars, on board Space Stations, etc. With respect to these challenges, the political and legal issues connected to the status of astronauts are largely unclear and require a new doctrinal attention. In the same way, many legal and political questions remain open in the structure of future space crews: the need for international standards in the definition and training of astronauts, etc.; but, first of all, an international uniform legal definition of astronauts. Moreover, the legal structure for human life and operations in outer space can be a new and relevant paradigm for the definition of similar rules in all the situations and environments in which humans are involved in extreme frontiers. The present article starts from an overview on the existing legal and political definitions of 'astronauts', moving to the search of a more useful definition. This is followed by an analysis of the concrete problems created by human space activities, and the legal and political responses to them (the need for a code of conduct; the structure of the crew and the existing rules in the US and ex-USSR; the new legal theories on the argument; the definition and structure of a code of conduct; the next legal problems in fields such as privacy law, communications law, business law, criminal law, etc.).

  15. Airborne hygrometer calibration inter-comparison against a metrological water vapour standard

    Science.gov (United States)

    Smorgon, Denis; Boese, Norbert; Ebert, Volker

    2014-05-01

    Water vapour is the most important atmospheric greenhouse gas, which causes a major feedback to warming and other changes in the climate system. Knowledge of the distribution of water vapour and its climate induced changes is especially important in the upper troposphere and lower stratosphere (UT/LS) where vapour plays a critical role in atmospheric radiative balance, cirrus cloud formation, and photochemistry. But, our understanding of water in the UT/LS is limited by significant uncertainties in current UT/LS water measurements. One of the most comprehensive inter-comparison campaigns for airborne hygrometers, termed AQUAVIT (AV1) [1], took place in 2007 at the AIDA chamber at the Karlsruhe Institute of Technology (KIT) in Germany. AV1 was a well-defined, referred, blind inter-comparison of 22 airborne field instruments from 17 international research groups. One major metrological deficit of AV1, however, was, that no traceable reference instrument participated in the inter-comparison experiments and that the calibration procedures of the participating instruments were not monitored or interrogated. Consequently a follow-up inter-comparison was organized in April 2013, which for the first time also provides a traceable link to the international humidity scale. This AQUAVIT2 (AV2) campaign (details see: http://www.imk-aaf.kit.edu/aquavit/index.php/Main_Page) was again located at KIT/AIDA and organised by an international organizing committee including KIT, PTB, FZJ and others. Generally AV2 is divided in two parallel comparisons: 1) AV2-A uses the AIDA chamber for a simultaneous comparison of all instruments (incl. sampling and in-situ instruments) over a broad range of conditions characteristic for the UT/LS; 2) AV2-B, about which this paper is reporting, is a sequential comparison of selected hygrometers and (when possible) their reference calibration infrastructures by means of a chilled mirror hygrometer traced back to the primary National humidity standard

  16. Judicialization of International Relations: Do International Courts Matter?

    Czech Academy of Sciences Publication Activity Database

    Malíř, Jan

    2013-01-01

    Roč. 3, č. 3 (2013), s. 208-224 ISSN 1805-8396 Institutional support: RVO:68378122 Keywords : judicialization * international relations * legal and extralegal factors Subject RIV: AG - Legal Sciences

  17. Nonlinear Quantum Metrology of Many-Body Open Systems

    Science.gov (United States)

    Beau, M.; del Campo, A.

    2017-07-01

    We introduce general bounds for the parameter estimation error in nonlinear quantum metrology of many-body open systems in the Markovian limit. Given a k -body Hamiltonian and p -body Lindblad operators, the estimation error of a Hamiltonian parameter using a Greenberger-Horne-Zeilinger state as a probe is shown to scale as N-[k -(p /2 )], surpassing the shot-noise limit for 2 k >p +1 . Metrology equivalence between initial product states and maximally entangled states is established for p ≥1 . We further show that one can estimate the system-environment coupling parameter with precision N-(p /2 ), while many-body decoherence enhances the precision to N-k in the noise-amplitude estimation of a fluctuating k -body Hamiltonian. For the long-range Ising model, we show that the precision of this parameter beats the shot-noise limit when the range of interactions is below a threshold value.

  18. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    International Nuclear Information System (INIS)

    Kulich, V.; Studeny, J.

    1995-01-01

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany

  19. Automation of metrological operations on measuring apparatuses of radiation monitoring system

    Energy Technology Data Exchange (ETDEWEB)

    Kulich, V; Studeny, J [NPP Dukovany (Czech Republic)

    1996-12-31

    (J.K.)In this paper the measuring apparatuses of ionizing radiation for the radiation monitoring of NPP Dukovany operation is described. The increase of metrological operations number has been made possible only by a timely reconstruction of the laboratory and by computerization of the measuring procedure and of administrative work which consists mainly of recording of a great number information pieces about the observed measuring apparatuses. There are three working places in the laboratory: 1) irradiation gamma stand with cesium-137 sources; 2) irradiation stand with plutonium-beryllium neutron sources; 3) spectrometric working place. With the regard to the uniqueness of the laboratory operation, all the works in the sphere of hardware as well as software has been implemented by own forces. The equipment of the laboratory makes possible to test metrologically all the radiation monitoring apparatuses used in NPP Dukovany. The quantity of operation of he laboratory of ionizing metrology qualifies the proper functioning of the radiation monitoring system, which directly influences the ensurance of nuclear safety of NPP Dukovany.

  20. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1993-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  1. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN; Laboratorio Nacional de Metrologia das Radiacoes Ionizantes

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1992-12-31

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs.

  2. Perspectives in absorbed dose metrology with regard to the technical evolutions of external beam radiotherapy; Perspectives en metrologie de la dose face aux evolutions techniques de la radiotherapie externe

    Energy Technology Data Exchange (ETDEWEB)

    Chauvenet, B.; Bordy, J.M. [CEA Saclay, Lab. National Henri Becquerel (LNE-LNHB), 91 - Gif-sur-Yvette (France); Barthe, J. [CEA Saclay (LIST), 91 - Gif-sur-Yvette (France)

    2009-07-01

    This paper presents several R and D axes in absorbed close metrology to meet the needs resulting from the technical evolutions of external beam radiotherapy. The facilities in operation in France have considerably evolved under the impulse of the plan Cancer launched in 2003: replacements and increase of the number of accelerators, substitution of accelerators for telecobalt almost completed and acquisition of innovative facilities for tomo-therapy and stereotaxy. The increasing versatility of facilities makes possible the rapid evolution of treatment modalities, allowing to better delimit irradiation to tumoral tissues and spare surrounding healthy tissues and organs at risk. This leads to a better treatment efficacy through dose escalation. National metrology laboratories must offer responses adapted to the new need, i.e. not restrict themselves to the establishment of references under conventional conditions defined at international level, contribute to the improvement of uncertainties at all levels of reference transfer to practitioners: primary measurements under conditions as close as possible to those of treatment, characterization of transfer and treatment control dosimeters., metrological validation of treatment planning tools... Those axes have been identified as priorities for the next years in ionizing radiation metrology at the European level and included in the European. Metrology Research Programme. A project dealing with some of those topics has been selected in the frame of the Eranet+ Call EMRP 2007 and is now starting. The LNE-LAM is strongly engaged in it. (authors)

  3. The International Politics of Legal Reforms: Hard Bilateralism, Soft Multilateralism and the World Bank’s “Doing Business” Indicators

    Directory of Open Access Journals (Sweden)

    Yi Shin Tang

    2017-10-01

    Full Text Available Abstract The Law and Development literature still debates on the role of international institutions in promoting legal reforms as a means of inducing economic growth. This article takes one step further by arguing that incremental circumstances compelled such institutions to change from bilaterally-binding pressures to soft-based multilateral strategies, by analyzing the gradual rise of the World Bank’s “Doing Business” initiative.

  4. The International Politics of Legal Reforms: Hard Bilateralism, Soft Multilateralism and the World Bank’s “Doing Business” Indicators

    OpenAIRE

    Tang, Yi Shin

    2017-01-01

    Abstract The Law and Development literature still debates on the role of international institutions in promoting legal reforms as a means of inducing economic growth. This article takes one step further by arguing that incremental circumstances compelled such institutions to change from bilaterally-binding pressures to soft-based multilateral strategies, by analyzing the gradual rise of the World Bank’s “Doing Business” initiative.

  5. Abandonment (field decommissioning): The legal requirements

    International Nuclear Information System (INIS)

    Roberts, M.

    1994-01-01

    The main areas to be considered in relation to the abandonment of offshore installations are: (1) the legal requirements to be imposed in relation to abandonment, this will include consideration of English, Norwegian and Dutch law as well as international law; (2) how licensees may protect themselves against joint and several liability for performance of their legal obligations in relation to abandonment by the provision of security; and (3) consideration of practical examples of abandonment such as the abandonment of the Piper Alpha platform on the UK continental shelf and the K13-D platform on the Dutch continental shelf. This paper considers only abandonment of offshore installations as very different considerations apply onshore and applies only to Europe, though the international treaties will also apply elsewhere

  6. Metrological challenges introduced by new tolerancing standards

    International Nuclear Information System (INIS)

    Morse, Edward; Peng, Yue; Srinivasan, Vijay; Shakarji, Craig

    2014-01-01

    The recent release of ISO 14405-1 has provided designers with a richer set of specification tools for the size of part features, so that various functional requirements can be captured with greater fidelity. However, these tools also bring new challenges and pitfalls to an inspector using a coordinate metrology system. A sampling strategy that might have worked well in the past could lead to erroneous results that go undetected when used to evaluate these new specifications. In this paper we investigate how measurement strategies for sampled coordinate metrology systems influence different algorithms for the evaluation of these new specifications. Of particular interest are those specifications where the order statistics of feature cross-sections are required. Here the inspector must decide not only how many points are required for an individual cross-section, but the number and spacing of cross-sections measured on the feature. The results of these decisions are compared with an analytic estimate of the ‘true value’ of the measurand specified using this new standard. (paper)

  7. Legal Instruments of Regulation of Development of Banking Activity in Ukraine

    Directory of Open Access Journals (Sweden)

    Senyshch Pavlo M.

    2014-03-01

    Full Text Available The article considers main approaches to identification of essence of legal instruments of regulation of development of the banking activity, identifies the mechanism of legal regulation of the banking activity and its elements and justifies the system and form of legal regulation of the banking activity in Ukraine. It describes subjects of legal regulation of the banking activity at the international level, which are the Basel Committee on Banking Supervision, European Central Bank, IMF, International Financial Reporting Standards Foundation and others. The article considers specific features of the regulatory requirements of Basel II and Basel III and specific features of their introduction into the banking activity. It describes anti-cyclic measures offered by the Basel Committee, which should facilitate formation of such conditions, under which the banking sector could have a lower level of leverage and stability with respect to influence of system risks. Significant attention is paid to international instruments of regulation of the banking activity, which include the following legal acts: Uniform Rules for Collections, Uniform Customs and Practice for Documentary Credits, and Unified Rules for Loan Guarantees. The article shows that the share of subordinate legal acts is significant in the Ukrainian system of banking regulatory and legal acts since the state cannot operatively react to the changing processes in banking at the legislative level and, that is why, basic provisions on carrying out banking activity should be fixed in law.

  8. The Use of Force in Modern Counter-Terrorism: International Legal and Political Aspects

    Directory of Open Access Journals (Sweden)

    Elizaveta Sergeevna Gromoglasova

    2016-12-01

    Full Text Available The paper reviews the recent practice of the use of military force in extraterritorial counter-terrorist operations. It argues that nowadays we're witnessing a new stage in the 'war on terror' that's still going on. Although the most of the modern counter-terrorist operations like, for example, the US-led coalition against ISIL in Iraq are being conducted at the request of the affected government, the major risks of expanding and misuse of the right on individual or collective self-defense enshrined in the UN Charter are still present. This can be illustrated by reference to the US air strikes on ISIL in Syria that have been undertaken without consent of Syrian government. But the challenges emerging from 'failed states' and rise of new more radical and militant terrorist movements (ISIL, Ash-Shabaab, Boko Haram and others change the perceptions of legality of extraterritorial counter-terrorist force. The approach which reaffirms responsibility of the state for suppressing terrorist groups operating from within its territory seems to become more and more acceptable. Accordingly, if the state can't suppress terrorist activity it should accept the counter-terrorist intervention on its territory. Nevertheless, jus in bello norms (first of all international humanitarian law remain stringent legal framework for actual use of counter-terrorist military force. The paper concludes that overall political legitimacy of the modern military counter-terrorist operations should be accessed in terms of their humanitarian impact and consequences.

  9. The legal status of the fetus: an international review.

    Science.gov (United States)

    Seymour, John

    2002-08-01

    The article examines the way that courts and legislatures in the United Kingdom, the United States of America, Canada and Australia have answered questions regarding the legal status of a fetus. These questions have arisen in a variety of legal situations: the article deals with succession, criminal, child protection and negligence law. The conclusion offered is that a fetus has a value and an existence that the law should recognise. This does not mean, however, that in all circumstances the law should protect the interests of the fetus. Law-makers will respond differently to claims made on behalf of a fetus, depending on the context. The fetus does not have a uniform value or character in the eyes of the law. The law makes choices as to the situations in which it will take account of actual or threatened antenatal harm.

  10. Optical antennas for far and near field metrology

    NARCIS (Netherlands)

    Silvestri, F.; Bernal Arango, F.; Vendel, K.J.A.; Gerini, G.; Bäumer, S.M.B.; Koenderink, A.F.

    2016-01-01

    This paper presents the use of optical antennas in metrology scenarios. Two design concepts are presented: dielectric nanoresonator arrays and plasmonic nanoantennas arrays. The first ones are able to focus an incident light beam at an arbitrary focal plane. The nanoantennas arrays can be employed

  11. Clean focus, dose and CD metrology for CD uniformity improvement

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  12. Metrology for γ-radiation spectrometry in a radiation monitoring system

    International Nuclear Information System (INIS)

    Khaikovich, I.M.; Shevrygin, O.N.; Fominykh, V.I.

    1993-01-01

    The rapid measurement of the characteristics of radionuclides is a priority when utilizing nuclear energy because of the needs of environmental conservation. This is particularly the case for long-lived nuclear-fuel fission products, 137,137 Cs, 144 Ce, 60 Co, etc., which as a rule are sources of high-energy γ-radiation. These can be measured by γ-ray spectrometry using scintillation or semiconductor devices. When choosing the metrological models, the starting points are the real characteristics of the distribution of the radionuclides in the soil (rock) and the need to estimate their surface activity and the reserves per unit area, i.e., the parameters from which one can estimate the influence of the radioactivity on nature and can decide the use of land areas. The methodology and the calculations presented show that a single metrological system for radiation monitoring can be constructed using multichannel geophysical radiometers (gamma spectrometers) while relying on a system of initial standard samples of small size. Such a metrological system at present provides all the necessary initial means for measuring the effective γ-radiation surface activity of 137,134 Cs and the mass fraction of the natural radioactive elements. Using these initial means of measurement, surveyed areas in the Leningrad and Tula provinces have been certified as State standard samples in terms of the eight parameters: the surface contamination activity of 137,134 Cs, the reserves of these per unit area, the mass fraction of the natural radioactive elements (potassium, uranium and thorium), the power of the equivalent (exposed) γ-radiation dose at a height of 1 m above the surface. It is intended to use the certified metrological surveyed areas to provide traceability and the required measurement accuracy when studying the contamination in European territories resulting from the accident at the Chernobyl nuclear power station

  13. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, Sheng; Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Celestre, Rich; Church, Matthew; McKinney, Wayne R.; Morrison, Greg; Warwick, Tony

    2010-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situ visible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  14. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, S.; Yashchuk, V.V.; Goldberg, K.A.; Celestre, R.; Church, M.; McKinney, W.R.; Morrison, G.; Warwick, T.

    2009-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situvisible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  15. Deliverable 7.1: Legal Framework and Legal Barriers to an Offshore HVDC Electricity Grid in the North Sea : Intermediate Report for Stakeholder Review

    NARCIS (Netherlands)

    Nieuwenhout, C.T.

    The present deliverable elaborates the current legal framework for offshore wind and grid development on international, European and national level. It is shown that often, the legal framework needs to be adapted in order to facilitate the development of a meshed offshore electricity grid. This is

  16. The Right to strike: International and regional legal instruments with accent of legislation in Republic of Macedonia

    OpenAIRE

    Majhosev, Andon; Denkova, Jadranka

    2013-01-01

    The right to strike is a universal democratic right of all employees, regardless of where they are employed: Real or public sector. Depending on the degree of realization of this right in a state, it is accordingly evaluated on the scale of democracy. Therefore, we can say that the right to strike is a fundamental measure of democratic values of a society. There is no real democracy without the right to strike. The right to strike is governed by international legal instruments (acts) of the U...

  17. Information system planning work on maintenance metrological equipment

    Directory of Open Access Journals (Sweden)

    Dmitry V. Shtoller

    2011-05-01

    Full Text Available Computerization has entered into all human activities. Important role in the work now is a workstation, which increases productivity. Did not remain without attention and work of the metrological services of enterprises. Electronic records can help solve many problems for the organization of data.

  18. Metrological traceability of carbon dioxide measurements in atmosphere and seawater

    International Nuclear Information System (INIS)

    Rolle, F; Pessana, E; Sega, M

    2017-01-01

    The accurate determination of gaseous pollutants is fundamental for the monitoring of the trends of these analytes in the environment and the application of the metrological concepts to this field is necessary to assure the reliability of the measurement results. In this work, an overview of the activity carried out at Istituto Nazionale di Ricerca Metrologica to establish the metrological traceability of the measurements of gaseous atmospheric pollutants, in particular of carbon dioxide (CO 2 ), is presented. Two primary methods, the gravimetry and the dynamic dilution, are used for the preparation of reference standards for composition which can be used to calibrate sensors and analytical instrumentation. At present, research is carried out to lower the measurement uncertainties of the primary gas mixtures and to extend their application to the oceanic field. The reason of such investigation is due to the evidence of the changes occurring in seawater carbonate chemistry, connected to the rising level of CO 2 in the atmosphere. The well established activity to assure the metrological traceability of CO 2 in the atmosphere will be applied to the determination of CO 2 in seawater, by developing suitable reference materials for calibration and control of the sensors during their routine use. (paper)

  19. Legal and regulatory capacity to support the global health security agenda.

    Science.gov (United States)

    Morhard, Ryan; Katz, Rebecca

    2014-01-01

    On February 13, 2014, 27 nations, along with 3 international organizations, launched the Global Health Security Agenda (GHSA). The intent of GHSA is to accelerate progress in enabling countries around the world to prevent, detect, and respond to public health emergencies-capacities to be achieved through 9 core objectives. Building national, regional, and international capacity includes creating strong legal and regulatory regimes to support national and international capacities to prevent, detect, and respond to public health emergencies. Accordingly, establishing and reinforcing international and national-level legal preparedness is central to advancing elements of each of the 9 objectives of the GHSA.

  20. The law of the international civil service institutional law and practice in international organisations

    CERN Document Server

    Ullrich, Gerhard

    2018-01-01

    Gerhard Ullrich provides an overall review of the employment law of international intergovernmental organisations. In the first part of the book, he explains the basics of employment law and provides statistical data. He comments extensively on the privileges and immunities of international officials. The core of the book is dedicated to the examination of the legal sources for international civil service law. Here, the international administrative tribunals' case law on the general principles of law occupies a particularly broad area. A second legal source are the structures and elements of the statutory employment in international organisations. The author finally comments on the system of legal protection for the staff of the international civil service.

  1. Tools intented to nuclear metrology

    International Nuclear Information System (INIS)

    Munayco Tasayco, A.F.

    1980-08-01

    The study undertaken in the metrological laboratory of the C.E.N. Saclay Electronics Services is intended to improve the measurement methods in two fields concerning nuclear instrumentation: the current's measurement in the range 1pA to 0,01 pA and the study of a measurement's system for the linear circuits used in spectrometer gamma ray with semiconductor. Two systems are now working. Its permit an improvement of precision measurement, an automation of the measurement process and many possibilities in the choice of parameters and the laying-out of results [fr

  2. Primary calibration in acoustics metrology

    International Nuclear Information System (INIS)

    Milhomem, T A Bacelar; Soares, Z M Defilippo

    2015-01-01

    SI unit in acoustics is realized by the reciprocity calibrations of laboratory standard microphones in pressure field, free field and diffuse field. Calibrations in pressure field and in free field are already consolidated and the Inmetro already done them. Calibration in diffuse field is not yet consolidated, however, some national metrology institutes, including Inmetro, are conducting researches on this subject. This paper presents the reciprocity calibration, the results of Inmetro in recent key comparisons and the research that is being developed for the implementation of reciprocity calibration in diffuse field

  3. European Congress on Optics Applied to Metrology /METROP/, 2nd, Strasbourg, France, November 26-30, 1979, Proceedings

    International Nuclear Information System (INIS)

    Grosmann, M.; Meyrueis, P.

    1980-01-01

    The paper deals with speckle metrology, advances in classical optical metrology and measurement, and holographic metrology. Specific topics include hybrid holographic computer image processing, a speckle method of flow velocity measurement, the measurement of vibratory strains on turbine blades by speckle photography, the use of optical heterodyning and the Doppler effect in laser vibrometers and anemometers, subpicosecond dye lasers for optical metrology, and laser-beam scanning for remote control. Holographic interferometry of brittle materials is discussed, along with a system for the automatic analysis of holographic interferograms, the measurement of surface tension by holographic interferometry, nondestructive testing by means of holographic interferometry, real-time holographic interferometry of heat transfer at the surface of cold solar collectors, and the effective practical use of holography and related technologies in industry

  4. Crimean Referendum: International Legal Aspects

    Directory of Open Access Journals (Sweden)

    Michael Geistlinger

    2014-12-01

    Full Text Available The article examines the key aspects of the accession of the Republic of Crimea to the Russian Federation as the example of enforcement of the people’s right to self-determination, secured in UN Charter. International law basis of the accession, as well analysis of key reasons and consequences of this international precedent are under consideration.

  5. Proficiency testing in the light of a new rationale in metrology

    DEFF Research Database (Denmark)

    Heydorn, Kaj

    2008-01-01

    The novel proposed definition of measurement result in the international metrology vocabulary requires a revision of standards and guidelines for proficiency testing (PT), and a new approach to processing proficiency data is needed to test the ability of laboratories to present not only unbiased...... quantity values, but reliable estimates of their uncertainty. Hence, an accepted reference value with the smallest possible uncertainty is needed to ascertain the proficiency of laboratories reporting results with lower than average uncertainty. A strategy based on the T-statistic is proposed leading...... to an accepted reference value that fully reflects the uncertainties reported by participants in a PT scheme and permits calculation of En-numbers to distinguish whether or not measurement results are consistent with the accepted definition of the measurand. The strategy is applied to PT data from a recent...

  6. X-diffraction technique applied for nano system metrology

    International Nuclear Information System (INIS)

    Kuznetsov, Alexei Yu.; Machado, Rogerio; Robertis, Eveline de; Campos, Andrea P.C.; Archanjo, Braulio S.; Gomes, Lincoln S.; Achete, Carlos A.

    2009-01-01

    The application of nano materials are fast growing in all industrial sectors, with a strong necessity in nano metrology and normalizing in the nano material area. The great potential of the X-ray diffraction technique in this field is illustrated at the example of metals, metal oxides and pharmaceuticals

  7. International comparison of resistance thermometers between NMIs from Spain, Mexico and Andean countries

    Science.gov (United States)

    del Campo, D.; Ruiz, V. C.; Méndez-Lango, E.; Córdova, L.; von Borries, E.; Sánchez, C. A.; Arévalo, A.; Aguilera, B.; Guillén, E.; Cabrera, C.; Quintana, L.

    2013-09-01

    An international comparison on semi-standard Platinum Resistance Thermometers (PRTs) among the National Metrology Institutes (NMIs) of Spain, Mexico and the Andean Countries (Bolivia, Colombia, Ecuador, Peru and Venezuela) began in 2004 and was successfully completed in 2005. Two PRTs were circulated (hand carried) and compared from -40 °C up to 250 °C. The Centro Español de Metrología (Spanish NMI), CEM, was the pilot laboratory and the Centro Nacional de Metrología (Mexican NMI), CENAM, was the co-pilot laboratory. This paper shows the details of the comparison and the final results as they were presented in the approved final report of the comparison in September of 2005.

  8. Cross-border quest: the reality and legality of transplant tourism.

    Science.gov (United States)

    Ambagtsheer, Frederike; Zaitch, Damián; van Swaaningen, René; Duijst, Wilma; Zuidema, Willij; Weimar, Willem

    2012-01-01

    Background. Transplant tourism is a phenomenon where patients travel abroad to purchase organs for transplants. This paper presents the results of a fieldwork study by describing the experiences of Dutch transplant professionals confronted by patients who allegedly purchased kidney transplants abroad. Second, it addresses the legal definition and prohibition of transplant tourism under national and international law. The final part addresses the legal implications of transplant tourism for patients and physicians. Methods. The study involved seventeen interviews among transplant physicians, transplant coordinators and policy-experts and a review of national and international legislation that prohibit transplant tourism. Results. All Dutch transplant centers are confronted with patients who undergo transplants abroad. The estimated total number is four per year. Transplant tourism is not explicitly defined under national and international law. While the purchase of organs is almost universally prohibited, transplant tourism is hardly punishable because national laws generally do not apply to crimes committed abroad. Moreover, the purchase of organs (abroad) is almost impossible to prove. Conclusions. Transplant tourism is a legally complex phenomenon that warrants closer research and dialogue. The legal rights and obligations of patients and physicians confronted with transplant tourism should be clarified.

  9. Competitive Legal Professionals’ use of Technology in Legal Practice and Legal Research

    Directory of Open Access Journals (Sweden)

    T du Plessis

    2008-12-01

    Full Text Available Advances in the information and communication technologies have led to the availability of a range of primary and secondary legal research publications online via the Internet, rather than on other storing devices such as compact discs or publications in the print media. Not only has information and communication technology (ICT impacted on the availability of legal information resources, but its effects are also noticed in various law-related areas such as legal practice management, legal education, corporate governance and the law per se. The question addressed by this article is whether the application of ICTs has an effect on the practice of law, and specifically whether information and knowledge management affects the processes of legal research in modern legal practice. Various issues are considered in this regard, including what the concept of knowledge management (KM entails in a law firm and what the current KM trends in South African law firms are. The article investigates global trends in the application of ICTs for legal research purposes, what the specific applications of KM in support of legal research may be, how information technology applications and KM systems and strategies can support the legal research process, and what the benefits of KM are to legal research. It finally discusses the impact technology has had on the skills required of competitive legal professionals.

  10. Legal aspects of storing CO2. Update and recommendations

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2007-06-21

    CO2 emissions from energy production and consumption are a major contributor to climate change. Thus, stabilising CO2 concentrations in the atmosphere by reducing these emissions is an increasingly urgent international necessity. Carbon capture and storage (CCS) represents one of the most promising potential solutions to contain emissions resulting from continued use of coal and other fossil fuels. However, challenges such as a lack of legal and regulatory frameworks to guide near-term demonstration projects and long-term technology expansion must be addressed to facilitate the expanded use of CCS. In October 2006, the International Energy Agency (IEA) and the Carbon Sequestration Leadership Forum (CSLF) convened with legal experts,to discuss the range of legal issues associated with expanded use of CCS and to identify ways to facilitate further CCS development and implementation Participants examined gaps and barriers to the deployment of CCS and identified recommendations to guide further development of appropriate legal and regulatory frameworks. This publication provides policymakers with a detailed summary of the main legal issues surrounding the CCS debate, including up-to-date background information, case studies and conclusions on the best legal and regulatory approaches to advance CCS. These strategies can be used to enable further development, deployment and demonstration of CCS technology, potentially an essential element in global efforts to mitigate climate change.

  11. The role of metrology in mediating and mobilizing the language and culture of scientific facts

    Science.gov (United States)

    Fisher, W. P., Jr.; Stenner, A. J.

    2015-02-01

    The self-conscious awareness of language and its use is arguably nowhere more intense than in metrology. The careful and deliberate coordination and alignment of shared metrological frames of reference for theory, experiment, and practical application have been characteristics of scientific culture at least since the origins of the SI units in revolutionary France. Though close attention has been focused on the logical and analytical aspects of language use in science, little concern has been shown for understanding how the social and historical aspects of everyday language may have foreshadowed and influenced the development and character of metrological language, especially relative to the inevitably partial knowledge possessed by any given stakeholder participating in the scientific enterprise. Insight in this regard may be helpful in discerning how and if an analogous role for metrology might be created in psychology and the social sciences. It may be that the success of psychology as a science will depend less on taking physics as the relevant model than on attending to the interplay of concepts, models, and social organization that make any culture effective.

  12. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    Science.gov (United States)

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  13. At-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Berujon, Sebastien; Sutter, John; Alcock, Simon G.; Sawhney, Kawal

    2014-09-01

    Modern, third-generation synchrotron radiation sources provide coherent and extremely bright beams of X-ray radiation. The successful exploitation of such beams depends to a significant extent on imperfections and misalignment of the optics employed on the beamlines. This issue becomes even more critical with the increasing use of active optics, and the desire to achieve diffraction-limited and coherence-preserving X-ray beams. In recent years, significant progress has been made to improve optic testing and optimization techniques, especially those using X-rays for so-called atwavelength metrology. These in-situ and at-wavelength metrology methods can be used not only to optimize the performance of X-ray optics, but also to correct and minimize the collective distortions of upstream beamline optics, including monochromators, and transmission windows. An overview of at-wavelength metrology techniques implemented at Diamond Light Source is presented, including grating interferometry and X-ray near-field speckle based techniques. Representative examples of the application of these techniques are also given, including in-situ and atwavelength calibration and optimization of: active, piezo bimorph mirrors; Kirkpatrick-Baez (KB) mirrors; and refractive optics such as compound refractive lenses.

  14. Corporations and the Uses of Law: International Investment Arbitration as a “Multilateral Legal Order

    Directory of Open Access Journals (Sweden)

    Peter Muchlinski

    2011-05-01

    Full Text Available This paper seeks to examine the claim, made by certain legal scholars, that international investment law, though based mainly on Bilateral Investment Treaties (BITs is in fact a multilateral order that introduces principles of an emergent “global administrative law” into the regulation of state conduct in relation to foreign investors and their investments. Such scholars argue that this order develops through the decisions of investor-State arbitral tribunals which are creating a harmonised understanding of the meaning of BIT provisions and an institutional system of adjudication that furthers the development of global administrative principles. Through a critical examination of this approach the paper argues that this field is not a multilateral order but an unstructured process of privatised legal entrepreneurship which seeks to further a professional interest in developing an extensive, investor friendly, regime of BITs. Furthermore, that process fails as a means of providing effective or legitimate legal review of administrative action.  The argument is made both on a theoretical level and by a review of a specific issue in international investment law, namely, the development of  wider types of claims and the rise of so-called “treaty shopping” by means of corporate group structuring.  In particular the multi-jurisdictional location of various affiliates in a multinational enterprise creates a network of potential claimants in investor state disputes, giving rise to the risk of multiple claims, while the possibility of setting up affiliates in various jurisdictions creates opportunities for “treaty shopping”. “Treaty shopping” involves the enterprise locating an affiliate in a jurisdiction that has signed an investment protection treaty with the host country, allowing various affiliates and/or the parent in a group enterprise to benefit from treaty protection even though they possess the nationality of a state that has no

  15. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed method is general and applicable to a broad spectrum of high accuracy measurements.

  16. What Does the Right to Education Mean? A Look at an International Debate from Legal, Ethical, and Pedagogical Points of View.

    Science.gov (United States)

    Jover, Gonzalo

    2001-01-01

    Explores the legal, ethical, and pedagogical aspects of the right to education. Describes a study aimed at learning what the global attitudes are toward the right to an education. Discusses globalization and its effects on education and examines the impact of international caucuses such as the Convention of the Rights of the Child. (Contains 17…

  17. Quantifying Human Response: Linking metrological and psychometric characterisations of Man as a Measurement Instrument

    International Nuclear Information System (INIS)

    Pendrill, L R; Fisher, William P Jr

    2013-01-01

    A better understanding of how to characterise human response is essential to improved person-centred care and other situations where human factors are crucial. Challenges to introducing classical metrological concepts such as measurement uncertainty and traceability when characterising Man as a Measurement Instrument include the failure of many statistical tools when applied to ordinal measurement scales and a lack of metrological references in, for instance, healthcare. The present work attempts to link metrological and psychometric (Rasch) characterisation of Man as a Measurement Instrument in a study of elementary tasks, such as counting dots, where one knows independently the expected value because the measurement object (collection of dots) is prepared in advance. The analysis is compared and contrasted with recent approaches to this problem by others, for instance using signal error fidelity

  18. Moving Towards Inclusive Education as a Human Right, An analysis of international legal obligations to implement inclusive education in law and policy

    OpenAIRE

    Waddington, L.B.; Toepke, C

    2014-01-01

    Children with disabilities experience ongoing segregation in special education classes or are otherwise excluded from education. This is in spite of the fact that States have a legal obligation to offer an accessible and inclusive education to all learners. Exclusion of any child from education is a violation of international law and a breach of human rights. The provision of inclusive education is an obligation under international law, as well as the means by which to fulfil the additional l...

  19. Legal problems of nuclear fuel reprocessing

    International Nuclear Information System (INIS)

    Rossnagel, A.

    1987-01-01

    The contributions in this book are intended to exemplify the legal situation in connection with the reprocessing of spent nuclear fuel from the point of view of constitutional law, administrative law, and international law. Outline solutions are presented with regard to ensuring health, personal freedom, democratic rights and other rights, and are discussed. The author Rossnagel investigates whether the principle of essential matter can guarantee a parliamentary prerogative concerning this field of large-scale technology. The author Schmidt shows that there is no legal obligation of commitment to a reprocessing technology that would exclude research for or application of a less hazardous technology. The contribution by Baumann explains the problems presented by a technology not yet developed to maturity with regard to the outline approval of the technological concept, which is a prerequisite of any partial licence to be issued. The final contribution by Guendling investigates the duties under international law, as for instance transfrontier information, consultation, and legal protection, and how these duties can be better put into practice in order to comply the seriousness of the hazards involved in nuclear fuel reprocessing. (orig./HP) [de

  20. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  1. Discovery of ETI: Terrestrial and extraterrestrial legal implications

    Science.gov (United States)

    Fasan, Ernst

    TheLegalSituationonEarth: The following international legal regulations seem to apply to the search for and the eventual detection of ETI: a) The "Space Treaty" of Oct. 10, 1967; b) The Liability Convention of Oct. 9, 1973; c) The Moon Agreement of Dec. 5, 1979; d) The International Telecommunication Convention. LegalRelationswithExtraterrestrials: We may expect the following characteristics of ETI: 1. life in the sense of influencing the environment by selection from more than one possibility; 2. intelligence in the sense of self-realization of free will; 3. existence in three dimensional space and a will to live. With this we can expect that each race in the universe may have the following interests regarding its own race: a) to preserve and continue its own life; b) to protect this life from damage and intrusion; c) possibly to expand the realms of its living space. Therefore, if we decide to "answer" ETI, we may want to transmit such legal-philosophical principles: 1. the principle of nonviolation; 2. the principle of equality; 3. the principle to recognize the will to live and the living space of any intelligent race.

  2. Radiation protection: Scientific fundamentals, legal regulations, practical applications. Compendium. 8. ed.

    International Nuclear Information System (INIS)

    Buchert, G.; Czarwinski, R.; Martini, E.; Ruehle, H.; Wust, P.

    2003-01-01

    In 2003, radiation effects and radiation risks were again a central issue, with new biokinetic and dosimetric models. Preliminary experience with new legal regulations on radiation protection was a central issue. Dosimetry and radiation protection metrology were gone into, as was radiation exposure in medicine, engineering, and the environment. New diagnostic methods in medicine were presented, and radiation exposures resulting from some of these techniques were analyzed. Industrial applications of ionising radiation and technical radiography were presented. Nuclear engineering was covered as well, e.g. how to maintain the current know-how after the agreed nuclear phase-out, the transport of spent fuel elements, and the safety of nuclear power stations in eastern Europe. As in the years before, detection limits in radiation measurement, calculations of radiation exposure, incidents in nuclear facilities, and radiation exposure assessment after safety-relevant incidents were among the issues discussed. (orig.)

  3. From Toques to Tokes: Two challenges facing nationwide legalization of cannabis in Canada.

    Science.gov (United States)

    Bear, Daniel

    2017-04-01

    In 2015, a new Liberal Government came to power in Canada, elected on a platform that included legalization and regulation of cannabis for recreational purposes. Their legislation, based on recommendations from a Federal Task Force on Marijuana Legalization and Regulation, is due in early April 2017. This commentary utilizes Canadian Federal policy papers, previous literature, and internal and international agreements to examine two key areas critical to the development of a nationwide regulated market for cannabis in Canada; the need to overcome restrictions to legalizing cannabis in United Nations' drug control treaties, and the unique challenges that non-medical cannabis creates for navigating interprovincial trade policies in Canada. Irrespective of UN conventions that appear to prohibit legalization of cannabis the Government is preparing to bring forward legislation as this article goes to print. At the same time significant squabbles impede the selling of even beer and wine inter-provincially in Canada. This paper identifies the challenges facing Canadian legalization efforts, but also shows how the legalization legislation may provide opportunities to engender significant change beyond the simple legalization of a specific drug. This commentary does not argue for any specific course of action for Canada, but rather explores the nuance of legalization absent from the declaration in the Liberal party platform. The paper argues that Canada's efforts may hasten the dismantling of the UN drug control structure, and provide renewed opportunities for intern-provincial trade in Canada. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. The Reluctance of Civil Law Countries in Adopting “the Without Breach of Peace” Standard of UCC Article 9: Evidence from National and International Legal Instruments Governing Secured Transactions

    DEFF Research Database (Denmark)

    Gikay, Asress Adimi; Stanescu, Catalin Gabriel

    2017-01-01

    been shaped by courts on a case-by-case basis. In reforming their secured transactions laws and to enhance access to credit, continental legal systems have shown great reception to Article 9 by adopting the unitary concept and functional approach to security interests, introducing private enforcement....... This article concludes that the alternatives of the “without breach of peace” standard prevailing in continental legal systems undermine the privilege of the secured creditor, pose enforcement problems (such as uncertainty of creditors’ rights and possible abuses against consumer debtors), and restrain out...... international legal instruments), this article demonstrates that continental European legal systems are generally apprehensive with the “without breach of peace” standard. Thus, they are reluctant to transplant it to their legislation and try to either modify it or replace it with different legal requirements...

  5. A metrology solution for the orthopaedic industry

    International Nuclear Information System (INIS)

    Bills, P; Brown, L; Jiang, X; Blunt, L

    2005-01-01

    Total joint replacement is one of the most common elective surgical procedures performed worldwide, with an estimate of 1.5 million operations performed annually. Currently joint replacements are expected to function for 10-15 years, however, with an increase in life expectancy, and a greater call for knee replacement due to increased activity levels, there is a requirement to improve their function to offer longer term improved quality of life for patients. The amount of wear that a joint incurs is seen as a good indicator of performance, with higher wear rates typically leading to reduced function and premature failure. New technologies and materials are pushing traditional wear assessment methods to their limits, and novel metrology solutions are required to assess wear of joints following in vivo and in vitro use. This paper presents one such measurement technique; a scanning co-ordinate metrology machine for geometrical assessment. A case study is presented to show the application of this technology to a real orthopaedic measurement problem: the wear of components in total knee replacement. This technique shows good results and provides a basis for further developing techniques for geometrical wear assessment of total joint replacements

  6. International countertrade arrangements and their legal structure: Double edge sword or future of the modern trade

    Directory of Open Access Journals (Sweden)

    Milenković-Kerković Tamara

    2011-01-01

    Full Text Available The experiences and the practice of many countries show that countertrade could be used as the significant method for incensement of the export as well as for the promotion of the foreign investments even in the period of deep financial crises. Contemporary governments' pro-active countertrade orientation in USA, Israel, Sweden, Norway, Japan and other developed countries highlights the inadequacy of the obsolete and stereotypical concept of the countertrade as the compensation transaction based on the 'trade without money' concept. Besides this, the practices proved that countertrade transactions are the consequence and the indicator of economic shocks. Therefore, the study of the special legal issues that may arise in countertrade transactions will be very important not only for the domestic legal doctrine but also for the commercial practice. As national laws do not contain provisions specific for countertrade, it is of particular importance to analyze legal question such as structuring and drafting of countertrade arrangements as well as to study the question of the legal nature of the contractual link between legal instruments which form multicontractual mechanism of countertrade transactions. The character of the legal connection among the legal instruments in countertrade arrangement, as well as the legal nature of the countertrade commitment, strongly influence the countertrade agreement's legal nature. The economic reality of a group of contracts joined by the common goal of the transaction (consideration and the countertrade commitment has to be followed by the legal reality which will recognize the legal interdependence of the obligation deriving from the legally independent countertrade arrangement.

  7. The international surface temperature initiative

    Science.gov (United States)

    Thorne, P. W.; Lawrimore, J. H.; Willett, K. M.; Allan, R.; Chandler, R. E.; Mhanda, A.; de Podesta, M.; Possolo, A.; Revadekar, J.; Rusticucci, M.; Stott, P. A.; Strouse, G. F.; Trewin, B.; Wang, X. L.; Yatagai, A.; Merchant, C.; Merlone, A.; Peterson, T. C.; Scott, E. M.

    2013-09-01

    The aim of International Surface Temperature Initiative is to create an end-to-end process for analysis of air temperature data taken over the land surface of the Earth. The foundation of any analysis is the source data. Land surface air temperature records have traditionally been stored in local, organizational, national and international holdings, some of which have been available digitally but many of which are available solely on paper or as imaged files. Further, economic and geopolitical realities have often precluded open sharing of these data. The necessary first step therefore is to collate readily available holdings and augment these over time either through gaining access to previously unavailable digital data or through data rescue and digitization activities. Next, it must be recognized that these historical measurements were made primarily in support of real-time weather applications where timeliness and coverage are key. At almost every long-term station it is virtually certain that changes in instrumentation, siting or observing practices have occurred. Because none of the historical measures were made in a metrologically traceable manner there is no unambiguous way to retrieve the true climate evolution from the heterogeneous raw data holdings. Therefore it is desirable for multiple independent groups to produce adjusted data sets (so-called homogenized data) to adequately understand the data characteristics and estimate uncertainties. Then it is necessary to benchmark the performance of the contributed algorithms (equivalent to metrological software validation) through development of realistic benchmark datasets. In support of this, a series of successive benchmarking and assessment cycles are envisaged, allowing continual improvement while avoiding over-tuning of algorithms. Finally, a portal is proposed giving access to related data-products, utilizing the assessment results to provide guidance to end-users on which product is the most suited to

  8. Weaponisation of Space - Some Legal Considerations

    Science.gov (United States)

    Jolly, C.

    2002-01-01

    This paper will examine a current national initiative from the United States of America to achieve greater national security through the `weaponisation' of extra-atmospheric space. We will propose a synthesis of the current international legal framework pertaining to military activities in space. Based on the analysis of the legal regime and on some current national and regional political initiatives, we will make some practical recommendations to prevent an arms race in space. Civil remote sensing, telecommunications, and launchers launch vehicle technologies have all benefited from a military heritage. They are dual use technologies, in other words, technologies that have both military and civilian applications. In fact, space has always been militarised, ever since the first satellites were put in orbit for reconnaissance missions. But recently, some national policies and technological advances are making the militarisation of space less `discrete'. Military assets from different countries are already stationed in orbit (e.g. reconnaissance and navigation satellites), but they might soon be joined by new `space weapons' with lethal strike capabilities. Currently, in the United States, military and civilian space activities are being closely intertwined. A typical example is the call of the NASA Administrator Sean O'Keefe, a former Secretary of the Navy, for closer cooperation on research and development between NASA and the Department of Defense. Concerning plans to station weapons in space, the American Air Force Space Command issued, in February 2000, its `Strategic Master Plan for FY02 and Beyond'. It states that the United States "...future Air Force Space Command capabilities will enable a fully integrated Aerospace Force to rapidly engage military forces worldwide. [...] Full spectrum dominance in the space medium will be achieved through total space situational awareness, protection of friendly space assets, prevention of unauthorized use of those assets

  9. Implementation of the Brazilian radiation metrology network

    International Nuclear Information System (INIS)

    Ramos, Manoel M.O.; Araujo, Margareth M. de

    1998-01-01

    The ever increasing need for calibration of survey, personal, and contamination meters in Brazil are not completely satisfied by the two operating laboratories. To overcome this deficiency a radiation metrology network is being implemented with the support of IAEA. In a near future this network will count other three calibration laboratories which are being installed in different regions of the country, and accredited through INMETRO. (author)

  10. ELSA for Children Legal Research Report

    DEFF Research Database (Denmark)

    Ó Cathaoir, Katharina Eva

    In order to support the Council of Europe ONE in FIVE Campaign to stop sexual violence against children, to contribute to the protection of children’s rights in Europe and to increase the awareness of law students on the subject, ELSA created a network-wide Legal Research Group (LRG) called ‘ELSA...... for Children’. Throughout 2012, 250 students from 23 countries carried out research on the implementation of European and international instruments protecting children against violence and sexual abuse. The project resulted in a final report compiling national data on the different definitions and legal...

  11. High pressure metrology for industrial applications

    Science.gov (United States)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  12. Privacy and legal issues in cloud computing

    CERN Document Server

    Weber, Rolf H

    2015-01-01

    Adopting a multi-disciplinary and comparative approach, this book focuses on emerging and innovative attempts to tackle privacy and legal issues in cloud computing, such as personal data privacy, security and intellectual property protection. Leading international academics and practitioners in the fields of law and computer science examine the specific legal implications of cloud computing pertaining to jurisdiction, biomedical practice and information ownership. This collection offers original and critical responses to the rising challenges posed by cloud computing.

  13. Solar energy legal bibliography. Final report. [160 references

    Energy Technology Data Exchange (ETDEWEB)

    Seeley, D.; Euser, B.; Joyce, C.; Morgan, G. H.; Laitos, J. G.; Adams, A.

    1979-03-01

    The Solar Energy Legal Bibliography is a compilation of approximately 160 solar publications abstracted for their legal and policy content (through October 1978). Emphasis is on legal barriers and incentives to solar energy development. Abstracts are arranged under the following categories: Antitrust, Biomass, Building Codes, Consumer Protection, Environmental Aspects, Federal Legislation and Programs, Financing/Insurance, International Law, Labor, Land Use (Covenants, Easements, Nuisance, Zoning), Local Legislation and Programs, Ocean Energy, Patents and Licenses, Photovoltaics, Solar Access Rights, Solar Heating and Cooling, Solar Thermal Power Systems, Standards, State Legislation and Programs, Tax Law, Tort Liability, Utilities, Warranties, Wind Resources, and General Solar Law.

  14. Legal Elements For Nuclear Security: Egyptian Nuclear Law As A Case Study

    International Nuclear Information System (INIS)

    Ali, A.M.

    2013-01-01

    This paper deals with the legal bases for nuclear security. First, It analysis the international legal framework for nuclear security. Second, it analysis the legal bases for the import-export control. The legal aspects related with illicit trafficking (IT) were also reviewed. Third, It deals with the Egyptian nuclear law no. 7 and its executive regulation. The Egyptian legal regime for nuclear security and the role of State System for Accounting and Control of Nuclear Materials (SSAC) in realizing the nuclear security were also discussed. The purpose of the paper is to evaluate the Egyptian legal framework for nuclear security.

  15. Development of ITER in-vessel viewing and metrology systems

    Energy Technology Data Exchange (ETDEWEB)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation ({approx}30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  16. Development of ITER in-vessel viewing and metrology systems

    International Nuclear Information System (INIS)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira

    1998-01-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation (∼30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  17. [Medical data security in medico-legal opinioning].

    Science.gov (United States)

    Susło, Robert; Swiatek, Barbara

    2005-01-01

    Medical data security can be approached in medico-legal opinioning in three main situations: security of medical data, on which the opinion should be based, opinioning itself and whether the medical data security was properly ensured and ensuring medical data security during medico-legal opinion giving. The importance of medical data security, during collecting, processing and storing, as well in medical as in legal institutions, is of major importance for the possibility of providing a proper medico-legal opinion. Theoretically speeking, it is possible to give a proper medico-legal opinion using incorrect data, but the possibility is low. When the expert is given improper, unreadable, incomplete or even bogus in part or in the whole medical data it is extremely possible, that he fails in giving his opinion. The term "medical data" was defined and subsequently there was a brief review of medical data storing methods made and specific threats bound with them, based on modern literature. The authors also pointed out possible methods of preventing the threats. They listed Polish as well as international regulations and laws concerning the problem, accenting the importance of preserving medical data for the purposes of medico-legal opinioning.

  18. Breakthrough In Current In Plane Metrology For Monitoring Large Scale MRAM Production

    DEFF Research Database (Denmark)

    Cagliani, Alberto; Østerberg, Frederik Westergaard; Hansen, Ole

    2017-01-01

    The current-in-plane tunneling technique (CIPT) has been a crucial tool in the development of magnetic tunnel junction stacks suitable for Magnetic Random Access Memories (MRAM) for more than a decade. The MRAM development has now reached the maturity to make the transition from R&D to large...... of the Resistance Area product (RA) and the Tunnel Magnetoresistance (TMR) measurements, compared to state of the art CIPT metrology tools dedicated to R&D. On two test wafers, the repeatability of RA and MR was improved up to 350% and the measurement reproducibility up to 1700%. We believe that CIPT metrology now...

  19. Improving automated 3D reconstruction methods via vision metrology

    Science.gov (United States)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  20. Metrology of human-based and other qualitative measurements

    Science.gov (United States)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  1. Metrology of human-based and other qualitative measurements

    International Nuclear Information System (INIS)

    Pendrill, Leslie; Petersson, Niclas

    2016-01-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  2. The peaceful use of nuclear energy: National legal implications

    International Nuclear Information System (INIS)

    Guadarrama A, M.E.

    2000-01-01

    This work analyses in broad sense the legal regime about the use, exploitation and improvement of the nuclear energy in Mexico and its relationship with the International confines . It was realized the study of the elemental concepts referred about the subject and it is described briefly the evolution of the figure in the frame of as National as International laws. The objective of this work finds its basis on the provisions which contemplate the in force statutory law of the 27 Constitutional article concerning Nuclear energy but before considering the legal nature and the main characteristics of this normative instrument. (Author)

  3. X-ray pulse wavefront metrology using speckle tracking

    International Nuclear Information System (INIS)

    Berujon, Sebastien; Ziegler, Eric; Cloetens, Peter

    2015-01-01

    The theoretical description and experimental implementation of a speckle-tracking-based instrument which permits the characterisation of X-ray pulse wavefronts. An instrument allowing the quantitative analysis of X-ray pulsed wavefronts is presented and its processing method explained. The system relies on the X-ray speckle tracking principle to accurately measure the phase gradient of the X-ray beam from which beam optical aberrations can be deduced. The key component of this instrument, a semi-transparent scintillator emitting visible light while transmitting X-rays, allows simultaneous recording of two speckle images at two different propagation distances from the X-ray source. The speckle tracking procedure for a reference-less metrology mode is described with a detailed account on the advanced processing schemes used. A method to characterize and compensate for the imaging detector distortion, whose principle is also based on speckle, is included. The presented instrument is expected to find interest at synchrotrons and at the new X-ray free-electron laser sources under development worldwide where successful exploitation of beams relies on the availability of an accurate wavefront metrology

  4. Industrial Photogrammetry - Accepted Metrology Tool or Exotic Niche

    Science.gov (United States)

    Bösemann, Werner

    2016-06-01

    New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]). This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly) illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM's. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  5. INDUSTRIAL PHOTOGRAMMETRY - ACCEPTED METROLOGY TOOL OR EXOTIC NICHE

    Directory of Open Access Journals (Sweden)

    W. Bösemann

    2016-06-01

    Full Text Available New production technologies like 3D printing and other adaptive manufacturing technologies have changed the industrial manufacturing process, often referred to as next industrial revolution or short industry 4.0. Such Cyber Physical Production Systems combine virtual and real world through digitization, model building process simulation and optimization. It is commonly understood that measurement technologies are the key to combine the real and virtual worlds (eg. [Schmitt 2014]. This change from measurement as a quality control tool to a fully integrated step in the production process has also changed the requirements for 3D metrology solutions. Key words like MAA (Measurement Assisted Assembly illustrate that new position of metrology in the industrial production process. At the same time it is obvious that these processes not only require more measurements but also systems to deliver the required information in high density in a short time. Here optical solutions including photogrammetry for 3D measurements have big advantages over traditional mechanical CMM’s. The paper describes the relevance of different photogrammetric solutions including state of the art, industry requirements and application examples.

  6. Diffraction-based overlay metrology for double patterning technologies

    Science.gov (United States)

    Dasari, Prasad; Korlahalli, Rahul; Li, Jie; Smith, Nigel; Kritsun, Oleg; Volkman, Cathy

    2009-03-01

    The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.

  7. Metrology for environment and climate; Metrologie fuer Umwelt und Klima

    Energy Technology Data Exchange (ETDEWEB)

    Sommer, Klaus-Dieter [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Abt. ' Chemische Physik und Explosionsschutz' ; Spitzer, Petra [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany). Arbeitsgruppe ' Elektrochemie'

    2012-12-15

    The author describes the observation and monitoring systems developed by the EU and the Federal Republic of Germany. In this connection the metrological aims are described in connection with the activities of the PTB. (HSI)

  8. INNOVATIVE NON-CONTACT METROLOGY SOLUTIONS FOR LARGE OPTICAL TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  9. High Performance Computing-Accelerated Metrology for Large Optical Telescopes, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  10. Standardization of the 192Ir within the framework of an international comparison

    International Nuclear Information System (INIS)

    Iwahara, A.; Delgado, J.U.; Silva, T.A. da

    1998-01-01

    In 1997, six national metrology laboratories of several countries, including the Laboratorio Nacional de Metrologias das Radiacoes Ionizantes (LNMRI), participated of an international comparison of activity concentration measurements of a 1 92 I r solution. The measuring method used by LNMRI was the 4 ΠΒ-Γ absolute coincidence counting. Other indirect methods such as germanium detector spectroemtry and 4 ΠΓ ionization chamber used in order to check the absolute measurement. The reported results by the participant laboratories showed good agreeement and the metrological traceability to Bureau International des Poids et Mesures was established for the activity measurements of 1 92 I r. The half time was also determined following its decay by using the 4 4 ΠΓ ionization chambers

  11. Legal aspects of the maritime transport of radioactive materials: its regulation in Mexico; Aspectos legales del transporte maritimo de materiales radioactivos: su regulacion en Mexico

    Energy Technology Data Exchange (ETDEWEB)

    Aguilar M, S

    2001-07-01

    This work has the object to analyse the International as much as National legal frameworks, the scopes and limits of the instruments which form it as well as the congruous that exist between them and the situation which actually prevails in the maritime transport field of radioactive materials in worldwide level and in Mexico taking into account the technical advances, the operational experience and radiological protection principles. In the chapter 1, the background on the uses of nuclear energy are described and its development by more of fifty years. The chapter 2 analyses about the establishment of nuclear technologies in Mexico as well as their evolution in medicine, agriculture, research and electric power generation areas. In chapter 3 it was analysed the role what the International Organizations have been playing for the establish of an International legal framework in the maritime transport of radioactive materials field. In the chapter 4, the International legal framework was analysed which is applied to the transport of radioactive materials. Finally, the chapter 5 analyses and poses the requirements and necessities which lead Mexico to legislate broadly the transport of radioactive materials taking as basis International instruments from which the state is part also from some other agreements is analysed its adhesion to them. (Author)

  12. Quality assurance of the measurements of the activity conducted by the Brazilian Lab of Ionizing Radiation Metrology of the Instituto de Radioprotecao e Dosimetria, Rio de Janeiro, RJ, Brazil

    International Nuclear Information System (INIS)

    Silva, Carlos J. da; Delgado, Jose U.; Iwahara, Akira; Bernardes, Estela Maria O.; Prinzio, Maria Antonieta R. de; Oliveira, Antonio Eduardo de; Poledna, Roberto; Lopes, Ricardo Tadeu

    2005-01-01

    Measuring systems with reentrant type ionization chamber, has been used as reference systems for storing results of international intercomparisons, these systems offer great stability over several years and absolute methods comparable to those uncertainties. This work are presented the calibration factors of a well-type ionization chamber and their uncertainties, the standardization of various radionuclides which present metrological traceability ensured by key comparisons organized by the International Bureau of Weights and Measures

  13. A metrology system for a high resolution cavity beam position monitor system

    Science.gov (United States)

    Walston, Sean; Boogert, Stewart; Chung, Carl; Fitsos, Pete; Frisch, Joe; Gronberg, Jeff; Hayano, Hitoshi; Hinton, Shantell; Honda, Yosuke; Khainovski, Oleg; Kolomensky, Yury; Loscutoff, Peter; Lyapin, Alexey; Malton, Stephen; May, Justin; McCormick, Douglas; Meller, Robert; Miller, David; Orimoto, Toyoko; Ross, Marc; Slater, Mark; Smith, Steve; Smith, Tonee; Terunuma, Nobuhiro; Thomson, Mark; Urakawa, Junji; Vogel, Vladimir; Ward, David; White, Glen

    2013-11-01

    International Linear Collider (ILC) interaction region beam sizes and component position stability requirements will likely be as small as a few nanometers. It is important to the ILC design effort to demonstrate that these tolerances can be achieved-ideally using a beam-based stability measurement. We developed a high resolution RF cavity Beam Position Monitor (BPM) system. A triplet of these BPMs, installed in the extraction line of the KEK Accelerator Test Facility (ATF) and tested with its ultra-low emittance beam, achieved a position measurement resolution of 15 nm. A metrology system for the three BPMs was subsequently installed. This system employed optical encoders to measure each BPM's position and orientation relative to a zero-coefficient of thermal expansion carbon fiber frame. We have demonstrated that the three BPMs behave as a rigid-body at the level of less than 5 nm.

  14. The remote monitoring systems LOVER and RECOVER for international safeguards technical, economic and legal aspects

    International Nuclear Information System (INIS)

    Lauppe, W.D.; Stein, G.; Rezniczek, A.; Stienen, U.

    1983-12-01

    The electronic remote monitoring systems RECOVER and LOVER were developed to comply with the IAEA's tasks concerning international nuclear materials safeguards with the aim of reducing the inspection expenditure and enhancing control effectiveness. The present study on the technical, economic and legal aspects of an application of these systems is intended to show possible implications and provide argumentation aids for discussions on the application of these systems. RECOVER and LOVER offer the possibility of establishing a direct communication path between containment and surveillance system (c/s), instruments at the site of application and a central monitoring station. The demonstration versions of both systems have shown that remote interrogation of data under safeguards-specific boundary conditions (e.g. requirement of tamper safety) will be technically feasible. (orig./HP)

  15. A simulation-based study on the influence of beam hardening in X-ray computed tomography for dimensional metrology.

    Science.gov (United States)

    Lifton, Joseph J; Malcolm, Andrew A; McBride, John W

    2015-01-01

    X-ray computed tomography (CT) is a radiographic scanning technique for visualising cross-sectional images of an object non-destructively. From these cross-sectional images it is possible to evaluate internal dimensional features of a workpiece which may otherwise be inaccessible to tactile and optical instruments. Beam hardening is a physical process that degrades the quality of CT images and has previously been suggested to influence dimensional measurements. Using a validated simulation tool, the influence of spectrum pre-filtration and beam hardening correction are evaluated for internal and external dimensional measurements. Beam hardening is shown to influence internal and external dimensions in opposition, and to have a greater influence on outer dimensions compared to inner dimensions. The results suggest the combination of spectrum pre-filtration and a local gradient-based surface determination method are able to greatly reduce the influence of beam hardening in X-ray CT for dimensional metrology.

  16. Phase shifting white light interferometry using colour CCD for optical metrology and bio-imaging applications

    Science.gov (United States)

    Upputuri, Paul Kumar; Pramanik, Manojit

    2018-02-01

    Phase shifting white light interferometry (PSWLI) has been widely used for optical metrology applications because of their precision, reliability, and versatility. White light interferometry using monochrome CCD makes the measurement process slow for metrology applications. WLI integrated with Red-Green-Blue (RGB) CCD camera is finding imaging applications in the fields optical metrology and bio-imaging. Wavelength dependent refractive index profiles of biological samples were computed from colour white light interferograms. In recent years, whole-filed refractive index profiles of red blood cells (RBCs), onion skin, fish cornea, etc. were measured from RGB interferograms. In this paper, we discuss the bio-imaging applications of colour CCD based white light interferometry. The approach makes the measurement faster, easier, cost-effective, and even dynamic by using single fringe analysis methods, for industrial applications.

  17. Collaborative Legal Pluralism

    Directory of Open Access Journals (Sweden)

    Wim Decock

    2017-01-01

    Full Text Available Legal pluralism calls into question the monopoly of the modern state when it comes to the production and the enforcement of norms. It rests on the assumption that juridical normativity and state organization can be dissociated. From an early modern historian’s perspective, such an assumption makes perfect sense, the plural nature of the legal order being the natural state of affairs in imperial spaces across the globe in the sixteenth and seventeenth centuries. This article will provide a case study of the collaborative nature of the interaction between spiritual and temporal legal orders in Spain and its overseas territories as conceived by Tomás de Mercado (ca. 1520–1575, a major theologian from the School of Salamanca. His treatise on trade and contracts (1571 contained an extended discussion of the government’s attempt to regulate the grain market by imposing a maximum price. It will be argued that Mercado’s view on the bindingness of economic regulations in conscience allowed for the internalization of the regulatory power of the nascent state. He called upon confessors to be strict enforcers of state law, considering them as fathers of the republic as much as fathers of faith. This is illustrative of the »collaborative form of legal pluralism« typical of the osmotic relationship between Church and State in the early modern Spanish empire. It contributed to the moral justification of state jurisdictions, while at the same time, guaranteeing a privileged role for theologians and religious leaders in running the affairs of the state.

  18. 222Rn gas metrology in Latvia

    International Nuclear Information System (INIS)

    Bogucarska, T.; Lapenas, A.

    2004-01-01

    The measurements of radon gas provides in Latvia according with the State radiation monitoring program. The national standard/reference level for the protection of employees and population from exposure to radon Latvia has been accepted. The facilities for calibration of the radon gas measurement instruments and detectors have been established on basic of the Radiation Metrology and Testing Center which is the local SSDL for Baltic Region. The radon measurement instruments and detectors calibration can be performed at the 170-4000 Bq/m 3 range. (author)

  19. Legal Lexicography in Theory and Practice

    DEFF Research Database (Denmark)

    Nielsen, Sandro

    2015-01-01

    Danish into English should contain data that match the factual and linguistic user competences, user needs and usage situations and should therefore include data about Danish, UK English, US English and international legal terms, their grammatical properties, and their potential for being combined...

  20. Development of the metrology and imaging of cellulose nanocrystals

    International Nuclear Information System (INIS)

    Postek, Michael T; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J; Sabo, Ronald; Wegner, Theodore H; Beecher, James

    2011-01-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs

  1. Development of the metrology and imaging of cellulose nanocrystals

    Science.gov (United States)

    Postek, Michael T.; Vladár, András; Dagata, John; Farkas, Natalia; Ming, Bin; Wagner, Ryan; Raman, Arvind; Moon, Robert J.; Sabo, Ronald; Wegner, Theodore H.; Beecher, James

    2011-02-01

    The development of metrology for nanoparticles is a significant challenge. Cellulose nanocrystals (CNCs) are one group of nanoparticles that have high potential economic value but present substantial challenges to the development of the measurement science. Even the largest trees owe their strength to this newly appreciated class of nanomaterials. Cellulose is the world's most abundant natural, renewable, biodegradable polymer. Cellulose occurs as whisker-like microfibrils that are biosynthesized and deposited in plant material in a continuous fashion. The nanocrystals are isolated by hydrolyzing away the amorphous segments leaving the acid resistant crystalline fragments. Therefore, the basic raw material for new nanomaterial products already abounds in nature and is available to be utilized in an array of future materials. However, commercialization requires the development of efficient manufacturing processes and nanometrology to monitor quality. This paper discusses some of the instrumentation, metrology and standards issues associated with the ramping up for production and use of CNCs.

  2. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    International Nuclear Information System (INIS)

    Acero, R; Pueo, M; Santolaria, J; Aguilar, J J; Brau, A

    2015-01-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures. (paper)

  3. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    Science.gov (United States)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  4. Investigating correlation between legal and physical property: possibilities and constraints

    Science.gov (United States)

    Dimopoulou, E.; Kitsakis, D.; Tsiliakou, E.

    2015-06-01

    Contemporary urban environment is characterized by complexity and mixed use of space, in which overlapping land parcels and different RRRs (Rights, Restrictions and Responsibilities) are frequent phenomena. Internationally, real property legislation either focuses on surface property or has introduced individual 3D real property units. The former approach merely accommodates issues related to subdivision, expropriation and transactions on part of the real property above or below surface, while the latter provides for defining and registering 3D real property units. National laws require two-dimensional real property descriptions and only a limited number of jurisdictions provide for threedimensional data presentation and recording. International awareness on 3D Cadastre may be apparent through the proposals for transition of existing cadastral systems to 3D along with legal amendments improving national 3D Cadastre legislation. Concurrently the use of appropriate data sources and the correct depiction of 3D property units' boundaries and spatial relationships need to be addressed. Spatial relations and constraints amongst real world objects could be modeled geometrically and topologically utilizing numerous modeling tools, e.g. CityGML, BIM and further sophisticated 3D software or by adapting international standards, e.g. LADM. A direct correlation between legal and physical property should be based on consistent geometry between physical and legal space, improving the accuracy that legal spaces' volumes or locations are defined. To address these issues, this paper investigates correlation possibilities and constraints between legal and physical space of typical 3D property cases. These cases comprise buildings or their interior spaces with mixed use, as well as complex structures described by explicit facade patterns, generated by procedural or by BIM ready 3D models. The 3D models presented are evaluated, regarding compliancy to physical or legal reality.

  5. Study and operating conditions of HTS Josephson arrays for metrological application

    International Nuclear Information System (INIS)

    Sosso, A.; Lacquaniti, V.; Andreone, D.; Cerri, R.; Klushin, A.M.

    2006-01-01

    We report an experimental study of metrological properties of high-temperature superconductor arrays, made of shunted bicrystal YBCO Josephson junctions. The work is mainly based on a direct comparison against a low temperature array. Owing to the high sensitivity of the measurements, we observed at nanovolt level the changes in the HTS array voltage on a step. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results of low sensitivity techniques, confirming that our method is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was also applied in the derivation of the temperature dependence of the critical current, providing insights on the behavior of the HTS array

  6. Accuracy and Metrological Reliability Enhancing of Thermoelectric Transducers

    Directory of Open Access Journals (Sweden)

    Bogdan Stadnyk

    2010-12-01

    Full Text Available This article is devoted to development and use of thermoelectric thermotransducers with an enhanced accuracy and metrological reliability. The actuality of a problem is stipulated. Investigating changes at typical external environments, the mechanisms of transformation function instability are considered; possibilities of thermodynamic presentation use are analyzed concerning a thermometric substance. The algorithm of thermotransducer instrumental errors’ minimization is developed.

  7. The Permanent Court of International Justice and the International Rights of Groups and Individuals

    NARCIS (Netherlands)

    Brölmann, C.

    2012-01-01

    The Permanent Court of International Justice was established in a period in which the position of the State as the natural form of political organization had come under pressure, among others, in academic-legal circles. It was also the period in which international-legal concern for groups within

  8. Proceedings of the International Symposium Advances in alpha, Beta- and Gamma-Ray spectrometry

    International Nuclear Information System (INIS)

    1997-01-01

    The International Committee for Radionuclide Metrology (ICRM) is an association of radionuclide metrology laboratories whose membership is composed of delegates of these laboratories together with other scientists actively engaged in the study and applications of radioactivity. The scientific activities are carried out in the frame of six Working Groups. Two of them, the Alpha-Particle Spectrometry and the Gamma-and Beta-ray Spectrometry Working Groups held a common workshop in Pushkin, St. Petersburg, 18 to 20 September 1996, under the title Advances in Alpha-Beta-and Gamma-Ray Sepectrometry, at the kind invitation of the D.I. Mendeleyev Institute for Metrology. More than 30 people from 14 laboratories attended the meeting, and nineteen oral communications were presented, from which twelve were retained for publication an are included in these proceedings. (Author)

  9. Two-dimensional in situ metrology of X-ray mirrors using the speckle scanning technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hongchang, E-mail: hongchang.wang@diamond.ac.uk; Kashyap, Yogesh; Laundy, David; Sawhney, Kawal [Diamond Light Source Ltd, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2015-06-06

    The two-dimensional slope error of an X-ray mirror has been retrieved by employing the speckle scanning technique, which will be valuable at synchrotron radiation facilities and in astronomical telescopes. In situ metrology overcomes many of the limitations of existing metrology techniques and is capable of exceeding the performance of present-day optics. A novel technique for precisely characterizing an X-ray bimorph mirror and deducing its two-dimensional (2D) slope error map is presented. This technique has also been used to perform fast optimization of a bimorph mirror using the derived 2D piezo response functions. The measured focused beam size was significantly reduced after the optimization, and the slope error map was then verified by using geometrical optics to simulate the focused beam profile. This proposed technique is expected to be valuable for in situ metrology of X-ray mirrors at synchrotron radiation facilities and in astronomical telescopes.

  10. Methodology for implementation of a national metrology net of radionuclides used in nuclear medicine

    International Nuclear Information System (INIS)

    Santos, Joyra Amaral dos

    2004-01-01

    The National Laboratory for Ionizing Radiation Metrology, of the Institute of Radiation Protection and Dosimetry, of the National Commission on Nuclear Energy (IRD/CNEN), comes leading a comparison program for activity measurements of radiopharmaceuticals administered to patients in the Nuclear Medicine Services (NMS) with the purpose to promote the quality control. This work presents a quality assurance program for the performance of such measurements, evaluated in the comparison runs between hospitals and LNMRI, under the statistic point of view and the compliment of regulatory authority norms. The performance of the radionuclides 67 Ga, 123 I, 131 I, 99m Tc and 210 Tl were evaluated and 201 TI have been standardized by absolute methods. Besides, it was established the traceability of the radioactivity standards used in nuclear medicine and a methodology for implementation of a national metrology net of radionuclides. The comparison results prove that the implementation of a radionuclide metrology net is viable, important and feasible. (author)

  11. Legal issues associated with preparing for a nuclear energy programme

    International Nuclear Information System (INIS)

    Pelzer, N.

    2009-01-01

    Developing and implementing a national programme for the civilian use of nuclear energy means embarking on the use of a Janus-faced form of energy. We all know that nuclear energy implies both extraordinary benefits and extraordinary risks. This fact requires a legal framework appropriate to cope with both elements of nuclear power. Legislators and State authorities have to establish a sound balance between risks and benefits. That is not at all an easy task. While excluding or limiting risks requires severe legal control mechanisms, the benefits can only fully be enjoyed if the legal framework ensures freedom of research and of economic and industrial development including the guarantee of property ownership and of investments. Combining both opposite poles seems like trying to square the circle. In case of a conflict between promotion and protection, there is no doubt that the protection against nuclear risks has to prevail. Therefore this aspect of nuclear law will be mainly dealt with in this presentation. Establishing a legal framework to tame the hazards of nuclear energy is a much more challenging task for law-makers than providing a legal basis for promoting the use of nuclear energy. With regard to the promotion of nuclear energy, States enjoy a broad range of discretion and may use a great number of legal and non-legal instruments to support the development of a nuclear programme. From a legal point of view, promoting nuclear energy does not require a specific regime. However, it does require a specific regime to control the risks of nuclear energy. States preparing for a nuclear energy programme have to be aware that the use of nuclear energy is not an exclusively national matter. In particular the risk associated with nuclear energy extends beyond national borders. Using the benefits also needs international cooperation in many fields including, e.g., research or fuel supply. Today a network of multilateral and bilateral international treaties exists

  12. Constitutionalism, pluralism and the role of human rights in shaping the relations between legal orders

    DEFF Research Database (Denmark)

    Cebulak, Pola

    2012-01-01

    .” Hence, for instance, the Court of Justice of the EU has taken an active role in ensuring the effet utile of European law. This article discusses possible theoretical perspectives on the interactions between various legal orders in the international arena. The opposition between the dualist and monist......In the period since the end of the Cold War, the different layers of law in the international arena have become more interlinked and interwoven. This shift might suggest a development towards a legal “melting pot” involving an increased cross-application of judicial norms stemming from different...... legal orders. In fact, judges are more and more often faced with cases involving legal provisions that are foreign to their legal orders. Hans Kelsen pointed out that “the power of state is no mystical force concealed behind the state or its law; it is only the effectiveness of the national legal order...

  13. Ambient Optomechanical Alignment and Pupil Metrology for the Flight Instruments Aboard the James Webb Space Telescope

    Science.gov (United States)

    Coulter, Phillip; Beaton, Alexander; Gum, Jeffrey S.; Hadjimichael, Theodore J.; Hayden, Joseph E.; Hummel, Susann; Hylan, Jason E.; Lee, David; Madison, Timothy J.; Maszkiewicz, Michael; hide

    2014-01-01

    The James Webb Space Telescope science instruments are in the final stages of being integrated into the Integrated Science Instrument Module (ISIM) element. Each instrument is tied into a common coordinate system through mechanical references that are used for optical alignment and metrology within ISIM after element-level assembly. In addition, a set of ground support equipment (GSE) consisting of large, precisely calibrated, ambient, and cryogenic structures are used as alignment references and gauges during various phases of integration and test (I&T). This GSE, the flight instruments, and ISIM structure feature different types of complimentary metrology targeting. These GSE targets are used to establish and track six degrees of freedom instrument alignment during I&T in the vehicle coordinate system (VCS). This paper describes the optomechanical metrology conducted during science instrument integration and alignment in the Spacecraft Systems Development and Integration Facility (SSDIF) cleanroom at NASA Goddard Space Flight Center (GSFC). The measurement of each instrument's ambient entrance pupil location in the telescope coordinate system is discussed. The construction of the database of target locations and the development of metrology uncertainties is also discussed.

  14. Staff Exchange or Legal Alien Programs

    DEFF Research Database (Denmark)

    Jørgensen, Rune Nørgaard

    2016-01-01

    SRA would very much like to support the exchange of best practice between members throughout the year and the Membership Committee is presently looking into the opportunities for a Staff Exchange or Legal Alien Program. However the International Section has already had the chance to provide...

  15. Legal and regulatory framework of Uranium's enrichment

    International Nuclear Information System (INIS)

    Antelo, Josefina; Figueredo, Micaela S.; Mangone, Gisela P.; Manin, Maria L.; Pota, Luciana F.

    2009-01-01

    The object of this paper is to develop the legal aspects referred to the activities of uranium's enrichment, in order to achieve the pacific use of nuclear energy and to obey treatments, agreements and international conventions in which Argentine is party and through them assumes the non proliferation's commitment. In this context, we will develop the rights and obligations established in those legal instruments, as well as the juridical concerns of the eventual subscription of Argentine to the Additional Protocol approved by the Board of Governors in 1997. (author)

  16. Advanced applications of scatterometry based optical metrology

    Science.gov (United States)

    Dixit, Dhairya; Keller, Nick; Kagalwala, Taher; Recchia, Fiona; Lifshitz, Yevgeny; Elia, Alexander; Todi, Vinit; Fronheiser, Jody; Vaid, Alok

    2017-03-01

    The semiconductor industry continues to drive patterning solutions that enable devices with higher memory storage capacity, faster computing performance, and lower cost per transistor. These developments in the field of semiconductor manufacturing along with the overall minimization of the size of transistors require continuous development of metrology tools used for characterization of these complex 3D device architectures. Optical scatterometry or optical critical dimension (OCD) is one of the most prevalent inline metrology techniques in semiconductor manufacturing because it is a quick, precise and non-destructive metrology technique. However, at present OCD is predominantly used to measure the feature dimensions such as line-width, height, side-wall angle, etc. of the patterned nano structures. Use of optical scatterometry for characterizing defects such as pitch-walking, overlay, line edge roughness, etc. is fairly limited. Inspection of process induced abnormalities is a fundamental part of process yield improvement. It provides process engineers with important information about process errors, and consequently helps optimize materials and process parameters. Scatterometry is an averaging technique and extending it to measure the position of local process induced defectivity and feature-to-feature variation is extremely challenging. This report is an overview of applications and benefits of using optical scatterometry for characterizing defects such as pitch-walking, overlay and fin bending for advanced technology nodes beyond 7nm. Currently, the optical scatterometry is based on conventional spectroscopic ellipsometry and spectroscopic reflectometry measurements, but generalized ellipsometry or Mueller matrix spectroscopic ellipsometry data provides important, additional information about complex structures that exhibit anisotropy and depolarization effects. In addition the symmetry-antisymmetry properties associated with Mueller matrix (MM) elements

  17. LEGAL AND THEORETICAL BASIS FOR INTERNATIONAL ...

    African Journals Online (AJOL)

    it may be functional to avert apparent helpless situations. This paper ... plicability and desirability of international intervention in Nigeria in response ... applicable laws within a nation, international law and institutions continue .... 8 The United Nations system initially was focused on the protection of individual rights however.

  18. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  19. Learned Treatise and Legal Reform

    DEFF Research Database (Denmark)

    Münster-Swendsen, Mia

    2010-01-01

    of the royal court from the time of the reign of Cnut the Great to the author's present. In Danish as well as international scholarship this deceptively simple text has frequently been treated either as a ‘law code' or ‘law book' in itself or as a reflection of actual legal practice. Yet here I will contend...

  20. On the evaluation of photogrammetric methods for dense 3D surface reconstruction in a metrological context

    Science.gov (United States)

    Toschi, I.; Capra, A.; De Luca, L.; Beraldin, J.-A.; Cournoyer, L.

    2014-05-01

    This paper discusses a methodology to evaluate the accuracy of recently developed image-based 3D modelling techniques. So far, the emergence of these novel methods has not been supported by the definition of an internationally recognized standard which is fundamental for user confidence and market growth. In order to provide an element of reflection and solution to the different communities involved in 3D imaging, a promising approach is presented in this paper for the assessment of both metric quality and limitations of an open-source suite of tools (Apero/MicMac), developed for the extraction of dense 3D point clouds from a set of unordered 2D images. The proposed procedural workflow is performed within a metrological context, through inter-comparisons with "reference" data acquired with two hemispherical laser scanners, one total station, and one laser tracker. The methodology is applied to two case studies, designed in order to analyse the software performances in dealing with both outdoor and environmentally controlled conditions, i.e. the main entrance of Cathédrale de la Major (Marseille, France) and a custom-made scene located at National Research Council of Canada 3D imaging Metrology Laboratory (Ottawa). Comparative data and accuracy evidence produced for both tests allow the study of some key factors affecting 3D model accuracy.

  1. Protection of crime victims by legal means: International and European law and policy

    Directory of Open Access Journals (Sweden)

    Groenhuijsen Marc

    2015-01-01

    Full Text Available The article addresses the development of international and European policy in relation to victims of crime. It starts with an outline of the 1985 United Nations (UN Declaration of Basic Principles of Justice for Victims of Crime and Abuse of Power. It demonstrates that compliance by Member States with the provisions of the Declaration is still unsatisfactory, despite serious efforts by the UN to promote its standards and norms. A similar trend is described on a regional level in Europe. In 2001, the European Union adopted a Framework Decision (a legally binding instrument on minimum rights for crime victims in the criminal justice system. This document brought some improvement to victims and their position compared to the UN Declaration, particularly in terms of limit repeated questioning, advanced informational rights, reimbursement of expenses and construction of court facilities. Nevertheless, evaluations undertaken in 2004 and 2009 have proved that none of the Member States fully complied with its content. This document was replaced with the new one - the EU Directive on establishing minimum standards on the rights, support and protection of victims of crime. It is stronger instrument than the Framework Decision and it includes more demanding standards. But, its implementation needs to be monitored. Therefore, in the presentation it is argued that a lack of compliance is usually followed by the adoption of an even stronger legal instrument, containing even more ambitious rights for victims of crime. It is questioned whether this is the most productive approach. It is doubted that “hard law” is always more effective than “soft law”. The most recent generation of more elevated rights run the risk of leading to “victim fatigue” on the part of the officials responsible for the operation of the criminal justice system.

  2. Legal provisions concerning the handling and disposal of radioactive waste in international and national law

    International Nuclear Information System (INIS)

    Bischof, W.

    1980-01-01

    A short survey is given on the situation of international legislation concerning radioactive waste handling and disposal. There are special rules on the disposal of nuclear waste in a number of conventions (Geneva 1958, London 1972, Helsinki 1974, Paris 1974, Barcellone 1976) on the protection of the marine environment and of the high sea against pollutions. In 1974 and 1978, the International Atomic Energy Agency made further recommendations concerning radioactive wastes referred to in the London Convention. In 1977, the Organisation for Economic Cooperation and Development also set up within its Nuclear Energy Agency (NEA) a multilateral consultation and surveillance mechanism for the sea-dumping of radioactive waste. The NEA has since published recommendations on the sea-dumping of radioactive waste. In 1975, it was agreed to abide by the Antarctic Treaty of 1959 not to dispose any nuclear waste on the Antarctic Region. There is at present no absolute prohibition of radioactive waste disposal in outer space but the Member States of the United Nations are responsible for such activities. As regards national legislation, the legal provisions for 13 different countries on radioactive waste disposal are listed. (UK)

  3. A metrology system for a high resolution cavity beam position monitor system

    Energy Technology Data Exchange (ETDEWEB)

    Walston, Sean, E-mail: walston2@llnl.gov [Lawrence Livermore National Laboratory, 7000 East Ave., L-181, Livermore, CA 94550 (United States); Boogert, Stewart [Royal Holloway, University of London, Egham (United Kingdom); Chung, Carl; Fitsos, Pete [Lawrence Livermore National Laboratory, 7000 East Ave., L-181, Livermore, CA 94550 (United States); Frisch, Joe [Stanford Linear Accelerator Center, Menlo Park, CA (United States); Gronberg, Jeff [Lawrence Livermore National Laboratory, 7000 East Ave., L-181, Livermore, CA 94550 (United States); Hayano, Hitoshi [High Energy Accelerator Research Organization (KEK), Tsukuba-shi, Ibaraki-ken (Japan); Hinton, Shantell [Stanford Linear Accelerator Center, Menlo Park, CA (United States); Honda, Yosuke [High Energy Accelerator Research Organization (KEK), Tsukuba-shi, Ibaraki-ken (Japan); Khainovski, Oleg; Kolomensky, Yury; Loscutoff, Peter [University of California and Lawrence Berkeley National Laboratory, Berkeley, CA (United States); Lyapin, Alexey; Malton, Stephen [University College London, London (United Kingdom); May, Justin; McCormick, Douglas [Stanford Linear Accelerator Center, Menlo Park, CA (United States); Meller, Robert [Cornell University, Ithaca, NY (United States); Miller, David [University College London, London (United Kingdom); Orimoto, Toyoko [University of California and Lawrence Berkeley National Laboratory, Berkeley, CA (United States); California Institute of Technology, Pasadena, CA (United States); Ross, Marc [Stanford Linear Accelerator Center, Menlo Park, CA (United States); Fermi National Accelerator Laboratory, Batavia, IL (United States); and others

    2013-11-11

    International Linear Collider (ILC) interaction region beam sizes and component position stability requirements will likely be as small as a few nanometers. It is important to the ILC design effort to demonstrate that these tolerances can be achieved–ideally using a beam-based stability measurement. We developed a high resolution RF cavity Beam Position Monitor (BPM) system. A triplet of these BPMs, installed in the extraction line of the KEK Accelerator Test Facility (ATF) and tested with its ultra-low emittance beam, achieved a position measurement resolution of 15 nm. A metrology system for the three BPMs was subsequently installed. This system employed optical encoders to measure each BPM's position and orientation relative to a zero-coefficient of thermal expansion carbon fiber frame. We have demonstrated that the three BPMs behave as a rigid-body at the level of less than 5 nm.

  4. Dimensional metrology for process and part quality control in micro manufacturing

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; Tosello, Guido; Gasparin, Stefania

    2011-01-01

    dimensions are scaled down and geometrical complexity of objects is increased, the available measurement technologies appear not sufficient. New solutions for measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration are necessary if micro......Micro manufacturing has gained interest over the last decade as the demand for micro mechanical components has increased. The need for dimensional metrology at micro scale is evident both in terms of quality assurance of components and products and in terms of process control. As critical...... manufacturing is to develop into industrial manufacturing solutions. In this paper the application of dimensional precision metrology to both component and process quality control will be demonstrated. The parts investigated are micro injection moulded polymer parts, typical for the field of micro manufacturing....

  5. Metrology in the Bolivia-Brazil Pipeline; Medicao no gasoduro Bolivia-Brasil

    Energy Technology Data Exchange (ETDEWEB)

    Palhares, Julio C.C.M.; Nunes, Ildemar Pinto [TBG - Transportadora Brasileira Gasoduto Bolivia Brasil S.A., Rio de Janeiro, RJ (Brazil)

    2003-07-01

    measurement guideline of TBG seeks to be always assisting to the customer's needs and aligned with the changes of the market of natural gas. In five years of existence, TBG attended the forming regulatory legislation and the establishment of the contract fiscal, important marks of the evolution of the market. This work presents the definitions that orientated the metrological issues of TBG, making use of efficient tools in the answers to each demand and seeking to satisfy its own needs, its customers' needs and all the new regulatory demands. This paper approaches, the calibration procedures, the qualification of suppliers, maintenance of the metrological reliability, the daily confirmation of the delivered volumes, the fail treatment, and the unaccounted gas monitoring in rigorous limits practiced in world class companies in foreigner countries. (author)

  6. 26 CFR 301.7503-1 - Time for performance of acts where last day falls on Saturday, Sunday, or legal holiday.

    Science.gov (United States)

    2010-04-01

    ... falls on Saturday, Sunday, or legal holiday. 301.7503-1 Section 301.7503-1 Internal Revenue INTERNAL... where last day falls on Saturday, Sunday, or legal holiday. (a) In general. Section 7503 provides that... falls on a Saturday, Sunday, or legal holiday, such act shall be considered performed timely if...

  7. Reinforcing the (neo-)Hobbesian representations of international law

    NARCIS (Netherlands)

    d' Aspremont, J.

    2010-01-01

    The question of the foundations of the international legal order has long fuelled controversies. The mainstream international legal scholarship, dominated by liberal and constitutionalist discourses, has advocated an understanding of international law that rests on global values. This article

  8. Neutron metrology in the HFR

    International Nuclear Information System (INIS)

    Polle, A.N.; Voorbraak, W.P.

    1991-11-01

    The experiment R-139-416 for testing the stainless steel type 316L(N) has been irradiated in the HFR Petten. This report presents the final metrology results obtained from activation monitors near the CT-specimen (Compact Tension). Data about the helium production as well as the number of displacements per atom are also included. The irradiation conditions for this experiment, carried out in a REFA-170 type capsule in the HFR position H8, are as close as possible to the conditions of the EFR (European Fast Reactor) above-core structures. The main results of the thermal and fast neutron fluence measurements are presented in table 1 and in figure 1. (author). 10 refs.; 2 figs.; 11 tabs

  9. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    Science.gov (United States)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  10. Development of laser materials processing and laser metrology techniques

    International Nuclear Information System (INIS)

    Kim, Cheol Jung; Chung, Chin Man; Kim, Jeong Mook; Kim, Min Suk; Kim, Kwang Suk; Baik, Sung Hoon; Kim, Seong Ouk; Park, Seung Kyu

    1997-09-01

    The applications of remote laser materials processing and metrology have been investigated in nuclear industry from the beginning of laser invention because they can reduce the risks of workers in the hostile environment by remote operation. The objective of this project is the development of laser material processing and metrology techniques for repairing and inspection to improve the safety of nuclear power plants. As to repairing, we developed our own laser sleeve welding head and innovative optical laser weld monitoring techniques to control the sleeve welding process. Furthermore, we designed and fabricated a 800 W Nd:YAG and a 150 W Excimer laser systems for high power laser materials processing in nuclear industry such as cladding and decontamination. As to inspection, we developed an ESPI and a laser triangulation 3-D profile measurement system for defect detection which can complement ECT and UT inspections. We also developed a scanning laser vibrometer for remote vibration measurement of large structures and tested its performance. (author). 58 refs., 16 tabs., 137 figs

  11. On the concept and legal nature of sustainable development: Does 'environmental law' exist?

    Directory of Open Access Journals (Sweden)

    Prica Miloš

    2014-01-01

    Full Text Available The idea of sustainable development has developed within the triangular framework of economic, social and environmental policy. It has been the result of man's endeavor in the course of development of mankind to harmonize the relations between economy and ecology for the purpose of satisfying the present needs but without endangering the prospects of future generations to satisfy their own needs. The principle of sustainable development has been present in the international legislation for the past 40 years. The antagonism between economy and ecology has never ceased. Quite the reverse, at the beginning of the 21st century, mankind has encountered the dramatic effects of the rampant global politics and the unpromising prospects of man's subsistence and development. The reason is certainly to be found in the fact that the environment protection policy does not have an adequate legal framework, which is not a matter of legal technique but a matter of substance in global politics. Consequently, this discussion on the legal nature of sustainable development takes us from technique to substance. First, the author analyzes the international legislation and judicature on the issues of sustainable development; thereupon, the author concludes that the principle of sustainable development has not obtained the rank and the outreach of a legal principle (source of law in the international law, which ultimately makes the very existence of environmental law highly disputable. If sustainable development as a fundamental principle (supra-principle does not have the power of a binding principle, the existing international legal sources concerning certain aspects of the living environment are nothing but arable land covered by sand. Actually, the significant feature of the existing international sources on sustainable development is 'the legal ideology' which, being an instrument of environmental policy rather than an instrument of environmental law, actually

  12. Legal significance of environmental protection in foreign investments law

    Directory of Open Access Journals (Sweden)

    Divljak Drago

    2013-01-01

    Full Text Available The paper presents the analysis of conceptual interaction between foreign investments and environmental protection, as well as its legal repercussions. A part of the paper has been directed towards critical review of the attempt of legal regulating of these relationships at an international level. A special attention was paid to the treatment of the environment in our foreign investments law. It can be concluded that the dominant paradigm of the future direction of development in this field is going to be the strengthening of the bond between international investments and environmental protection. It is insisted on the attitude that our law needs to approach adequately to the matter of legal valorization of the environmental protection issue. This requires the creating of a complex, coherent approach that should be based on adequate legal superstructure and amendments to the existing Law on Foreign Investments. The main direction of changes implies that the current obligation of foreign investors in this field should be raised onto a higher level and foreign investments should be placed in the function of accomplishing of the concept of sustainable development. However, such an approach has to be accompanied by appropriate mechanism of control and supervision in the given field, if its full effectiveness is to be achieved.

  13. Cross-border issues in the development of medical tourism in Malaysia: legal challenges and opportunities.

    Science.gov (United States)

    Nemie, Puteri; Kassim, Jahn

    2009-08-01

    Strategically located at the crossroads of Asia, Malaysia has become one of the key players in the fast-growing and lucrative market for health care services in Asia. Medical travel across international boundaries has been made possible through affordable airfares and the favourable exchange rates of the Malaysian ringgit has contributed to the rise of the "medical tourism phenomenon" where medical travel is combined with visiting popular tourist destinations in Malaysia. Further, competitive medical fees and modern medical facilities have also made Malaysia a popular destination for medical tourists. Nevertheless, the increased number of foreign patients has opened up possibilities of Malaysian health care providers being subjected to malpractice claims and triggering a myriad of cross-border legal issues. Presently, there is no internationally accepted legal framework to regulate medical tourism and issues of legal redress in relation to unsatisfactory provision of treatment across international boundaries. The economic benefits of medical tourism must be based upon a solid legal regulatory framework and strong ethical standards as well as upon high-quality medical and health care services. It is therefore important to assess the existing legal framework affecting the development of medical tourism in Malaysia in order to explore the gaps, deficiencies and possibilities for legal and regulatory reform.

  14. Cultivate Africa's Future - Phase 2 | IDRC - International ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Does an international organisation headquartered outside eligible countries but legally registered to operate in an eligible ... An International Organisation that is legally registered to operate in an eligible country can apply as an ... Knowledge.

  15. What metrology can do to improve the quality of your atmospheric ammonia measurements

    Science.gov (United States)

    Leuenberger, Daiana; Martin, Nicholas A.; Pascale, Céline; Guillevic, Myriam; Ackermann, Andreas; Ferracci, Valerio; Cassidy, Nathan; Hook, Josh; Battersby, Ross M.; Tang, Yuk S.; Stevens, Amy C. M.; Jones, Matthew R.; Braban, Christine F.; Gates, Linda; Hangartner, Markus; Sacco, Paolo; Pagani, Diego; Hoffnagle, John A.; Niederhauser, Bernhard

    2017-04-01

    Measuring ammonia in ambient air is a sensitive and priority issue due to its harmful effects on human health and ecosystems. The European Directive 2001/81/EC on "National Emission Ceilings for Certain Atmospheric Pollutants (NEC)" regulates ammonia emissions in the member states. However, there is a lack of regulation to ensure reliable ammonia measurements, namely in applicable analytical technology, maximum allowed uncertainty, quality assurance and quality control (QC/QA) procedures, as well as in the infrastructure to attain metrological traceability, i.e. that the results of measurements are traceable to SI-units through an unbroken chain of calibrations. In the framework of the European Metrology Research Programme (EMRP) project on the topic "Metrology for Ammonia in Ambient Air" (MetNH3), European national metrology institutes (NMI's) have joined to tackle the issue of generating SI-traceable reference material, i.e. generate reference gas mixtures containing known amount fractions of NH3.This requires special infrastructure and analytical techniques: Measurements of ambient ammonia are commonly carried out with diffusive samplers or by active sampling with denuders, but such techniques have not yet been extensively validated. Improvements in the metrological traceability may be achieved through the determination of NH3 diffusive sampling rates using ammonia Primary Standard Gas Mixtures (PSMs), developed by gravimetry at the National Physical Laboratory NPL and a controlled atmosphere test facility in combination with on-line monitoring with a cavity ring-down spectrometer. The Federal Institute of Metrology METAS has developed an infrastructure to generate SI-traceable NH3 reference gas mixtures dynamically in the amount fraction range 0.5-500 nmol/mol (atmospheric concentrations) and with uncertainties UNH3 mobile device for full flexibility for calibrations in the laboratory and in the field. Both devices apply the method of temperature and pressure

  16. Abortion Rights Legal Mobilization in the Peruvian Media, 1990-2015.

    Science.gov (United States)

    Gianella, Camila

    2017-06-01

    State and non-state actors engaged in disputes to expand and limit abortion rights have engaged in legal mobilization-in other words, strategies using rights and law as a central tool for advancing contested political goals. Peru, like other Latin American countries, has experienced an increase in abortion rights legal mobilization in recent years, including litigation before national and international courts. This paper centers on societal legal mobilization, or the legal mobilization that occurs outside the legislative and judicial branches and that includes strategies promoted by the executive branch, political actors, and non-partisan organizations and individuals. It presents an analysis of op-ed articles published in two national newspapers, El Comercio and La República , between 1990 and 2015. The paper argues that the media is also an arena where legal mobilization takes place and is not just a space influenced by legal mobilization. Rather, the media's agenda operates independently of legal mobilization in the legislature and the courts, and it determines whether certain issues receive coverage and the way these issues are framed.

  17. Measurement capabilities of the Bendix Metrology Organization

    International Nuclear Information System (INIS)

    Barnes, L.M.

    1984-01-01

    The purpose of this manual is to communicate the measurement and calibration capabilities of the Metrology Organization of the Bendix Kansas City Division. Included is a listing of the measurement types and ranges available, and the accuracies normally attainable under conditions at the Kansas City Division. Also described are currently used standards and measurement devices. The manual is divided into four major sections, each describing a broad general area of measurement: mechanical; environmental, gas, liquid; electrical; and optical and radiation

  18. Digital holography for MEMS and microsystem metrology

    CERN Document Server

    Asundi, Anand

    2011-01-01

    Approaching the topic of digital holography from the practical perspective of industrial inspection, Digital Holography for MEMS and Microsystem Metrology describes the process of digital holography and its growing applications for MEMS characterization, residual stress measurement, design and evaluation, and device testing and inspection. Asundi also provides a thorough theoretical grounding that enables the reader to understand basic concepts and thus identify areas where this technique can be adopted. This combination of both practical and theoretical approach will ensure the

  19. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    Science.gov (United States)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  20. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    Science.gov (United States)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  1. Aerosol metrology: aerodynamic and electrostatic techniques

    International Nuclear Information System (INIS)

    Prodi, V.

    1988-01-01

    Aerosols play an ever increasing role in science, engineering and especially in industrial and environmental hygiene. They are being studied since a long time, but only recently the progress in aerosol instrumentation has made it possible to pose of aerosol metrology, especially the problem of absolute measurements, as based directly on measurements of fundamental quantities. On the basis of absolute measurements, the hierarchy of standards can be prepared and adequately disseminated. In the aerosol field, the quantities to be measured are mainly size, charge, density, and shape. In this paper a possible standardisation framework for aerosols is proposed, for the main physical quantities

  2. Gender mainstreaming in law and legal education

    Directory of Open Access Journals (Sweden)

    Vujadinović Dragica

    2015-01-01

    Full Text Available Political revolutions of the 18th and 19th century engendered an idea of universal equality. However, the American Declaration of Independence and the French Declaration of the Rights of Man and of the Citizen have not been gender sensitive documents. Women had to struggle for a long time in order to achieve visibility in laws and they did gain an equal right to vote in the USA only 144 years later and in France only 160 years after the issuing of these documents. Contemporary international and national law has greatly advanced from a gender equality point of view. However, gender sensitive legislation and implementation of legal norms has been far from widely accepted. Gender sensitive legal education of (future legislators, lawyers, judges, and prosecutors has thus been of the utmost importance. First, the article offers theoretical clarifications and historical background analysis of a sense and purpose of gender mainstreaming. The achievements in international law and strategic documents concerning gender equality will be taken into consideration in the second chapter. The main focus will be on the meaning of and instruments for gender mainstreaming in legal education in Serbia as well as generally. Paradigmatic examples from judicial practice will also be presented.

  3. International comparison of 50/60 Hz power (1996-1999)

    CSIR Research Space (South Africa)

    Oldham, N

    2001-04-01

    Full Text Available An international comparison of 50/60 Hz power is described. The travelling standard was an electronic power transducer that was tested at 120 V, 5 A, 53 Hz, at five power factors (1.0, 0.5, and 0.0). Fifteen National Metrology Institutes (NMIs) from...

  4. Theory-based metrological traceability in education: A reading measurement network.

    Science.gov (United States)

    Fisher, William P; Stenner, A Jackson

    2016-10-01

    Huge resources are invested in metrology and standards in the natural sciences, engineering, and across a wide range of commercial technologies. Significant positive returns of human, social, environmental, and economic value on these investments have been sustained for decades. Proven methods for calibrating test and survey instruments in linear units are readily available, as are data- and theory-based methods for equating those instruments to a shared unit. Using these methods, metrological traceability is obtained in a variety of commercially available elementary and secondary English and Spanish language reading education programs in the U.S., Canada, Mexico, and Australia. Given established historical patterns, widespread routine reproduction of predicted text-based and instructional effects expressed in a common language and shared frame of reference may lead to significant developments in theory and practice. Opportunities for systematic implementations of teacher-driven lean thinking and continuous quality improvement methods may be of particular interest and value.

  5. International Double Taxation Avoidance (Domestic Legal Regulations and Fiscal Conventions Concluded by Romania)

    OpenAIRE

    Cornelia LEFTER; Simona CHIRICĂ

    2010-01-01

    The avoidance of double taxation has been firstly introduced in the Romanian legislation in 1973. Due to the permanent development of the economic, legal, social, etc. and global environment, Romania adapted accordingly her legal tax provisions in tax law area. One of the most relevant moments is the accession of Romanian into European Union. During pre- and after accession phase Romania has adopted the mandatory European fiscal legislation. Beeing member of EU, Romania has indirectly amended...

  6. Coherent Laser Radar Metrology System for Large Scale Optical Systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — A new type of laser radar metrology inspection system is proposed that incorporates a novel, dual laser coherent detection scheme capable of eliminating both...

  7. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    International Nuclear Information System (INIS)

    Brau-Avila, A; Valenzuela-Galvan, M; Herrera-Jimenez, V M; Santolaria, J; Aguilar, J J; Acero, R

    2017-01-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs. (paper)

  8. Mathematical calibration procedure of a capacitive sensor-based indexed metrology platform

    Science.gov (United States)

    Brau-Avila, A.; Santolaria, J.; Acero, R.; Valenzuela-Galvan, M.; Herrera-Jimenez, V. M.; Aguilar, J. J.

    2017-03-01

    The demand for faster and more reliable measuring tasks for the control and quality assurance of modern production systems has created new challenges for the field of coordinate metrology. Thus, the search for new solutions in coordinate metrology systems and the need for the development of existing ones still persists. One example of such a system is the portable coordinate measuring machine (PCMM), the use of which in industry has considerably increased in recent years, mostly due to its flexibility for accomplishing in-line measuring tasks as well as its reduced cost and operational advantages compared to traditional coordinate measuring machines. Nevertheless, PCMMs have a significant drawback derived from the techniques applied in the verification and optimization procedures of their kinematic parameters. These techniques are based on the capture of data with the measuring instrument from a calibrated gauge object, fixed successively in various positions so that most of the instrument measuring volume is covered, which results in time-consuming, tedious and expensive verification and optimization procedures. In this work the mathematical calibration procedure of a capacitive sensor-based indexed metrology platform (IMP) is presented. This calibration procedure is based on the readings and geometric features of six capacitive sensors and their targets with nanometer resolution. The final goal of the IMP calibration procedure is to optimize the geometric features of the capacitive sensors and their targets in order to use the optimized data in the verification procedures of PCMMs.

  9. Remote Metrology, Mapping, and Motion Sensing of Plasma Facing Components Using FM Coherent Laser Radar

    International Nuclear Information System (INIS)

    Menon, M.M.; Barry, R.E.; Slotwinsky, A.; Kugel, H.W.; Skinner, C.H.

    2000-01-01

    Metrology inside a D/T burning fusion reactor must necessarily be conducted remotely since the in-vessel environment would be highly radioactive due to neutron activation of the torus walls. A technique based on frequency modulated coherent laser radar (FM CLR) for such remote metrology is described. Since the FM CLR relies on frequency shift to measure distances, the results are largely insensitive to surface reflectance characteristics. Results of measurements in TFTR and NSTX fusion devices using a prototype FM CLR unit, capable of remotely measuring distances (range) up to 22 m with better than 0.1-mm precision, are provided. These results illustrate that the FM CLR can be used for precision remote metrology as well as viewing. It is also shown that by conducting Doppler corrected range measurements using the CLR, the motion of objects can be tracked. Thus, the FM CLR has the potential to remotely measure the motion of plasma facing components (PFCs) during plasma disruptions

  10. You're it! How to psychologically survive an internal investigation, disciplinary proceeding, or legal action in the police, fire, medical, mental health, legal, or emergency services professions.

    Science.gov (United States)

    Miller, Laurence

    2009-01-01

    Rightly or wrongly, law enforcement, public safety, medical, mental health, legal, and emergency services professionals may have to face internal investigation, disciplinary measures, license suspension, criminal prosecution, civil lawsuits, and/or personal life disruption related to actions taken in the course of their work. This article describes the main categories of misconduct--or simply mistakes--that can cause different types of professionals to be investigated, charged, prosecuted, and/or sued. It next discusses the kinds of psychological reactions commonly seen in workers who face these kinds of proceedings. Finally, the article offers a set of practical psychological coping strategies and procedural recommendations for dealing with the stresses of an investigation, administrative action, or litigation, and for mitigating their effects on one's life and career.

  11. Observations on the Performance of X-Ray Computed Tomography for Dimensional Metrology

    Science.gov (United States)

    Corcoran, H. C.; Brown, S. B.; Robson, S.; Speller, R. D.; McCarthy, M. B.

    2016-06-01

    X-ray computed tomography (XCT) is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  12. OBSERVATIONS ON THE PERFORMANCE OF X-RAY COMPUTED TOMOGRAPHY FOR DIMENSIONAL METROLOGY

    Directory of Open Access Journals (Sweden)

    H. C. Corcoran

    2016-06-01

    Full Text Available X-ray computed tomography (XCT is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  13. International Drug Control Policy

    Science.gov (United States)

    2009-08-24

    Common illegal drugs include cannabis, cocaine, opiates, and synthetic drugs. International trade in these drugs represents a lucrative and what...into effect, decriminalizing “personal use” amounts of marijuana , heroin, cocaine, methamphetamine, and other internationally sanctioned drugs.15 While...President Calls for Legalizing Marijuana ,”CNN.com, May 13, 2009. 15 “Mexico Legalizes Drug Possession,” Associated Press, August 21, 2009. 16 In support

  14. Application of Vision Metrology to In-Orbit Measurement of Large Reflector Onboard Communication Satellite for Next Generation Mobile Satellite Communication

    Science.gov (United States)

    Akioka, M.; Orikasa, T.; Satoh, M.; Miura, A.; Tsuji, H.; Toyoshima, M.; Fujino, Y.

    2016-06-01

    Satellite for next generation mobile satellite communication service with small personal terminal requires onboard antenna with very large aperture reflector larger than twenty meters diameter because small personal terminal with lower power consumption in ground base requires the large onboard reflector with high antenna gain. But, large deployable antenna will deform in orbit because the antenna is not a solid dish but the flexible structure with fine cable and mesh supported by truss. Deformation of reflector shape deteriorate the antenna performance and quality and stability of communication service. However, in case of digital beam forming antenna with phased array can modify the antenna beam performance due to adjustment of excitation amplitude and excitation phase. If we can measure the reflector shape precisely in orbit, beam pattern and antenna performance can be compensated with the updated excitation amplitude and excitation phase parameters optimized for the reflector shape measured every moment. Softbank Corporation and National Institute of Information and Communications Technology has started the project "R&D on dynamic beam control technique for next generation mobile communication satellite" as a contracted research project sponsored by Ministry of Internal Affairs and Communication of Japan. In this topic, one of the problem in vision metrology application is a strong constraints on geometry for camera arrangement on satellite bus with very limited space. On satellite in orbit, we cannot take many images from many different directions as ordinary vision metrology measurement and the available area for camera positioning is quite limited. Feasibility of vision metrology application and general methodology to apply to future mobile satellite communication satellite is to be found. Our approach is as follows: 1) Development of prototyping simulator to evaluate the expected precision for network design in zero order and first order 2) Trial

  15. The Legal Regulation of Cybersecurity

    Directory of Open Access Journals (Sweden)

    Darius Štitilis

    2013-08-01

    Full Text Available Cybercrime has become a global phenomenon, which is causing more harm to individual citizens, organizations, society and the state. Most countries in the world compare cybercrime with offences such as terrorism and drug trafficking due to its risks and profitability. Cybersecurity is the central category to fight cybercrime in cyberspace. Therefore, the strategic legal regulation of cybersecurity is one of the most relevant problems in EU, including Lithuania. So far cybersecurity legal regulation analysis in scientific literature has been rather limited. The European Commission, together with the High Representative of the Union for Foreign Affairs and Security Policy, has published a cybersecurity strategy alongside a Commission proposed directive on network and information security (NIS. The cybersecurity strategy – “An Open, Safe and Secure Cyberspace” - represents the EU’s comprehensive vision on how best to prevent and respond to cyber disruptions and attacks. The purpose of its is to further European values of freedom and democracy and ensure the digital economy can safely grow. Specific actions are aimed at enhancing cyber resilience of information systems, reducing cybercrime and strengthening EU international cyber-security policy and cyber defence. The main goal of the paper is to analyze and compare the EU cybersecurity strategy and experience of several foreign countries with the strategic legal regulation of cybersecurity in Lithuania. The article consists of four parts. The first part dealt with the EU cybersecurity strategy. The second part of the article examines the comparative aspect of foreign cybersecurity strategic legal regulation. The third part deals with attempts in Lithuania to draft cybersecurity law and the holistic approach of cybersecurity legal regulation. The fourth part examines Lithuanian cybersecurity strategy and comments on the main probleas related with the strategy. Several different approaches

  16. Legal framework for e-research : realising the potential

    CERN Document Server

    2008-01-01

    Legal Framework for e-Research: Realising the Potential provides an overview of key legal issues facing e-Research. Part One of this book considers the broader prospect and context of what e-Research will allow. Part Two looks more closely at the role law will play in the e-Research environment. Part Three focuses on the key issues of data exchange and data management highlighting important legal issues. Part Four reflects on the changing nature of Scholarly Communications while Part Five looks at the fundamental role of agreements for collaborative endeavour (contracts) in structuring collaboration and calls for greater consideration of way we can streamline the process. Part Six examines the role and operation of privacy law in an e-Research world while Part Seven posits a new approach to commercialisation that embraces the paradigm of open innovation. Part Eight looks at the international legal implications for e-Research and Part Nine considers the national survey we undertook on e-Research, collaborative...

  17. Contemporary Legal Issues in Electronic Commerce in Nigeria

    Directory of Open Access Journals (Sweden)

    TI Akomolede

    2008-10-01

    Full Text Available The Internet has no doubt added a great deal to the quality of human life today. It has knitted the world together as a global village. Many difficulties which hampered international and even national commercial transactions in the past have now been consigned to the dust-bin of history. The emergence of electronic commerce is as a result of the creation of the internet, through which commercial transactions are conducted between parties from different parts of the world and who may never see themselves in their lifetimes. However, the emergence of electronic commerce has also brought with it a number of legal and socio-economic problems, especially in the developing nations such as Nigeria – problems which pose significance challenges to the legal regime of electronic commerce in those countries. This paper examines these legal issues within the context of the current legal and regulatory framework for electronic commerce in Nigeria.

  18. COMPARATIVE LEGAL STUDY OF THE FREEDOM OF SPEECH IN RUSSIA AND CHINA. RUSSIAN LEGAL SYSTEM’ INFLUENCE ON THE CHINESE LEGAL SYSTEM

    Directory of Open Access Journals (Sweden)

    Stanislav Yu Kolmakov

    2013-01-01

    Full Text Available The article analyzes the features, similarities and differences of the legal systems of Russia and China and reveals that Russia is a more democratic state compared to China in the field of protection of the freedom of speech. The author concludes that Russia can influence China by methods of international treaties which allow cooperation between states with different state and social orders and by promoting the ideas of the freedom of expression through research exchanges.

  19. Labour law and communitarian legal standards

    Directory of Open Access Journals (Sweden)

    Jašarević Senad

    2014-01-01

    Full Text Available The recently adopted amendments to the Labour Code was accompanied by an extremely high resistance. While opponents consider amendments to the Law a big step back and point out that it is completely contrary to the standards of the International Labor Organization, advocates of the changes have emphasized the progressiveness. The most important argument to them was that the Code represents a substantial harmonization of our legislation with the advanced standards of EU law. Much of what have excelled both advocates and opponents of legal change is not actually correct. The main reason for the erroneous views was lack of knowledge of comparative and international labor standards. The law on the one hand is a step backwards when it comes to the protection of workers. On the other hand, it is a step forward it is a reform of the system of labor relations that was necessary and was forced by the international environment, from which our country in the era of globalization can not be excluded. Amendments to the Labour Code we see as a tendency to be in Serbia finally to establish a similar legal environment in the domain of work, as in the advanced countries of Western Europe.

  20. Transforming the European legal order: The European Court of Justice at 60+

    OpenAIRE

    Guth, J

    2016-01-01

    The European Court of Justice has played a pivotal role in the transformation of international law obligations between Member States into an integrated legal order with direct applicability and effect in those Member States. This article explores whether or not the ECJ continues to be relevant to EU governance and integration and whether it continues to transform the legal orders of the Member States. It briefly outlines the early case law which transformed the legal order, and the preliminar...