WorldWideScience

Sample records for integrity speed memory

  1. High-Speed Non-Volatile Optical Memory: Achievements and Challenges

    Directory of Open Access Journals (Sweden)

    Vadym Zayets

    2017-01-01

    Full Text Available We have proposed, fabricated, and studied a new design of a high-speed optical non-volatile memory. The recoding mechanism of the proposed memory utilizes a magnetization reversal of a nanomagnet by a spin-polarized photocurrent. It was shown experimentally that the operational speed of this memory may be extremely fast above 1 TBit/s. The challenges to realize both a high-speed recording and a high-speed reading are discussed. The memory is compact, integratable, and compatible with present semiconductor technology. If realized, it will advance data processing and computing technology towards a faster operation speed.

  2. Illusory Speed is Retained in Memory during Invisible Motion

    Directory of Open Access Journals (Sweden)

    Luca Battaglini

    2013-05-01

    Full Text Available The brain can retain speed information in early visual short-term memory in an astonishingly precise manner. We investigated whether this (early visual memory system is active during the extrapolation of occluded motion and whether it reflects speed misperception due to contrast and size. Experiments 1A and 2A showed that reducing target contrast or increasing its size led to an illusory speed underestimation. Experiments 1B, 2B, and 3 showed that this illusory phenomenon is reflected in the memory of speed during occluded motion, independent of the range of visible speeds, of the length of the visible trajectory or the invisible trajectory, and of the type of task. These results suggest that illusory speed is retained in memory during invisible motion.

  3. Processing speed and working memory span: their differential role in superficial and deep memory processes in schizophrenia.

    Science.gov (United States)

    Brébion, Gildas; Bressan, Rodrigo A; Pilowsky, Lyn S; David, Anthony S

    2011-05-01

    Previous work has suggested that decrement in both processing speed and working memory span plays a role in the memory impairment observed in patients with schizophrenia. We undertook a study to examine simultaneously the effect of these two factors. A sample of 49 patients with schizophrenia and 43 healthy controls underwent a battery of verbal and visual memory tasks. Superficial and deep encoding memory measures were tallied. We conducted regression analyses on the various memory measures, using processing speed and working memory span as independent variables. In the patient group, processing speed was a significant predictor of superficial and deep memory measures in verbal and visual memory. Working memory span was an additional significant predictor of the deep memory measures only. Regression analyses involving all participants revealed that the effect of diagnosis on all the deep encoding memory measures was reduced to non-significance when processing speed was entered in the regression. Decreased processing speed is involved in verbal and visual memory deficit in patients, whether the task require superficial or deep encoding. Working memory is involved only insofar as the task requires a certain amount of effort.

  4. Sparse Distributed Memory: understanding the speed and robustness of expert memory

    Directory of Open Access Journals (Sweden)

    Marcelo Salhab Brogliato

    2014-04-01

    Full Text Available How can experts, sometimes in exacting detail, almost immediately and very precisely recall memory items from a vast repertoire? The problem in which we will be interested concerns models of theoretical neuroscience that could explain the speed and robustness of an expert's recollection. The approach is based on Sparse Distributed Memory, which has been shown to be plausible, both in a neuroscientific and in a psychological manner, in a number of ways. A crucial characteristic concerns the limits of human recollection, the `tip-of-tongue' memory event--which is found at a non-linearity in the model. We expand the theoretical framework, deriving an optimization formula to solve to this non-linearity. Numerical results demonstrate how the higher frequency of rehearsal, through work or study, immediately increases the robustness and speed associated with expert memory.

  5. Predictors of Memory and Processing Speed Dysfunctions after Traumatic Brain Injury

    Directory of Open Access Journals (Sweden)

    William Winardi

    2014-01-01

    Full Text Available Background. The aims of this study were to evaluate the predictive value of admission Glasgow Coma Scale (GCS scores, duration of unconsciousness, neurosurgical intervention, and countercoup lesion on the impairment of memory and processing speed functions six months after a traumatic brain injury (TBI based on a structural equation modeling. Methods. Thirty TBI patients recruited from Neurosurgical Department at the Kaohsiung Medical University Hospital were administered the Wechsler Memory Scale-III (WMS-III and the Wechsler Adult Intelligence Scale-III processing speed index to evaluate the memory and processing speed functions. Results. The study showed that GCS scores accounted for 40% of the variance in memory/processing speed. No significant predictive effects were found for the other three variables. GCS classification at the time of TBI seems to correspond moderately to the severity of memory/processing speed dysfunctions. Conclusions. The present study demonstrated that admission GCS score is a robust predictor of memory/processing speed dysfunctions after TBI. The results should be replicated with a large sample of patients with TBI, or be extended by examining other potential clinical predictors.

  6. Infant Visual Recognition Memory: Independent Contributions of Speed and Attention.

    Science.gov (United States)

    Rose, Susan A.; Feldman, Judith F.; Jankowski, Jeffery J.

    2003-01-01

    Examined contributions of cognitive processing speed, short-term memory capacity, and attention to infant visual recognition memory. Found that infants who showed better attention and faster processing had better recognition memory. Contributions of attention and processing speed were independent of one another and similar at all ages studied--5,…

  7. Working memory influences processing speed and reading fluency in ADHD.

    Science.gov (United States)

    Jacobson, Lisa A; Ryan, Matthew; Martin, Rebecca B; Ewen, Joshua; Mostofsky, Stewart H; Denckla, Martha B; Mahone, E Mark

    2011-01-01

    Processing-speed deficits affect reading efficiency, even among individuals who recognize and decode words accurately. Children with ADHD who decode words accurately can still have inefficient reading fluency, leading to a bottleneck in other cognitive processes. This "slowing" in ADHD is associated with deficits in fundamental components of executive function underlying processing speed, including response selection. The purpose of the present study was to deconstruct processing speed in order to determine which components of executive control best explain the "processing" speed deficits related to reading fluency in ADHD. Participants (41 ADHD, 21 controls), ages 9-14 years, screened for language disorders, word reading deficits, and psychiatric disorders, were administered measures of copying speed, processing speed, reading fluency, working memory, reaction time, inhibition, and auditory attention span. Compared to controls, children with ADHD showed reduced oral and silent reading fluency and reduced processing speed-driven primarily by deficits on WISC-IV Coding. In contrast, groups did not differ on copying speed. After controlling for copying speed, sex, severity of ADHD-related symptomatology, and GAI, slowed "processing" speed (i.e., Coding) was significantly associated with verbal span and measures of working memory but not with measures of response control/inhibition, lexical retrieval speed, reaction time, or intrasubject variability. Further, "processing" speed (i.e., Coding, residualized for copying speed) and working memory were significant predictors of oral reading fluency. Abnormalities in working memory and response selection (which are frontally mediated and enter into the output side of processing speed) may play an important role in deficits in reading fluency in ADHD, potentially more than posteriorally mediated problems with orienting of attention or perceiving the stimulus.

  8. The Puzzle of Processing Speed, Memory and Executive Function Impairments in Schizophrenia: Fitting the Pieces Together

    Science.gov (United States)

    Knowles, Emma E. M.; Weiser, Mark; David, Anthony S.; Glahn, David; Davidson, Michael; Reichenberg, Abraham

    2015-01-01

    Background Substantial impairment in digit-symbol substitution task performance in schizophrenia is well established, which has been widely interpreted as denoting a specific impairment in processing-speed ability. However, other higher-order cognitive functions might be more critical to performance on this task. To date, this has not been rigorously investigated in schizophrenia. Methods One-hundred and twenty-five schizophrenia cases and 272 controls completed neuropsychological measures of processing speed, memory and executive functioning. We implemented a series of confirmatory factor and structural regression modeling in order to build an integrated model of processing speed, memory and executive function with which to deconstruct digit-symbol substitution task and characterize discrepancies between cases and controls. Results The overall structure of the processing speed, memory and executive function model was the same across groups (χ2 = 208.86, p>.05) but the contribution of the specific cognitive domains to coding task performance differed significantly. When completing the task controls relied on executive function and, indirectly, on working memory ability; while schizophrenia cases utilized an alternative set of cognitive operations whereby they relied on the same processes required to complete verbal fluency tasks. Conclusions Successful coding task performance is predominantly reliant on executive function, rather than processing-speed or memory abilities. Schizophrenia patients perform poorly on this task due to an apparent lack of appropriate executive function input, they rely instead on an alternative cognitive pathway. PMID:25863361

  9. Relationships among processing speed, working memory, and fluid intelligence in children.

    Science.gov (United States)

    Fry, A F; Hale, S

    2000-10-01

    The present review focuses on three issues, (a) the time course of developmental increases in cognitive abilities; (b) the impact of age on individual differences in these abilities, and (c) the mechanisms by which developmental increases in different aspects of cognition affect each other. We conclude from our review of the literature that the development of processing speed, working memory, and fluid intelligence, all follow a similar time course, suggesting that all three abilities develop in concert. Furthermore, the strength of the correlation between speed and intelligence does not appear to change with age, and most of the effect of the age-related increase in speed on intelligence appears to be mediated through the effect of speed on working memory. Finally, most of the effect of the age-related improvement in working memory on intelligence is itself attributable to the effect of the increase in speed on working memory, providing evidence of a cognitive developmental cascade.

  10. The puzzle of processing speed, memory, and executive function impairments in schizophrenia: fitting the pieces together.

    Science.gov (United States)

    Knowles, Emma E M; Weiser, Mark; David, Anthony S; Glahn, David C; Davidson, Michael; Reichenberg, Abraham

    2015-12-01

    Substantial impairment in performance on the digit-symbol substitution task in patients with schizophrenia is well established, which has been widely interpreted as denoting a specific impairment in processing speed. However, other higher order cognitive functions might be more critical to performance on this task. To date, this idea has not been rigorously investigated in patients with schizophrenia. Neuropsychological measures of processing speed, memory, and executive functioning were completed by 125 patients with schizophrenia and 272 control subjects. We implemented a series of confirmatory factor and structural regression modeling to build an integrated model of processing speed, memory, and executive function with which to deconstruct the digit-symbol substitution task and characterize discrepancies between patients with schizophrenia and control subjects. The overall structure of the processing speed, memory, and executive function model was the same across groups (χ(2) = 208.86, p > .05), but the contribution of the specific cognitive domains to coding task performance differed significantly. When completing the task, control subjects relied on executive function and, indirectly, on working memory ability, whereas patients with schizophrenia used an alternative set of cognitive operations whereby they relied on the same processes required to complete verbal fluency tasks. Successful coding task performance relies predominantly on executive function, rather than processing speed or memory. Patients with schizophrenia perform poorly on this task because of an apparent lack of appropriate executive function input; they rely instead on an alternative cognitive pathway. Copyright © 2015 Society of Biological Psychiatry. Published by Elsevier Inc. All rights reserved.

  11. Phonological working memory and auditory processing speed in children with specific language impairment

    Directory of Open Access Journals (Sweden)

    Fatemeh Haresabadi

    2015-02-01

    Full Text Available Background and Aim: Specific language impairment (SLI, one variety of developmental language disorder, has attracted much interest in recent decades. Much research has been conducted to discover why some children have a specific language impairment. So far, research has failed to identify a reason for this linguistic deficiency. Some researchers believe language disorder causes defects in phonological working memory and affects auditory processing speed. Therefore, this study reviews the results of research investigating these two factors in children with specific language impairment.Recent Findings: Studies have shown that children with specific language impairment face constraints in phonological working memory capacity. Memory deficit is one possible cause of linguistic disorder in children with specific language impairment. However, in these children, disorder in information processing speed is observed, especially regarding the auditory aspect.Conclusion: Much more research is required to adequately explain the relationship between phonological working memory and auditory processing speed with language. However, given the role of phonological working memory and auditory processing speed in language acquisition, a focus should be placed on phonological working memory capacity and auditory processing speed in the assessment and treatment of children with a specific language impairment.

  12. A vertically integrated capacitorless memory cell

    International Nuclear Information System (INIS)

    Tong Xiaodong; Wu Hao; Zhao Lichuan; Wang Ming; Zhong Huicai

    2013-01-01

    A two-port capacitorless PNPN device with high density, high speed and low power memory fabricated using standard CMOS technology is presented. Experiments and calibrated simulations were conducted which prove that this new memory cell has a high operation speed (ns level), large read current margin (read current ratio of 10 4 ×), low process variation, good thermal reliability and available retention time (190 ms). Furthermore, the new memory cell is free of the cyclic endurance/reliability problems induced by hot-carrier injection due to the gateless structure. (semiconductor devices)

  13. Towards realising high-speed large-bandwidth quantum memory

    Institute of Scientific and Technical Information of China (English)

    SHI BaoSen; DING DongSheng

    2016-01-01

    Indispensable for quantum communication and quantum computation,quantum memory executes on demand storage and retrieval of quantum states such as those of a single photon,an entangled pair or squeezed states.Among the various forms of quantum memory,Raman quantum memory has advantages forits broadband and high-speed characteristics,which results in a huge potential for applications in quantum networks and quantum computation.However,realising Raman quantum memory with true single photons and photonic entanglementis challenging.In this review,after briefly introducing the main benchmarks in the development of quantum memory and describing the state of the art,we focus on our recent experimental progress inquantum memorystorage of quantum states using the Raman scheme.

  14. Individual differences in memory span: the contribution of rehearsal, access to lexical memory, and output speed.

    Science.gov (United States)

    Tehan, G; Lalor, D M

    2000-11-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the subject population, have suggested other contributors to span performance, notably contributions from long-term memory and forgetting and retrieval processes occurring during recall. In the current research we explore individual differences in span with respect to measures of rehearsal, output time, and access to lexical memory. We replicate standard short-term phenomena; we show that the variables that influence children's span performance influence adult performance in the same way; and we show that lexical memory access appears to be a more potent source of individual differences in span than either rehearsal speed or output factors.

  15. High-speed noise-free optical quantum memory

    Science.gov (United States)

    Kaczmarek, K. T.; Ledingham, P. M.; Brecht, B.; Thomas, S. E.; Thekkadath, G. S.; Lazo-Arjona, O.; Munns, J. H. D.; Poem, E.; Feizpour, A.; Saunders, D. J.; Nunn, J.; Walmsley, I. A.

    2018-04-01

    Optical quantum memories are devices that store and recall quantum light and are vital to the realization of future photonic quantum networks. To date, much effort has been put into improving storage times and efficiencies of such devices to enable long-distance communications. However, less attention has been devoted to building quantum memories which add zero noise to the output. Even small additional noise can render the memory classical by destroying the fragile quantum signatures of the stored light. Therefore, noise performance is a critical parameter for all quantum memories. Here we introduce an intrinsically noise-free quantum memory protocol based on two-photon off-resonant cascaded absorption (ORCA). We demonstrate successful storage of GHz-bandwidth heralded single photons in a warm atomic vapor with no added noise, confirmed by the unaltered photon-number statistics upon recall. Our ORCA memory meets the stringent noise requirements for quantum memories while combining high-speed and room-temperature operation with technical simplicity, and therefore is immediately applicable to low-latency quantum networks.

  16. Circuit engineering principles for construction of bipolar large-scale integrated circuit storage devices and very large-scale main memory

    Science.gov (United States)

    Neklyudov, A. A.; Savenkov, V. N.; Sergeyez, A. G.

    1984-06-01

    Memories are improved by increasing speed or the memory volume on a single chip. The most effective means for increasing speeds in bipolar memories are current control circuits with the lowest extraction times for a specific power consumption (1/4 pJ/bit). The control current circuitry involves multistage current switches and circuits accelerating transient processes in storage elements and links. Circuit principles for the design of bipolar memories with maximum speeds for an assigned minimum of circuit topology are analyzed. Two main classes of storage with current control are considered: the ECL type and super-integrated injection type storage with data capacities of N = 1/4 and N 4/16, respectively. The circuits reduce logic voltage differentials and the volumes of lexical and discharge buses and control circuit buses. The limiting speed is determined by the antiinterference requirements of the memory in storage and extraction modes.

  17. Combining Gait Speed and Recall Memory to Predict Survival in Late Life: Population-Based Study.

    Science.gov (United States)

    Marengoni, Alessandra; Bandinelli, Stefania; Maietti, Elisa; Guralnik, Jack; Zuliani, Giovanni; Ferrucci, Luigi; Volpato, Stefano

    2017-03-01

    To evaluate the relationship between gait speed, recall memory, and mortality. A cohort study (last follow-up December 2009). Tuscany, Italy. Individual data from 1,014 community-dwelling older adults aged 60 years or older with baseline gait speed and recall memory measurements and follow-up for a median time of 9.10 (IQR 7.1;9.3) years. Participants were a mean (SD) age of 73.9 (7.3) years, and 55.8% women. Participants walking faster than 0.8 m/s were defined as fast walkers; good recall memory was defined as a score of 2 or 3 in the 3-word delayed recall section of the Mini-Mental State Examination. All-cause mortality. There were 302 deaths and the overall 100 person-year death rate was 3.77 (95% CI: 3.37-4.22). Both low gait speed and poor recall memory were associated with mortality when analysed separately (HR = 2.47; 95% CI: 1.87-3.27 and HR = 1.47; 95% CI: 1.16-1.87, respectively). When we grouped participants according to both recall and gait speed, death rates (100 person-years) progressively increased from those with both good gait speed and memory (2.0; 95% CI: 1.6-2.5), to those with fast walk but poor memory (3.4; 95% CI: 2.8-4.2), to those with slow walk and good memory (8.8; 95% CI: 6.4-12.1), to those with both slow walk and poor memory (13.0; 95% CI: 10.6-16.1). In multivariate analysis, poor memory significantly increases mortality risk among persons with fast gait speed (HR = 1.40; 95% CI: 1.04-1.89). In older persons, gait speed and recall memory are independent predictors of expected survival. Information on memory function might better stratify mortality risk among persons with fast gait speed. © 2016, Copyright the Authors Journal compilation © 2016, The American Geriatrics Society.

  18. A Latent Variable Analysis of Working Memory Capacity, Short-Term Memory Capacity, Processing Speed, and General Fluid Intelligence.

    Science.gov (United States)

    Conway, Andrew R. A.; Cowan, Nelsin; Bunting, Michael F.; Therriault, David J.; Minkoff, Scott R. B.

    2002-01-01

    Studied the interrelationships among general fluid intelligence, short-term memory capacity, working memory capacity, and processing speed in 120 young adults and used structural equation modeling to determine the best predictor of general fluid intelligence. Results suggest that working memory capacity, but not short-term memory capacity or…

  19. Blurring emotional memories using eye movements: individual differences and speed of eye movements.

    Science.gov (United States)

    van Schie, Kevin; van Veen, Suzanne C; Engelhard, Iris M; Klugkist, Irene; van den Hout, Marcel A

    2016-01-01

    In eye movement desensitization and reprocessing (EMDR), patients make eye movements (EM) while recalling traumatic memories. Making EM taxes working memory (WM), which leaves less resources available for imagery of the memory. This reduces memory vividness and emotionality during future recalls. WM theory predicts that individuals with small working memory capacities (WMCs) benefit more from low levels of taxing (i.e., slow EM) whereas individuals with large WMC benefit more from high levels of taxing (i.e., fast EM). We experimentally examined and tested four prespecified hypotheses regarding the role of WMC and EM speed in reducing emotionality and vividness ratings: 1) EM-regardless of WMC and EM speed-are more effective compared to no dual task, 2) increasing EM speed only affects the decrease in memory ratings irrespective of WMC, 3) low-WMC individuals-compared to high-WMC individuals-benefit more from making either type of EM, 4) the EM intervention is most effective when-as predicted by WM theory-EM are adjusted to WMC. Undergraduates with low (n=31) or high (n=35) WMC recalled three emotional memories and rated vividness and emotionality before and after each condition (recall only, recall + slow EM, and recall + fast EM). Contrary to the theory, the data do not support the hypothesis that EM speed should be adjusted to WMC (hypothesis 4). However, the data show that a dual task in general is more effective in reducing memory ratings than no dual task (hypothesis 1), and that a more cognitively demanding dual task increases the intervention's effectiveness (hypothesis 2). Although adjusting EM speed to an individual's WMC seems a straightforward clinical implication, the data do not show any indication that such a titration is helpful.

  20. Latent change models of adult cognition: are changes in processing speed and working memory associated with changes in episodic memory?

    Science.gov (United States)

    Hertzog, Christopher; Dixon, Roger A; Hultsch, David F; MacDonald, Stuart W S

    2003-12-01

    The authors used 6-year longitudinal data from the Victoria Longitudinal Study (VLS) to investigate individual differences in amount of episodic memory change. Latent change models revealed reliable individual differences in cognitive change. Changes in episodic memory were significantly correlated with changes in other cognitive variables, including speed and working memory. A structural equation model for the latent change scores showed that changes in speed and working memory predicted changes in episodic memory, as expected by processing resource theory. However, these effects were best modeled as being mediated by changes in induction and fact retrieval. Dissociations were detected between cross-sectional ability correlations and longitudinal changes. Shuffling the tasks used to define the Working Memory latent variable altered patterns of change correlations.

  1. Verbal Working Memory in Older Adults: The Roles of Phonological Capacities and Processing Speed

    Science.gov (United States)

    Nittrouer, Susan; Lowenstein, Joanna H.; Wucinich, Taylor; Moberly, Aaron C.

    2016-01-01

    Purpose: This study examined the potential roles of phonological sensitivity and processing speed in age-related declines of verbal working memory. Method: Twenty younger and 25 older adults with age-normal hearing participated. Two measures of verbal working memory were collected: digit span and serial recall of words. Processing speed was…

  2. Episodic and semantic memory functioning in very old age: Explanations from executive functioning and processing speed theories

    Directory of Open Access Journals (Sweden)

    Pauline E.J. Spaan

    2015-12-01

    Full Text Available Structural equation modeling was used to investigate whether age-related episodic and semantic memory impairments are better explained by decline in processing speed or executive functioning (or both, rather than directly in terms of memory components. The models tested were based on an extensive review of the literature on cognitive decline in normal aging, up to very old age. A computerized test battery, measuring episodic memory (free and cued recall; recognition, semantic memory (fluency; naming accuracy and latencies, processing speed and executive functioning, was administered to 234 elderly persons ranging from young-old to very old age (55–96 years. To avoid large variance in response times due to physical instead of cognitive limitations, no motor responses were required from participants. Age-related decline in episodic and semantic memory performance was found to be the consequence of declines in processing speed and executive functioning. Processing speed mainly mediated decline of semantic memory, whereas executive functioning mainly mediated episodic memory decline. The most parsimonious model showed that both processing speed and executive functioning attributed to memory decline but independent from one another. The results suggest that at very old age, the impact of executive dysfunctions on episodic memory performance exceeds the influence of cognitive slowing.

  3. Blurring emotional memories using eye movements: individual differences and speed of eye movements

    Directory of Open Access Journals (Sweden)

    Kevin van Schie

    2016-07-01

    Full Text Available Background: In eye movement desensitization and reprocessing (EMDR, patients make eye movements (EM while recalling traumatic memories. Making EM taxes working memory (WM, which leaves less resources available for imagery of the memory. This reduces memory vividness and emotionality during future recalls. WM theory predicts that individuals with small working memory capacities (WMCs benefit more from low levels of taxing (i.e., slow EM whereas individuals with large WMC benefit more from high levels of taxing (i.e., fast EM. Objective: We experimentally examined and tested four prespecified hypotheses regarding the role of WMC and EM speed in reducing emotionality and vividness ratings: 1 EM—regardless of WMC and EM speed—are more effective compared to no dual task, 2 increasing EM speed only affects the decrease in memory ratings irrespective of WMC, 3 low-WMC individuals—compared to high-WMC individuals—benefit more from making either type of EM, 4 the EM intervention is most effective when—as predicted by WM theory—EM are adjusted to WMC. Method: Undergraduates with low (n=31 or high (n=35 WMC recalled three emotional memories and rated vividness and emotionality before and after each condition (recall only, recall + slow EM, and recall + fast EM. Results: Contrary to the theory, the data do not support the hypothesis that EM speed should be adjusted to WMC (hypothesis 4. However, the data show that a dual task in general is more effective in reducing memory ratings than no dual task (hypothesis 1, and that a more cognitively demanding dual task increases the intervention's effectiveness (hypothesis 2. Conclusions: Although adjusting EM speed to an individual's WMC seems a straightforward clinical implication, the data do not show any indication that such a titration is helpful.

  4. Global Processing Speed as a Mediator of Developmental Changes in Children's Auditory Memory Span

    Science.gov (United States)

    Ferguson, A.N.; Bowey, J.A.

    2005-01-01

    This study examined the role of global processing speed in mediating age increases in auditory memory span in 5- to 13-year-olds. Children were tested on measures of memory span, processing speed, single-word speech rate, phonological sensitivity, and vocabulary. Structural equation modeling supported a model in which age-associated increases in…

  5. Neural correlates of the difference between working memory speed and simple sensorimotor speed: an fMRI study.

    Directory of Open Access Journals (Sweden)

    Hikaru Takeuchi

    Full Text Available The difference between the speed of simple cognitive processes and the speed of complex cognitive processes has various psychological correlates. However, the neural correlates of this difference have not yet been investigated. In this study, we focused on working memory (WM for typical complex cognitive processes. Functional magnetic resonance imaging data were acquired during the performance of an N-back task, which is a measure of WM for typical complex cognitive processes. In our N-back task, task speed and memory load were varied to identify the neural correlates responsible for the difference between the speed of simple cognitive processes (estimated from the 0-back task and the speed of WM. Our findings showed that this difference was characterized by the increased activation in the right dorsolateral prefrontal cortex (DLPFC and the increased functional interaction between the right DLPFC and right superior parietal lobe. Furthermore, the local gray matter volume of the right DLPFC was correlated with participants' accuracy during fast WM tasks, which in turn correlated with a psychometric measure of participants' intelligence. Our findings indicate that the right DLPFC and its related network are responsible for the execution of the fast cognitive processes involved in WM. Identified neural bases may underlie the psychometric differences between the speed with which subjects perform simple cognitive tasks and the speed with which subjects perform more complex cognitive tasks, and explain the previous traditional psychological findings.

  6. High capacity, high speed histogramming data acquisition memory

    International Nuclear Information System (INIS)

    Epstein, A.; Boulin, C.

    1996-01-01

    A double width CAMAC DRAM store module was developed for use as a histogramming memory in fast time-resolved synchrotron radiation applications to molecular biology. High speed direct memory modify (3 MHz) is accomplished by using a discrete DRAM controller and fast page mode access. The module can be configured using standard SIMMs to sizes of up to 64M-words. The word width is 16 bit and the module can handle overflows by storing the overflow addresses in a dedicated FIFO. Simultaneous front panel DMM/DMI access and CAMAC readout of the overflow addresses is supported

  7. Enabling universal memory by overcoming the contradictory speed and stability nature of phase-change materials.

    Science.gov (United States)

    Wang, Weijie; Loke, Desmond; Shi, Luping; Zhao, Rong; Yang, Hongxin; Law, Leong-Tat; Ng, Lung-Tat; Lim, Kian-Guan; Yeo, Yee-Chia; Chong, Tow-Chong; Lacaita, Andrea L

    2012-01-01

    The quest for universal memory is driving the rapid development of memories with superior all-round capabilities in non-volatility, high speed, high endurance and low power. Phase-change materials are highly promising in this respect. However, their contradictory speed and stability properties present a key challenge towards this ambition. We reveal that as the device size decreases, the phase-change mechanism changes from the material inherent crystallization mechanism (either nucleation- or growth-dominated), to the hetero-crystallization mechanism, which resulted in a significant increase in PCRAM speeds. Reducing the grain size can further increase the speed of phase-change. Such grain size effect on speed becomes increasingly significant at smaller device sizes. Together with the nano-thermal and electrical effects, fast phase-change, good stability and high endurance can be achieved. These findings lead to a feasible solution to achieve a universal memory.

  8. Working memory - not processing speed - mediates fluid intelligence deficits associated with attention deficit/hyperactivity disorder symptoms.

    Science.gov (United States)

    Brydges, Christopher R; Ozolnieks, Krista L; Roberts, Gareth

    2017-09-01

    Attention deficit/hyperactivity disorder (ADHD) is a psychological condition characterized by inattention and hyperactivity. Cognitive deficits are commonly observed in ADHD patients, including impaired working memory, processing speed, and fluid intelligence, the three of which are theorized to be closely associated with one another. In this study, we aimed to determine if decreased fluid intelligence was associated with ADHD, and was mediated by deficits in working memory and processing speed. This study tested 142 young adults from the general population on a range of working memory, processing speed, and fluid intelligence tasks, and an ADHD self-report symptoms questionnaire. Results showed that total and hyperactive ADHD symptoms correlated significantly and negatively with fluid intelligence, but this association was fully mediated by working memory. However, inattentive symptoms were not associated with fluid intelligence. Additionally, processing speed was not associated with ADHD symptoms at all, and was not uniquely predictive of fluid intelligence. The results provide implications for working memory training programs for ADHD patients, and highlight potential differences between the neuropsychological profiles of ADHD subtypes. © 2015 The British Psychological Society.

  9. A model of memory impairment in schizophrenia: cognitive and clinical factors associated with memory efficiency and memory errors.

    Science.gov (United States)

    Brébion, Gildas; Bressan, Rodrigo A; Ohlsen, Ruth I; David, Anthony S

    2013-12-01

    Memory impairments in patients with schizophrenia have been associated with various cognitive and clinical factors. Hallucinations have been more specifically associated with errors stemming from source monitoring failure. We conducted a broad investigation of verbal memory and visual memory as well as source memory functioning in a sample of patients with schizophrenia. Various memory measures were tallied, and we studied their associations with processing speed, working memory span, and positive, negative, and depressive symptoms. Superficial and deep memory processes were differentially associated with processing speed, working memory span, avolition, depression, and attention disorders. Auditory/verbal and visual hallucinations were differentially associated with specific types of source memory error. We integrated all the results into a revised version of a previously published model of memory functioning in schizophrenia. The model describes the factors that affect memory efficiency, as well as the cognitive underpinnings of hallucinations within the source monitoring framework. © 2013.

  10. Dual N-Back Working Memory Training in Healthy Adults: A Randomized Comparison to Processing Speed Training

    Science.gov (United States)

    Lawlor-Savage, Linette; Goghari, Vina M.

    2016-01-01

    Enhancing cognitive ability is an attractive concept, particularly for middle-aged adults interested in maintaining cognitive functioning and preventing age-related declines. Computerized working memory training has been investigated as a safe method of cognitive enhancement in younger and older adults, although few studies have considered the potential impact of working memory training on middle-aged adults. This study investigated dual n-back working memory training in healthy adults aged 30–60. Fifty-seven adults completed measures of working memory, processing speed, and fluid intelligence before and after a 5-week web-based dual n-back or active control (processing speed) training program. Results: Repeated measures multivariate analysis of variance failed to identify improvements across the three cognitive composites, working memory, processing speed, and fluid intelligence, after training. Follow-up Bayesian analyses supported null findings for training effects for each individual composite. Findings suggest that dual n-back working memory training may not benefit working memory or fluid intelligence in healthy adults. Further investigation is necessary to clarify if other forms of working memory training may be beneficial, and what factors impact training-related benefits, should they occur, in this population. PMID:27043141

  11. Dual N-Back Working Memory Training in Healthy Adults: A Randomized Comparison to Processing Speed Training.

    Directory of Open Access Journals (Sweden)

    Linette Lawlor-Savage

    Full Text Available Enhancing cognitive ability is an attractive concept, particularly for middle-aged adults interested in maintaining cognitive functioning and preventing age-related declines. Computerized working memory training has been investigated as a safe method of cognitive enhancement in younger and older adults, although few studies have considered the potential impact of working memory training on middle-aged adults. This study investigated dual n-back working memory training in healthy adults aged 30-60. Fifty-seven adults completed measures of working memory, processing speed, and fluid intelligence before and after a 5-week web-based dual n-back or active control (processing speed training program.Repeated measures multivariate analysis of variance failed to identify improvements across the three cognitive composites, working memory, processing speed, and fluid intelligence, after training. Follow-up Bayesian analyses supported null findings for training effects for each individual composite. Findings suggest that dual n-back working memory training may not benefit working memory or fluid intelligence in healthy adults. Further investigation is necessary to clarify if other forms of working memory training may be beneficial, and what factors impact training-related benefits, should they occur, in this population.

  12. Cognitive Risk Factors for Specific Learning Disorder: Processing Speed, Temporal Processing, and Working Memory.

    Science.gov (United States)

    Moll, Kristina; Göbel, Silke M; Gooch, Debbie; Landerl, Karin; Snowling, Margaret J

    2016-01-01

    High comorbidity rates between reading disorder (RD) and mathematics disorder (MD) indicate that, although the cognitive core deficits underlying these disorders are distinct, additional domain-general risk factors might be shared between the disorders. Three domain-general cognitive abilities were investigated in children with RD and MD: processing speed, temporal processing, and working memory. Since attention problems frequently co-occur with learning disorders, the study examined whether these three factors, which are known to be associated with attention problems, account for the comorbidity between these disorders. The sample comprised 99 primary school children in four groups: children with RD, children with MD, children with both disorders (RD+MD), and typically developing children (TD controls). Measures of processing speed, temporal processing, and memory were analyzed in a series of ANCOVAs including attention ratings as covariate. All three risk factors were associated with poor attention. After controlling for attention, associations with RD and MD differed: Although deficits in verbal memory were associated with both RD and MD, reduced processing speed was related to RD, but not MD; and the association with RD was restricted to processing speed for familiar nameable symbols. In contrast, impairments in temporal processing and visuospatial memory were associated with MD, but not RD. © Hammill Institute on Disabilities 2014.

  13. Between-person and within-person associations among processing speed, attention switching, and working memory in younger and older adults.

    Science.gov (United States)

    Stawski, Robert S; Sliwinski, Martin J; Hofer, Scott M

    2013-01-01

    BACKGROUND/STUDY CONTEXT: Theories of cognitive aging predict associations among processes that transpire within individuals, but are often tested by examining between-person relationships. The authors provide an empirical demonstration of how associations among measures of processing speed, attention switching, and working memory are different when considered between persons versus within persons over time. A sample of 108 older adults (M (age) = 80.8, range = 66-95) and 68 younger adults (M (age) = 20.2, range = 18-24) completed measures of processing speed, attention switching, and working memory on six occasions over a 14-day period. Multilevel modeling was used to examine processing speed and attention switching performance as predictors of working memory performance simultaneously across days (within-person) and across individuals (between-person). The findings indicates that simple comparison and response speed predicted working memory better than attention switching between persons, whereas attention switching predicted working memory better than simple comparison and response speed within persons over time. Furthermore, the authors did not observe strong evidence of age differences in these associations either within or between persons. The findings of the current study suggest that processing speed is important for understanding between-person and age-related differences in working memory, whereas attention switching is more important for understanding within-person variation in working memory. The authors conclude that theories of cognitive aging should be evaluated by analysis of within-person processes, not exclusively age-related individual differences.

  14. The influence of nitride thickness variations on the switching speed of MNOS memory transistors

    DEFF Research Database (Denmark)

    Bruun, Erik

    1978-01-01

    The influence of nitride thickness variations on the switching speed of MNOS memory transistors is examined. The switching time constant is calculated as a function of the nitride thickness using a model of modified Fowler-Nordheim injection. The calculated characteristics compare well with measu......The influence of nitride thickness variations on the switching speed of MNOS memory transistors is examined. The switching time constant is calculated as a function of the nitride thickness using a model of modified Fowler-Nordheim injection. The calculated characteristics compare well...

  15. Convergent validity of the Integrated Visual and Auditory Continuous Performance Test (IVA+Plus): associations with working memory, processing speed, and behavioral ratings.

    Science.gov (United States)

    Arble, Eamonn; Kuentzel, Jeffrey; Barnett, Douglas

    2014-05-01

    Though the Integrated Visual and Auditory Continuous Performance Test (IVA + Plus) is commonly used by researchers and clinicians, few investigations have assessed its convergent and discriminant validity, especially with regard to its use with children. The present study details correlates of the IVA + Plus using measures of cognitive ability and ratings of child behavior (parent and teacher), drawing upon a sample of 90 psychoeducational evaluations. Scores from the IVA + Plus correlated significantly with the Working Memory and Processing Speed Indexes from the Fourth Edition of the Wechsler Intelligence Scales for Children (WISC-IV), though fewer and weaker significant correlations were seen with behavior ratings scales, and significant associations also occurred with WISC-IV Verbal Comprehension and Perceptual Reasoning. The overall pattern of relations is supportive of the validity of the IVA + Plus; however, general cognitive ability was associated with better performance on most of the primary scores of the IVA + Plus, suggesting that interpretation should take intelligence into account.

  16. A novel 2 T P-channel nano-crystal memory for low power/high speed embedded NVM applications

    International Nuclear Information System (INIS)

    Zhang Junyu; Wang Yong; Liu Jing; Zhang Manhong; Xu Zhongguang; Huo Zongliang; Liu Ming

    2012-01-01

    We introduce a novel 2 T P-channel nano-crystal memory structure for low power and high speed embedded non-volatile memory (NVM) applications. By using the band-to-band tunneling-induced hot-electron (BTBTIHE) injection scheme, both high-speed and low power programming can be achieved at the same time. Due to the use of a select transistor, the 'erased states' can be set to below 0 V, so that the periphery HV circuit (high-voltage generating and management) and read-out circuit can be simplified. Good memory cell performance has also been achieved, including a fast program/erase (P/E) speed (a 1.15 V memory window under 10 μs program pulse), an excellent data retention (only 20% charge loss for 10 years). The data shows that the device has strong potential for future embedded NVM applications. (semiconductor devices)

  17. Effects of information processing speed on learning, memory, and executive functioning in people living with HIV/AIDS.

    Science.gov (United States)

    Fellows, Robert P; Byrd, Desiree A; Morgello, Susan

    2014-01-01

    It is unclear whether or to what degree literacy, aging, and other neurologic abnormalities relate to cognitive deficits among people living with HIV/AIDS in the combined antiretroviral therapy (CART) era. The primary aim of this study was to simultaneously examine the association of age, HIV-associated motor abnormalities, major depressive disorder, and reading level with information processing speed, learning, memory, and executive functions, and to determine whether processing speed mediated any of the relationships between cognitive and noncognitive variables. Participants were 186 racially and ethnically diverse men and women living with HIV/AIDS who underwent comprehensive neurological, neuropsychological, and medical evaluations. Structural equation modeling was utilized to assess the extent to which information processing speed mediated the relationship between age, motor abnormalities, major depressive disorder, and reading level with other cognitive abilities. Age, motor dysfunction, reading level, and current major depressive disorder were all significantly associated with information processing speed. Information processing speed fully mediated the effects of age on learning, memory, and executive functioning and partially mediated the effect of major depressive disorder on learning and memory. The effect of motor dysfunction on learning and memory was fully mediated by processing speed. These findings provide support for information processing speed as a primary deficit, which may account, at least in part, for many of the other cognitive abnormalities recognized in complex HIV/AIDS populations. The association of age and information processing speed may account for HIV/aging synergies in the generation of CART-era cognitive abnormalities.

  18. Speed limiter integrated fatigue analyzer (SLIFA) for speed and fatigue control on diesel engine truck and bus

    Science.gov (United States)

    Wahyudi, Haris; Pranoto, Hadi; Leman, A. M.; Sebayang, Darwin; Baba, I.

    2017-09-01

    Every second, the number of road traffic deaths is increased globally with millions more sustaining severe injuries and living with long-term adverse health consequences. Jakarta alone in year 2015 had recorded 556 people died due to road accidents, approximately reached 6.231 road accident cases. The identified major contributory factors of such unfortunate events are both driver fatigue and over speeding habit especially related to the driving of truck and bus. This paper presents the idea on how to control the electronic system from input fuel system of injection pump and the combustion chamber engine will control the valve solenoid in injection pump which can lock and fuel will stop for moment, and speed limit can be success, by using sensor heart rate we can input reduce speed limit when fatigue detection driver. Integration process this tool can be relevant when Speed Limiter Integrated Fatigue Analyser (SLIFA) trial in the diesel engine for truck and bus, the result of this research Speed Limiter Integrated Fatigue Analyser (SLIFA) able to control speed of diesel engine for truck and bus almost 30km/h, 60km/h, and until 70 km/h. The installation of the sensor heart rate as the input speed limit SLIFA would work when the driver is detected to be in the fatigue condition. We make Speed Limiter Integrated Fatigue Analyser (SLIFA) for control and monitoring system for diesel engine in truck and bus. Speed Limiter Integrated Fatigue Analyser (SLIFA) system can save the historical of the speed record, fatigue, rpm, and body temperature of the driver.

  19. Individual differences and predictors of forgetting in old age: the role of processing speed and working memory.

    Science.gov (United States)

    Zimprich, Daniel; Kurtz, Tanja

    2013-01-01

    The goal of the present study was to examine whether individual differences in basic cognitive abilities, processing speed, and working memory, are reliable predictors of individual differences in forgetting rates in old age. The sample for the present study comprised 364 participants aged between 65 and 80 years from the Zurich Longitudinal Study on Cognitive Aging. The impact of basic cognitive abilities on forgetting was analyzed by modeling working memory and processing speed as predictors of the amount of forgetting of 27 words, which had been learned across five trials. Forgetting was measured over a 30-minute interval by using parceling and a latent change model, in which the latent difference between recall performance after five learning trials and a delayed recall was modeled. Results implied reliable individual differences in forgetting. These individual differences in forgetting were strongly related to processing speed and working memory. Moreover, an age-related effect, which was significantly stronger for forgetting than for learning, emerged even after controlling effects of processing speed and working memory.

  20. The role of sleep spindles and slow-wave activity in integrating new information in semantic memory.

    Science.gov (United States)

    Tamminen, Jakke; Lambon Ralph, Matthew A; Lewis, Penelope A

    2013-09-25

    Assimilating new information into existing knowledge is a fundamental part of consolidating new memories and allowing them to guide behavior optimally and is vital for conceptual knowledge (semantic memory), which is accrued over many years. Sleep is important for memory consolidation, but its impact upon assimilation of new information into existing semantic knowledge has received minimal examination. Here, we examined the integration process by training human participants on novel words with meanings that fell into densely or sparsely populated areas of semantic memory in two separate sessions. Overnight sleep was polysomnographically monitored after each training session and recall was tested immediately after training, after a night of sleep, and 1 week later. Results showed that participants learned equal numbers of both word types, thus equating amount and difficulty of learning across the conditions. Measures of word recognition speed showed a disadvantage for novel words in dense semantic neighborhoods, presumably due to interference from many semantically related concepts, suggesting that the novel words had been successfully integrated into semantic memory. Most critically, semantic neighborhood density influenced sleep architecture, with participants exhibiting more sleep spindles and slow-wave activity after learning the sparse compared with the dense neighborhood words. These findings provide the first evidence that spindles and slow-wave activity mediate integration of new information into existing semantic networks.

  1. Processing speed and working memory training in multiple sclerosis: a double-blind randomized controlled pilot study.

    Science.gov (United States)

    Hancock, Laura M; Bruce, Jared M; Bruce, Amanda S; Lynch, Sharon G

    2015-01-01

    Between 40-65% of multiple sclerosis patients experience cognitive deficits, with processing speed and working memory most commonly affected. This pilot study investigated the effect of computerized cognitive training focused on improving processing speed and working memory. Participants were randomized into either an active or a sham training group and engaged in six weeks of training. The active training group improved on a measure of processing speed and attention following cognitive training, and data trended toward significance on measures of other domains. Results provide preliminary evidence that cognitive training with multiple sclerosis patients may produce moderate improvement in select areas of cognitive functioning.

  2. Design of two-terminal PNPN diode for high-density and high-speed memory applications

    International Nuclear Information System (INIS)

    Tong Xiaodong; Wu Hao; Liang Qingqing; Zhong Huicai; Zhu Huilong; Zhao Chao; Ye Tianchun

    2014-01-01

    A vertical two-terminal silicon PNPN diode is presented for use in a high-density memory cell. The device design for high-speed operations was studied with experiments and calibrated simulations, which proves that the proposed memory cell can be operated at nanosecond range. The static and dynamic power dissipations were also studied, which indicated the availability of the proposed memory cell for VLSI applications. Moreover, the memory cell is compatible with CMOS process, has little impact from process variation, and has good reliability. (semiconductor devices)

  3. Exploring the Relationship Between Working Memory, Compressor Speed, and Background Noise Characteristics

    OpenAIRE

    Ohlenforst, Barbara; Souza, Pamela E.; MacDonald, Ewen

    2016-01-01

    Objectives: Previous work has shown that individuals with lower working memory demonstrate reduced intelligibility for speech processed with fast-acting compression amplification. This relationship has been noted in fluctuating noise, but the extent of noise modulation that must be present to elicit such an effect is unknown. This study expanded on previous study by exploring the effect of background noise modulations in relation to compression speed and working memory ability, using a range ...

  4. Working Memory in Children With Neurocognitive Effects From Sickle Cell Disease: Contributions of the Central Executive and Processing Speed

    Science.gov (United States)

    Smith, Kelsey E.; Schatz, Jeffrey

    2017-01-01

    Children with sickle cell disease (SCD) are at risk for working memory deficits due to multiple disease processes. We assessed working memory abilities and related functions in 32 school-age children with SCD and 85 matched comparison children using Baddeley’s working memory model as a framework. Children with SCD performed worse than controls for working memory, central executive function, and processing/rehearsal speed. Central executive function was found to mediate the relationship between SCD status and working memory, but processing speed did not. Cognitive remediation strategies that focus on central executive processes may be important for remediating working memory deficits in SCD. PMID:27759435

  5. Interrelationships between Working Memory, Processing Speed, and Language Development in the Age Range 2-4 Years

    Science.gov (United States)

    Newbury, Jayne; Klee, Thomas; Stokes, Stephanie F.; Moran, Catherine

    2016-01-01

    Purpose: This study explored associations between working memory and language in children aged 2-4 years. Method: Seventy-seven children aged 24-30 months were assessed on tests measuring language, visual cognition, verbal working memory (VWM), phonological short-term memory (PSTM), and processing speed. A standardized test of receptive and…

  6. Aging well: Processing speed inhibition and working memory related to balance and aerobic endurance.

    Science.gov (United States)

    Zettel-Watson, Laura; Suen, Meagan; Wehbe, Lara; Rutledge, Dana N; Cherry, Barbara J

    2017-01-01

    The present study explored whether certain physical performance measures could be linked to specific cognitive domains in healthy older adults. A total of 50 adults (mean age 69.5 years, SD 8.1) were evaluated on physical performance using measures of balance (Fullerton Advanced Balance Scale), functional mobility (8-ft up-and-go), lower body strength (30-s chair stand), gait (30-ft walk velocity) and aerobic endurance (6-min walk). Cognitive measures included Stroop Color-Word Test, Digit Span Backward, Trail Making Tests, Everyday Problems Test, Digit Symbol Substitution and a Brown-Peterson test. Principal component analyses reduced cognition to domains of processing speed, inhibition and working memory. Hierarchical regression analyses were carried out with age and each physical measure as potential predictors of the three cognitive domains. The balance scale and 6-min walk were specifically associated with processing speed, inhibition and working memory. Better dynamic balance and aerobic endurance predicted enhanced processing speed, inhibition and working memory in older adults, with these last two domains considered components of executive function. Geriatr Gerontol Int 2017; 17: 108-115. © 2015 Japan Geriatrics Society.

  7. Iconic memory and parietofrontal network: fMRI study using temporal integration.

    Science.gov (United States)

    Saneyoshi, Ayako; Niimi, Ryosuke; Suetsugu, Tomoko; Kaminaga, Tatsuro; Yokosawa, Kazuhiko

    2011-08-03

    We investigated the neural basis of iconic memory using functional magnetic resonance imaging. The parietofrontal network of selective attention is reportedly relevant to readout from iconic memory. We adopted a temporal integration task that requires iconic memory but not selective attention. The results showed that the task activated the parietofrontal network, confirming that the network is involved in readout from iconic memory. We further tested a condition in which temporal integration was performed by visual short-term memory but not by iconic memory. However, no brain region revealed higher activation for temporal integration by iconic memory than for temporal integration by visual short-term memory. This result suggested that there is no localized brain region specialized for iconic memory per se.

  8. An analog memory integrated circuit for waveform sampling up to 900 MHz

    International Nuclear Information System (INIS)

    Haller, G.M.; Wooley, B.A.

    1994-01-01

    The potential of switched-capacitor technology for acquiring analog signals in high-energy physics (HEP) applications has been demonstrated in a number of analog memory designs. The design and implementation of a switched-capacitor memory suitable for capturing high-speed analog waveforms is described. Highlights of the presented circuit are a 900 MHz sampling frequency (generated on chip), input signal independent cell pedestal and sampling instances, and cell gains that are insensitive to component sizes. A two-channel version of the memory with 32 cells for each channel has been integrate in a 2-μm complementary metal oxide semiconductor (CMOS) process with polysilicon-to-polysilicon capacitors. The measured rms cell response variation in a channel after cell pedestal subtraction is less than 0.3 mV across the full input signal range. The cell-to-cell gain matching is better than 0.01% rms, and the nonlinearity is less than 0.03% for a 2.5-V input range. The dynamic range of the memory exceeds 13 bits, and the peak signal-to-(noise + distortion) ratio for a 21.4 MHz sine wave sampled at 900 MHz is 59 dB

  9. Scandium doping brings speed improvement in Sb2Te alloy for phase change random access memory application.

    Science.gov (United States)

    Chen, Xin; Zheng, Yonghui; Zhu, Min; Ren, Kun; Wang, Yong; Li, Tao; Liu, Guangyu; Guo, Tianqi; Wu, Lei; Liu, Xianqiang; Cheng, Yan; Song, Zhitang

    2018-05-01

    Phase change random access memory (PCRAM) has gained much attention as a candidate for nonvolatile memory application. To develop PCRAM materials with better properties, especially to draw closer to dynamic random access memory (DRAM), the key challenge is to research new high-speed phase change materials. Here, Scandium (Sc) has been found it is helpful to get high-speed and good stability after doping in Sb 2 Te alloy. Sc 0.1 Sb 2 Te based PCRAM cell can achieve reversible switching by applying even 6 ns voltage pulse experimentally. And, Sc doping not only promotes amorphous stability but also improves the endurance ability comparing with pure Sb 2 Te alloy. Moreover, according to DFT calculations, strong Sc-Te bonds lead to the rigidity of Sc centered octahedrons, which may act as crystallization precursors in recrystallization process to boost the set speed.

  10. FPGA Flash Memory High Speed Data Acquisition

    Science.gov (United States)

    Gonzalez, April

    2013-01-01

    The purpose of this research is to design and implement a VHDL ONFI Controller module for a Modular Instrumentation System. The goal of the Modular Instrumentation System will be to have a low power device that will store data and send the data at a low speed to a processor. The benefit of such a system will give an advantage over other purchased binary IP due to the capability of allowing NASA to re-use and modify the memory controller module. To accomplish the performance criteria of a low power system, an in house auxiliary board (Flash/ADC board), FPGA development kit, debug board, and modular instrumentation board will be jointly used for the data acquisition. The Flash/ADC board contains four, 1 MSPS, input channel signals and an Open NAND Flash memory module with an analog to digital converter. The ADC, data bits, and control line signals from the board are sent to an Microsemi/Actel FPGA development kit for VHDL programming of the flash memory WRITE, READ, READ STATUS, ERASE, and RESET operation waveforms using Libero software. The debug board will be used for verification of the analog input signal and be able to communicate via serial interface with the module instrumentation. The scope of the new controller module was to find and develop an ONFI controller with the debug board layout designed and completed for manufacture. Successful flash memory operation waveform test routines were completed, simulated, and tested to work on the FPGA board. Through connection of the Flash/ADC board with the FPGA, it was found that the device specifications were not being meet with Vdd reaching half of its voltage. Further testing showed that it was the manufactured Flash/ADC board that contained a misalignment with the ONFI memory module traces. The errors proved to be too great to fix in the time limit set for the project.

  11. Memory integration in amnesia: prior knowledge supports verbal short-term memory.

    Science.gov (United States)

    Race, Elizabeth; Palombo, Daniela J; Cadden, Margaret; Burke, Keely; Verfaellie, Mieke

    2015-04-01

    Short-term memory (STM) and long-term memory (LTM) have traditionally been considered cognitively distinct. However, it is known that STM can improve when to-be-remembered information appears in contexts that make contact with prior knowledge, suggesting a more interactive relationship between STM and LTM. The current study investigated whether the ability to leverage LTM in support of STM critically depends on the integrity of the hippocampus. Specifically, we investigated whether the hippocampus differentially supports between-domain versus within-domain STM-LTM integration given prior evidence that the representational domain of the elements being integrated in memory is a critical determinant of whether memory performance depends on the hippocampus. In Experiment 1, we investigated hippocampal contributions to within-domain STM-LTM integration by testing whether immediate verbal recall of words improves in MTL amnesic patients when words are presented in familiar verbal contexts (meaningful sentences) compared to unfamiliar verbal contexts (random word lists). Patients demonstrated a robust sentence superiority effect, whereby verbal STM performance improved in familiar compared to unfamiliar verbal contexts, and the magnitude of this effect did not differ from that in controls. In Experiment 2, we investigated hippocampal contributions to between-domain STM-LTM integration by testing whether immediate verbal recall of digits improves in MTL amnesic patients when digits are presented in a familiar visuospatial context (a typical keypad layout) compared to an unfamiliar visuospatial context (a random keypad layout). Immediate verbal recall improved in both patients and controls when digits were presented in the familiar compared to the unfamiliar keypad array, indicating a preserved ability to integrate activated verbal information with stored visuospatial knowledge. Together, these results demonstrate that immediate verbal recall in amnesia can benefit from two

  12. Speed matters: relationship between speed of eye movements and modification of aversive autobiographical memories

    Directory of Open Access Journals (Sweden)

    Suzanne Chantal Van Veen

    2015-04-01

    Full Text Available Eye Movement Desensitization and Reprocessing (EMDR is an efficacious treatment for posttraumatic stress disorder. In EMDR, patients recall a distressing memory and simultaneously make eye movements (EM. Both tasks are considered to require limited working memory (WM resources. Because this leaves fewer resources available for memory retrieval, the memory should become less vivid and less emotional during future recall. Currently, EMDR is a standardized treatment and patients typically receive the same dual task manipulation of 1 EM cycle per second (1 Hz. From a WM perspective, the WM taxation of the dual task might be titrated to the WM taxation of the memory image. We hypothesized that highly vivid images are more affected by high WM taxation and less vivid images are more affected by low WM taxation. In study 1, 34 participants performed a reaction time task, and rated image vividness and difficulty of retrieving an image, during five speeds of EM and no EM. Both a high WM taxing frequency (fast EM; 1.2 Hz and a low WM taxing EM frequency (slow EM; 0.8 Hz were selected. In study 2, 72 participants recalled three highly vivid aversive autobiographical memory images (n=36 or three less vivid images (n=36 under each of three conditions: recall + fast EM, recall + slow EM or recall only. Multi-level modeling revealed a consistent pattern for all outcome measures: recall + fast EM led to less emotional, less vivid and more difficult to retrieve images than recall + slow EM and recall only, and the effects of recall + slow EM felt consistently in between the effects of recall + fast EM and recall only, but only differed significantly from recall + fast EM. Crucially, image vividness did not interact with condition on the decrease of emotionality over time, which was inconsistent with the prediction. Implications for understanding the mechanisms of action in memory modification and directions for future research are discussed.

  13. Speed Matters: Relationship between Speed of Eye Movements and Modification of Aversive Autobiographical Memories.

    Science.gov (United States)

    van Veen, Suzanne Chantal; van Schie, Kevin; Wijngaards-de Meij, Leoniek D N V; Littel, Marianne; Engelhard, Iris M; van den Hout, Marcel A

    2015-01-01

    Eye movement desensitization and reprocessing (EMDR) is an efficacious treatment for post-traumatic stress disorder. In EMDR, patients recall a distressing memory and simultaneously make eye movements (EM). Both tasks are considered to require limited working memory (WM) resources. Because this leaves fewer resources available for memory retrieval, the memory should become less vivid and less emotional during future recall. In EMDR analogue studies, a standardized procedure has been used, in which participants receive the same dual task manipulation of 1 EM cycle per second (1 Hz). From a WM perspective, the WM taxation of the dual task might be titrated to the WM taxation of the memory image. We hypothesized that highly vivid images are more affected by high WM taxation and less vivid images are more affected by low WM taxation. In study 1, 34 participants performed a reaction time task, and rated image vividness, and difficulty of retrieving an image, during five speeds of EM and no EM. Both a high WM taxing frequency (fast EM; 1.2 Hz) and a low WM taxing frequency (slow EM; 0.8 Hz) were selected. In study 2, 72 participants recalled three highly vivid aversive autobiographical memory images (n = 36) or three less vivid images (n = 36) under each of three conditions: recall + fast EM, recall + slow EM, or recall only. Multi-level modeling revealed a consistent pattern for all outcome measures: recall + fast EM led to less emotional, less vivid and more difficult to retrieve images than recall + slow EM and recall only, and the effects of recall + slow EM felt consistently in between the effects of recall + fast EM and recall only, but only differed significantly from recall + fast EM. Crucially, image vividness did not interact with condition on the decrease of emotionality over time, which was inconsistent with the prediction. Implications for understanding the mechanisms of action in memory modification and directions for

  14. Switching speed in resistive random access memories (RRAMS) based on plastic semiconductor

    NARCIS (Netherlands)

    Rocha, P.R.F.; Gomes, H.L.; Kiazadeh, A.; Chen, Qian; Leeuw, de D.M.; Meskers, S.C.J.

    2011-01-01

    This work addresses non-volatile memories based on metal-oxide polymer diodes. We make a thorough investigation into the static and dynamic behavior. Current-voltage characteristics with varying voltage ramp speed demonstrate that the internal capacitive double-layer structure inhibits the switching

  15. Integrated computer network high-speed parallel interface

    International Nuclear Information System (INIS)

    Frank, R.B.

    1979-03-01

    As the number and variety of computers within Los Alamos Scientific Laboratory's Central Computer Facility grows, the need for a standard, high-speed intercomputer interface has become more apparent. This report details the development of a High-Speed Parallel Interface from conceptual through implementation stages to meet current and future needs for large-scle network computing within the Integrated Computer Network. 4 figures

  16. Visual function and cognitive speed of processing mediate age-related decline in memory span and fluid intelligence.

    Science.gov (United States)

    Clay, Olivio J; Edwards, Jerri D; Ross, Lesley A; Okonkwo, Ozioma; Wadley, Virginia G; Roth, David L; Ball, Karlene K

    2009-06-01

    To evaluate the relationship between sensory and cognitive decline, particularly with respect to speed of processing, memory span, and fluid intelligence. In addition, the common cause, sensory degradation and speed of processing hypotheses were compared. Structural equation modeling was used to investigate the complex relationships among age-related decrements in these areas. Cross-sectional data analyses included 842 older adult participants (M = 73 years). After accounting for age-related declines in vision and processing speed, the direct associations between age and memory span and between age and fluid intelligence were nonsignificant. Older age was associated with visual decline, which was associated with slower speed of processing, which in turn was associated with greater cognitive deficits. The findings support both the sensory degradation and speed of processing accounts of age-related, cognitive decline. Furthermore, the findings highlight positive aspects of normal cognitive aging in that older age may not be associated with a loss of fluid intelligence if visual sensory functioning and processing speed can be maintained.

  17. Understanding Organizational Memory from the Integrated Management Systems (ERP)

    OpenAIRE

    Gilberto Perez; Isabel Ramos

    2013-01-01

    With this research, in the form of a theoretical essay addressing the theme of Organizational Memory and Integrated Management Systems (ERP), we tried to present some evidence of how this type of system can contribute to the consolidation of certain features of Organizational Memory. From a theoretical review of the concepts of Human Memory, extending to the Organizational Memory and Information Systems, with emphasis on Integrated Management Systems (ERP) we tried to draw a parallel between ...

  18. Audiovisual integration facilitates monkeys' short-term memory.

    Science.gov (United States)

    Bigelow, James; Poremba, Amy

    2016-07-01

    Many human behaviors are known to benefit from audiovisual integration, including language and communication, recognizing individuals, social decision making, and memory. Exceptionally little is known about the contributions of audiovisual integration to behavior in other primates. The current experiment investigated whether short-term memory in nonhuman primates is facilitated by the audiovisual presentation format. Three macaque monkeys that had previously learned an auditory delayed matching-to-sample (DMS) task were trained to perform a similar visual task, after which they were tested with a concurrent audiovisual DMS task with equal proportions of auditory, visual, and audiovisual trials. Parallel to outcomes in human studies, accuracy was higher and response times were faster on audiovisual trials than either unisensory trial type. Unexpectedly, two subjects exhibited superior unimodal performance on auditory trials, a finding that contrasts with previous studies, but likely reflects their training history. Our results provide the first demonstration of a bimodal memory advantage in nonhuman primates, lending further validation to their use as a model for understanding audiovisual integration and memory processing in humans.

  19. Motor Integrated Permanent Magnet Gear with a Wide Torque-Speed Range

    DEFF Research Database (Denmark)

    Rasmussen, Peter Omand; Matzen, Torben N.; Jahns, T. M.

    2009-01-01

    This paper present a new motor integrated permanent magnet gear with a wide torque-speed range. In the paper a 35 kW permanent magnet motor with a base speed of 4000 rpm and a top speed of 14000 rpm is integrated into a permanent magnetic gear with a gearing ratio of 8.67. The design process...

  20. Effects of Children's Working Memory Capacity and Processing Speed on Their Sentence Imitation Performance

    Science.gov (United States)

    Poll, Gerard H.; Miller, Carol A.; Mainela-Arnold, Elina; Adams, Katharine Donnelly; Misra, Maya; Park, Ji Sook

    2013-01-01

    Background: More limited working memory capacity and slower processing for language and cognitive tasks are characteristics of many children with language difficulties. Individual differences in processing speed have not

  1. Visual encoding impairment in patients with schizophrenia: contribution of reduced working memory span, decreased processing speed, and affective symptoms.

    Science.gov (United States)

    Brébion, Gildas; Stephan-Otto, Christian; Huerta-Ramos, Elena; Ochoa, Susana; Usall, Judith; Abellán-Vega, Helena; Roca, Mercedes; Haro, Josep Maria

    2015-01-01

    Previous research has revealed the contribution of decreased processing speed and reduced working memory span in verbal and visual memory impairment in patients with schizophrenia. The role of affective symptoms in verbal memory has also emerged in a few studies. The authors designed a picture recognition task to investigate the impact of these factors on visual encoding. Two types of pictures (black and white vs. colored) were presented under 2 different conditions of context encoding (either displayed at a specific location or in association with another visual stimulus). It was assumed that the process of encoding associated pictures was more effortful than that of encoding pictures that were presented alone. Working memory span and processing speed were assessed. In the patient group, working memory span was significantly associated with the recognition of the associated pictures but not significantly with that of the other pictures. Controlling for processing speed eliminated the patients' deficit in the recognition of the colored pictures and greatly reduced their deficit in the recognition of the black-and-white pictures. The recognition of the black-and-white pictures was inversely related to anxiety in men and to depression in women. Working memory span constrains the effortful visual encoding processes in patients, whereas processing speed decrement accounts for most of their visual encoding deficit. Affective symptoms also have an impact on visual encoding, albeit differently in men and women. PsycINFO Database Record (c) 2015 APA, all rights reserved.

  2. The future of memory

    Science.gov (United States)

    Marinella, M.

    In the not too distant future, the traditional memory and storage hierarchy of may be replaced by a single Storage Class Memory (SCM) device integrated on or near the logic processor. Traditional magnetic hard drives, NAND flash, DRAM, and higher level caches (L2 and up) will be replaced with a single high performance memory device. The Storage Class Memory paradigm will require high speed (read/write), excellent endurance (> 1012), nonvolatility (retention > 10 years), and low switching energies (memory (PCM). All of these devices show potential well beyond that of current flash technologies and research efforts are underway to improve the endurance, write speeds, and scalabilities to be on-par with DRAM. This progress has interesting implications for space electronics: each of these emerging device technologies show excellent resistance to the types of radiation typically found in space applications. Commercially developed, high density storage class memory-based systems may include a memory that is physically radiation hard, and suitable for space applications without major shielding efforts. This paper reviews the Storage Class Memory concept, emerging memory devices, and possible applicability to radiation hardened electronics for space.

  3. The relative contributions of processing speed and cognitive load to working memory accuracy in multiple sclerosis.

    Science.gov (United States)

    Leavitt, Victoria M; Lengenfelder, Jean; Moore, Nancy B; Chiaravalloti, Nancy D; DeLuca, John

    2011-06-01

    Cognitive symptoms of multiple sclerosis (MS) include processing-speed deficits and working memory impairment. The precise manner in which these deficits interact in individuals with MS remains to be explicated. We hypothesized that providing more time on a complex working memory task would result in performance benefits for individuals with MS relative to healthy controls. Fifty-three individuals with clinically definite MS and 36 matched healthy controls performed a computerized task that systematically manipulated cognitive load. The interval between stimuli presentations was manipulated to provide increasing processing time. The results confirmed that individuals with MS who have processing-speed deficits significantly improve in performance accuracy when given additional time to process the information in working memory. Implications of these findings for developing appropriate cognitive rehabilitation interventions are discussed.

  4. The role of visual processing speed in reading speed development.

    Science.gov (United States)

    Lobier, Muriel; Dubois, Matthieu; Valdois, Sylviane

    2013-01-01

    A steady increase in reading speed is the hallmark of normal reading acquisition. However, little is known of the influence of visual attention capacity on children's reading speed. The number of distinct visual elements that can be simultaneously processed at a glance (dubbed the visual attention span), predicts single-word reading speed in both normal reading and dyslexic children. However, the exact processes that account for the relationship between the visual attention span and reading speed remain to be specified. We used the Theory of Visual Attention to estimate visual processing speed and visual short-term memory capacity from a multiple letter report task in eight and nine year old children. The visual attention span and text reading speed were also assessed. Results showed that visual processing speed and visual short term memory capacity predicted the visual attention span. Furthermore, visual processing speed predicted reading speed, but visual short term memory capacity did not. Finally, the visual attention span mediated the effect of visual processing speed on reading speed. These results suggest that visual attention capacity could constrain reading speed in elementary school children.

  5. The role of visual processing speed in reading speed development.

    Directory of Open Access Journals (Sweden)

    Muriel Lobier

    Full Text Available A steady increase in reading speed is the hallmark of normal reading acquisition. However, little is known of the influence of visual attention capacity on children's reading speed. The number of distinct visual elements that can be simultaneously processed at a glance (dubbed the visual attention span, predicts single-word reading speed in both normal reading and dyslexic children. However, the exact processes that account for the relationship between the visual attention span and reading speed remain to be specified. We used the Theory of Visual Attention to estimate visual processing speed and visual short-term memory capacity from a multiple letter report task in eight and nine year old children. The visual attention span and text reading speed were also assessed. Results showed that visual processing speed and visual short term memory capacity predicted the visual attention span. Furthermore, visual processing speed predicted reading speed, but visual short term memory capacity did not. Finally, the visual attention span mediated the effect of visual processing speed on reading speed. These results suggest that visual attention capacity could constrain reading speed in elementary school children.

  6. Working memory span in mild cognitive impairment. Influence of processing speed and cognitive reserve.

    Science.gov (United States)

    Facal, David; Juncos-Rabadán, Onésimo; Pereiro, Arturo X; Lojo-Seoane, Cristina

    2014-04-01

    Mild cognitive impairment (MCI) often includes episodic memory impairment, but can also involve other types of cognitive decline. Although previous studies have shown poorer performance of MCI patients in working memory (WM) span tasks, different MCI subgroups were not studied. In the present exploratory study, 145 participants underwent extensive cognitive evaluation, which included three different WM span tasks, and were classified into the following groups: multiple-domain amnestic MCI (mda-MCI), single-domain amnestic MCI (sda-MCI), and controls. General linear model was conducted by considering the WM span tasks as the within-subject factor; the group (mda-MCI, sda-MCI, and controls) as the inter-subject factor; and processing speed, vocabulary and age as covariates. Multiple linear regression models were also used to test the influence of processing speed, vocabulary, and other cognitive reserve (CR) proxies. Results indicate different levels of impairment of WM, with more severe impairment in mda-MCI patients. The differences were still present when processing resources and CR were controlled. Between-group differences can be understood as a manifestation of the greater severity and widespread memory impairment in mda-MCI patients and may contribute to a better understanding of continuum from normal controls to mda-MCI patients. Processing speed and CR have a limited influence on WM scores, reducing but not removing differences between groups.

  7. Familiarity speeds up visual short-term memory consolidation.

    Science.gov (United States)

    Xie, Weizhen; Zhang, Weiwei

    2017-06-01

    Existing long-term memory (LTM) can boost the number of retained representations over a short delay in visual short-term memory (VSTM). However, it is unclear whether and how prior LTM affects the initial process of transforming fragile sensory inputs into durable VSTM representations (i.e., VSTM consolidation). The consolidation speed hypothesis predicts faster consolidation for familiar relative to unfamiliar stimuli. Alternatively, the perceptual boost hypothesis predicts that the advantage in perceptual processing of familiar stimuli should add a constant boost for familiar stimuli during VSTM consolidation. To test these competing hypotheses, the present study examined how the large variance in participants' prior multimedia experience with Pokémon affected VSTM for Pokémon. In Experiment 1, the amount of time allowed for VSTM consolidation was manipulated by presenting consolidation masks at different intervals after the onset of to-be-remembered Pokémon characters. First-generation Pokémon characters that participants were more familiar with were consolidated faster into VSTM as compared with recent-generation Pokémon characters that participants were less familiar with. These effects were absent in participants who were unfamiliar with both generations of Pokémon. Although familiarity also increased the number of retained Pokémon characters when consolidation was uninterrupted but still incomplete due to insufficient encoding time in Experiment 1, this capacity effect was absent in Experiment 2 when consolidation was allowed to complete with sufficient encoding time. Together, these results support the consolidation speed hypothesis over the perceptual boost hypothesis and highlight the importance of assessing experimental effects on both processing and representation aspects of VSTM. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  8. Verbal fluency in male and female schizophrenia patients: Different patterns of association with processing speed, working memory span, and clinical symptoms.

    Science.gov (United States)

    Brébion, Gildas; Stephan-Otto, Christian; Ochoa, Susana; Nieto, Lourdes; Contel, Montserrat; Usall, Judith

    2018-01-01

    Decreased processing speed in schizophrenia patients has been identified as a major impairment factor in various neuropsychological domains. Working memory span has been found to be involved in several deep or effortful cognitive processes. We investigated the impact that these 2 cognitive functions may have on phonological and semantic fluency in schizophrenia patients and healthy participants. Fifty-five patients with schizophrenia and 60 healthy participants were administered a neuropsychological battery including phonological and semantic fluency, working memory, and cognitive and motor speed. Regression analyses revealed that motor speed was related to phonological fluency in female patients, whereas cognitive speed was related to semantic fluency in male patients. In addition, working memory span was related to verbal fluency in women from both the patient and the healthy control groups. Decreased processing speed, but not decreased working memory span, accounted for the verbal fluency deficit in patients. Verbal fluency was inversely related to attention deficit in female patients and to negative symptoms in male patients. Decreased processing speed may be the main factor in verbal fluency impairment of patients. Further, the cognitive and clinical predictors of verbal fluency efficiency are different in men and women. (PsycINFO Database Record (c) 2018 APA, all rights reserved).

  9. Scandium doped Ge2Sb2Te5 for high-speed and low-power-consumption phase change memory

    Science.gov (United States)

    Wang, Yong; Zheng, Yonghui; Liu, Guangyu; Li, Tao; Guo, Tianqi; Cheng, Yan; Lv, Shilong; Song, Sannian; Ren, Kun; Song, Zhitang

    2018-03-01

    To bridge the gap of access time between memories and storage systems, the concept of storage class memory has been put forward based on emerging nonvolatile memory technologies. For all the nonvolatile memory candidates, the unpleasant tradeoff between operation speed and retention seems to be inevitable. To promote both the write speed and the retention of phase change memory (PCM), Sc doped Ge2Sb2Te5 (SGST) has been proposed as the storage medium. Octahedral Sc-Te motifs, acting as crystallization precursors to shorten the nucleation incubation period, are the possible reason for the high write speed of 6 ns in PCM cells, five-times faster than that of Ge2Sb2Te5 (GST) cells. Meanwhile, an enhanced 10-year data retention of 119 °C has been achieved. Benefiting from both the increased crystalline resistance and the inhibited formation of the hexagonal phase, the SGST cell has a 77% reduction in power consumption compared to the GST cell. Adhesion of the SGST/SiO2 interface has been strengthened, attributed to the reduced stress by forming smaller grains during crystallization, guaranteeing the reliability of the device. These improvements have made the SGST material a promising candidate for PCM application.

  10. The contribution to immediate serial recall of rehearsal, search speed, access to lexical memory, and phonological coding: an investigation at the construct level.

    Science.gov (United States)

    Tehan, Gerald; Fogarty, Gerard; Ryan, Katherine

    2004-07-01

    Rehearsal speed has traditionally been seen to be the prime determinant of individual differences in memory span. Recent studies, in the main using young children as the participant population, have suggested other contributors to span performance. In the present research, we used structural equation modeling to explore, at the construct level, individual differences in immediate serial recall with respect to rehearsal, search, phonological coding, and speed of access to lexical memory. We replicated standard short-term phenomena; we showed that the variables that influence children's span performance influence adult performance in the same way; and we showed that speed of access to lexical memory and facility with phonological codes appear to be more potent sources of individual differences in immediate memory than is either rehearsal speed or search factors.

  11. Speed and capacity of working memory and executive function in schizophrenia compared to unipolar depression

    Directory of Open Access Journals (Sweden)

    Wolfgang Trapp

    2017-12-01

    Full Text Available Schizophrenia is associated with deficits in working memory (WM and executive functioning (EF that are present from prodrome to chronic stages of the disease and are related to social and occupational functioning. Recent empirical findings suggest that schizophrenia patients might suffer from a specific speed deficit regarding WM operations that also affects EF. To test this hypothesis, executive functioning (EF and working memory (WM performance of 20 schizophrenia (SC patients, 20 patients suffering from Major Depressive Disorder (MDD and 40 healthy control (HC subjects were compared. While schizophrenia patients performed worse in the measure of EF, no difference between the SC and the MDD patients was found regarding WM capacity. However, the SC group was shown to have an impaired speed in encoding, retrieval and manipulation of WM contents compared to the HC group whereas the MDD group showed no such deficit. Furthermore, while in the MDD group only WM capacity was linked to EF performance, in the SC group EF was determined by both WM capacity and WM speed. Hence, increasing the speed of WM operations might be a fruitful target for future therapeutic interventions, and assessing not only the capacity but also the speed of WM might be helpful in identifying candidates for endophenotypic cognitive markers of SC.

  12. Holographic memory for high-density data storage and high-speed pattern recognition

    Science.gov (United States)

    Gu, Claire

    2002-09-01

    As computers and the internet become faster and faster, more and more information is transmitted, received, and stored everyday. The demand for high density and fast access time data storage is pushing scientists and engineers to explore all possible approaches including magnetic, mechanical, optical, etc. Optical data storage has already demonstrated its potential in the competition against other storage technologies. CD and DVD are showing their advantages in the computer and entertainment market. What motivated the use of optical waves to store and access information is the same as the motivation for optical communication. Light or an optical wave has an enormous capacity (or bandwidth) to carry information because of its short wavelength and parallel nature. In optical storage, there are two types of mechanism, namely localized and holographic memories. What gives the holographic data storage an advantage over localized bit storage is the natural ability to read the stored information in parallel, therefore, meeting the demand for fast access. Another unique feature that makes the holographic data storage attractive is that it is capable of performing associative recall at an incomparable speed. Therefore, volume holographic memory is particularly suitable for high-density data storage and high-speed pattern recognition. In this paper, we review previous works on volume holographic memories and discuss the challenges for this technology to become a reality.

  13. High-bandwidth memory interface

    CERN Document Server

    Kim, Chulwoo; Song, Junyoung

    2014-01-01

    This book provides an overview of recent advances in memory interface design at both the architecture and circuit levels. Coverage includes signal integrity and testing, TSV interface, high-speed serial interface including equalization, ODT, pre-emphasis, wide I/O interface including crosstalk, skew cancellation, and clock generation and distribution. Trends for further bandwidth enhancement are also covered.   • Enables readers with minimal background in memory design to understand the basics of high-bandwidth memory interface design; • Presents state-of-the-art techniques for memory interface design; • Covers memory interface design at both the circuit level and system architecture level.

  14. Discriminating between fractional integration and spurious long memory

    DEFF Research Database (Denmark)

    Haldrup, Niels; Kruse, Robinson

    are permitted under the null hypothesis. The test is shown to have good size and to be robust against certain types of deviations from Gaussianity. The test is also shown to be consistent against a broad class of processes that are non-fractional but still exhibit (spurious) long memory. In particular, the test......Fractionally integrated processes have become a standard class of models to describe the long memory features of economic and financial time series data. However, it has been demonstrated in numerous studies that structural break processes and non-linear features can often be confused as being long...... memory. The question naturally arises whether it is possible empirically to determine the source of long memory as being genuinely long memory in the form of a fractionally integrated process or whether the long range dependence is of a different nature. In this paper we suggest a testing procedure...

  15. Improving Working Memory and Processing Speed of Students with Dyslexia in Nigeria

    Science.gov (United States)

    Adubasim, Ijeoma

    2018-01-01

    This study investigated effective strategies for improving working memory and processing speed of students identified with dyslexia in Nigeria. The study adopted a quasi-experimental research design with the population made up of twenty four thousand seven hundred and twenty seven (24,727) senior secondary school students (S.S.2) in all the public…

  16. A Fault Tolerant Integrated Circuit Memory

    OpenAIRE

    Barton, Anthony Francis

    1980-01-01

    Most commercially produced integrated circuits are incapable of tolerating manufacturing defects. The area and function of the circuits is thus limited by the probability of faults occurring within the circuit. This thesis examines techniques for using redundancy in memory circuits to provide fault tolerance and to increase storage capacity. A hierarchical memory architecture using multiple Hamming codes is introduced and analysed to determine its resistance to manufa...

  17. Relative association of processing speed, short-term memory and sustained attention with task on gait speed: a study of community-dwelling people 50 years and older.

    Science.gov (United States)

    Killane, Isabelle; Donoghue, Orna A; Savva, George M; Cronin, Hilary; Kenny, Rose Anne; Reilly, Richard B

    2014-11-01

    For single gait tasks, associations have been reported between gait speed and cognitive domains. However, few studies have evaluated if this association is altered in dual gait tasks given gait speed changes with complexity and nature of task. We evaluated relative contributions of specific elements of cognitive function (including sustained attention and processing speed) to dual task gait speed in a nationally representative population of community-dwelling adults over 50 years. Gait speed was obtained using the GaitRite walkway during three gait tasks: single, cognitive (alternate letters), and motor (carrying a filled glass). Linear regression models, adjusted for covariates, were constructed to predict the relative contributions of seven neuropsychological tests to gait speed differences and to investigate gait task effects. The mean age and gait speed of the population (n = 4,431, 55% women) was 62.4 years (SD = 8.2) and 135.85 cm/s (SD = 20.20, single task), respectively. Poorer processing speed, short-term memory, and sustained attention were major cognitive contributors to slower gait speed for all gait tasks. Both dual gait tasks were robust to covariate adjustment and had a significant additional executive function element not found for the single gait task. For community-dwelling older adults processing speed, short-term memory and sustained attention were independently associated with gait speed for all gait tasks. Dual gait tasks were found to highlight specific executive function elements. This result forms a baseline value for dual task gait speed. © The Author 2014. Published by Oxford University Press on behalf of The Gerontological Society of America. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  18. The Moderating Effects of Decision-making Preference on M&A Integration Speed and Performance

    DEFF Research Database (Denmark)

    Uzelac, Boris; Bauer, Florian; Matzler, Kurt

    2016-01-01

    This paper illustrates the effects of post-merger integration speed on M&A performance and the moderating role of decision-making preferences. For a better understanding of the effects of integration speed, we separate the role of human and task integration speed. The results, obtained from...

  19. Understanding Organizational Memory from the Integrated Management Systems (ERP

    Directory of Open Access Journals (Sweden)

    Gilberto Perez

    2013-10-01

    Full Text Available With this research, in the form of a theoretical essay addressing the theme of Organizational Memory and Integrated Management Systems (ERP, we tried to present some evidence of how this type of system can contribute to the consolidation of certain features of Organizational Memory. From a theoretical review of the concepts of Human Memory, extending to the Organizational Memory and Information Systems, with emphasis on Integrated Management Systems (ERP we tried to draw a parallel between the functions and structures of Organizational Memory and features and characteristics of ERPs. The choice of the ERP system for this study was made due to the complexity and broad scope of this system. It was verified that the ERPs adequately support many functions of the Organizational Memory, highlighting the implementation of logical processes, practices and rules in business. It is hoped that the dialogue presented here can contribute to the advancement of the understanding of organizational memory, since the similarity of Human Memory is a fertile field and there is still much to be researched.

  20. CMOS analog integrated circuits high-speed and power-efficient design

    CERN Document Server

    Ndjountche, Tertulien

    2011-01-01

    High-speed, power-efficient analog integrated circuits can be used as standalone devices or to interface modern digital signal processors and micro-controllers in various applications, including multimedia, communication, instrumentation, and control systems. New architectures and low device geometry of complementary metaloxidesemiconductor (CMOS) technologies have accelerated the movement toward system on a chip design, which merges analog circuits with digital, and radio-frequency components. CMOS: Analog Integrated Circuits: High-Speed and Power-Efficient Design describes the important tren

  1. NRAM: a disruptive carbon-nanotube resistance-change memory

    Science.gov (United States)

    Gilmer, D. C.; Rueckes, T.; Cleveland, L.

    2018-04-01

    Advanced memory technology based on carbon nanotubes (CNTs) (NRAM) possesses desired properties for implementation in a host of integrated systems due to demonstrated advantages of its operation including high speed (nanotubes can switch state in picoseconds), high endurance (over a trillion), and low power (with essential zero standby power). The applicable integrated systems for NRAM have markets that will see compound annual growth rates (CAGR) of over 62% between 2018 and 2023, with an embedded systems CAGR of 115% in 2018-2023 (http://bccresearch.com/pressroom/smc/bcc-research-predicts:-nram-(finally)-to-revolutionize-computer-memory). These opportunities are helping drive the realization of a shift from silicon-based to carbon-based (NRAM) memories. NRAM is a memory cell made up of an interlocking matrix of CNTs, either touching or slightly separated, leading to low or higher resistance states respectively. The small movement of atoms, as opposed to moving electrons for traditional silicon-based memories, renders NRAM with a more robust endurance and high temperature retention/operation which, along with high speed/low power, is expected to blossom in this memory technology to be a disruptive replacement for the current status quo of DRAM (dynamic RAM), SRAM (static RAM), and NAND flash memories.

  2. Performance Study of the First 2D Prototype of Vertically Integrated Pattern Recognition Associative Memory (VIPRAM)

    Energy Technology Data Exchange (ETDEWEB)

    Deptuch, Gregory [Fermilab; Hoff, James [Fermilab; Jindariani, Sergo [Fermilab; Liu, Tiehui [Fermilab; Olsen, Jamieson [Fermilab; Tran, Nhan [Fermilab; Joshi, Siddhartha [Northwestern U.; Li, Dawei [Northwestern U.; Ogrenci-Memik, Seda [Northwestern U.

    2017-09-24

    Extremely fast pattern recognition capabilities are necessary to find and fit billions of tracks at the hardware trigger level produced every second anticipated at high luminosity LHC (HL-LHC) running conditions. Associative Memory (AM) based approaches for fast pattern recognition have been proposed as a potential solution to the tracking trigger. However, at the HL-LHC, there is much less time available and speed performance must be improved over previous systems while maintaining a comparable number of patterns. The Vertically Integrated Pattern Recognition Associative Memory (VIPRAM) Project aims to achieve the target pattern density and performance goal using 3DIC technology. The first step taken in the VIPRAM work was the development of a 2D prototype (protoVIPRAM00) in which the associative memory building blocks were designed to be compatible with the 3D integration. In this paper, we present the results from extensive performance studies of the protoVIPRAM00 chip in both realistic HL-LHC and extreme conditions. Results indicate that the chip operates at the design frequency of 100 MHz with perfect correctness in realistic conditions and conclude that the building blocks are ready for 3D stacking. We also present performance boundary characterization of the chip under extreme conditions.

  3. INTEGRATED SPEED ESTIMATION MODEL FOR MULTILANE EXPREESSWAYS

    Science.gov (United States)

    Hong, Sungjoon; Oguchi, Takashi

    In this paper, an integrated speed-estimation model is developed based on empirical analyses for the basic sections of intercity multilane expressway un der the uncongested condition. This model enables a speed estimation for each lane at any site under arb itrary highway-alignment, traffic (traffic flow and truck percentage), and rainfall conditions. By combin ing this model and a lane-use model which estimates traffic distribution on the lanes by each vehicle type, it is also possible to es timate an average speed across all the lanes of one direction from a traffic demand by vehicle type under specific highway-alignment and rainfall conditions. This model is exp ected to be a tool for the evaluation of traffic performance for expressways when the performance me asure is travel speed, which is necessary for Performance-Oriented Highway Planning and Design. Regarding the highway-alignment condition, two new estimators, called effective horizo ntal curvature and effective vertical grade, are proposed in this paper which take into account the influence of upstream and downstream alignment conditions. They are applied to the speed-estimation model, and it shows increased accuracy of the estimation.

  4. Working Memory in Children With Neurocognitive Effects From Sickle Cell Disease: Contributions of the Central Executive and Processing Speed

    OpenAIRE

    Smith, Kelsey E.; Schatz, Jeffrey

    2016-01-01

    Children with sickle cell disease (SCD) are at risk for working memory deficits due to multiple disease processes. We assessed working memory abilities and related functions in 32 school-age children with SCD and 85 matched comparison children using Baddeley’s working memory model as a framework. Children with SCD performed worse than controls for working memory, central executive function, and processing/rehearsal speed. Central executive function was found to mediate the relationship betwee...

  5. High speed vision processor with reconfigurable processing element array based on full-custom distributed memory

    Science.gov (United States)

    Chen, Zhe; Yang, Jie; Shi, Cong; Qin, Qi; Liu, Liyuan; Wu, Nanjian

    2016-04-01

    In this paper, a hybrid vision processor based on a compact full-custom distributed memory for near-sensor high-speed image processing is proposed. The proposed processor consists of a reconfigurable processing element (PE) array, a row processor (RP) array, and a dual-core microprocessor. The PE array includes two-dimensional processing elements with a compact full-custom distributed memory. It supports real-time reconfiguration between the PE array and the self-organized map (SOM) neural network. The vision processor is fabricated using a 0.18 µm CMOS technology. The circuit area of the distributed memory is reduced markedly into 1/3 of that of the conventional memory so that the circuit area of the vision processor is reduced by 44.2%. Experimental results demonstrate that the proposed design achieves correct functions.

  6. AC-DC integrated load flow calculation for variable speed offshore wind farms

    DEFF Research Database (Denmark)

    Zhao, Menghua; Chen, Zhe; Blaabjerg, Frede

    2005-01-01

    This paper proposes a sequential AC-DC integrated load flow algorithm for variable speed offshore wind farms. In this algorithm, the variable frequency and the control strategy of variable speed wind turbine systems are considered. In addition, the losses of wind turbine systems and the losses...... of converters are also integrated into the load flow algorithm. As a general algorithm, it can be applied to different types of wind farm configurations, and the load flow is related to the wind speed....

  7. An ASIC memory buffer controller for a high speed disk system

    Science.gov (United States)

    Hodson, Robert F.; Campbell, Steve

    1993-01-01

    The need for large capacity, high speed mass memory storage devices has become increasingly evident at NASA during the past decade. High performance mass storage systems are crucial to present and future NASA systems. Spaceborne data storage system requirements have grown in response to the increasing amounts of data generated and processed by orbiting scientific experiments. Predictions indicate increases in the volume of data by orders of magnitude during the next decade. Current predictions are for storage capacities on the order of terabits (Tb), with data rates exceeding one gigabit per second (Gbps). As part of the design effort for a state of the art mass storage system, NASA Langley has designed a 144 CMOS ASIC to support high speed data transfers. This paper discusses the system architecture, ASIC design and some of the lessons learned in the development process.

  8. A tâtonnement process with fading memory, stabilization and optimal speed of convergence

    International Nuclear Information System (INIS)

    Cavalli, Fausto; Naimzada, Ahmad

    2015-01-01

    The purpose of this work is to provide a way to improve stability and convergence rate of a price adjustment mechanism that converges to a Walrasian equilibrium. We focus on a discrete tâtonnement based on a two-agent, two-good exchange economy, and we introduce memory, assuming that the auctioneer adjusts prices not only using the current excess demand, but also making use of the past excess demand functions. In particular, we study the effect of computing a weighted average of the current and the previous excess demands (finite two level memory) and of all the previous excess demands (infinite memory). We show that suitable weights’ distributions have a stabilizing effect, so that the resulting price adjustment process converge toward the competitive equilibrium in a wider range of situations than the process without memory. Finally, we investigate the convergence speed toward the equilibrium of the proposed mechanisms. In particular, we show that using infinite memory with fading weights approaches the competitive equilibrium faster than with a distribution of quasi-uniform weights.

  9. Working Memory Integration Processes in Benign Childhood Epilepsy with Centrotemporal Spikes.

    Science.gov (United States)

    Kárpáti, Judit; Donauer, Nándor; Somogyi, Eszter; Kónya, Anikó

    2015-12-01

    Benign epilepsy of childhood with centrotemporal spikes (BECTS) is the most frequent focal epilepsy in children; however, the pattern of affected memory processes remains controversial. Previous studies in BECTS imply deficits in complex working memory tasks, but not in simple modality-specific tasks. We studied working memory processes in children with BECTS by comparing performance in memory binding tasks of different complexities. We compared 17 children with BECTS (aged 6 to 13 years) to 17 healthy children matched for age, sex, and intelligence quotient. We measured spatial and verbal memory components separately and jointly on three single-binding tasks (binding of what and where; what and when; and where and when) and a combined-binding task (integration of what, where, and when). We also evaluated basic visuospatial memory functions with subtests of the Children's Memory Scale, and intellectual abilities with verbal tasks of the Wechsler Intelligence Scale for Children-Fourth Edition and the Raven Progressive Matrices. We found no difference between the BECTS and control groups in single-binding tasks; however, the children with BECTS performed significantly worse on the combined task, which included integration of spatial, verbal, and temporal information. We found no deficits in their intellectual abilities or basic visuospatial memory functions. Children with BECTS may have intact simple maintenance processes of working memory, but difficulty with high-level functions requiring attentional and executive resources. Our findings imply no specific memory dysfunction in BECTS, but suggest difficulties in integrating information within working memory, and possible frontal lobe disturbances.

  10. Fast mapping rapidly integrates information into existing memory networks.

    Science.gov (United States)

    Coutanche, Marc N; Thompson-Schill, Sharon L

    2014-12-01

    Successful learning involves integrating new material into existing memory networks. A learning procedure known as fast mapping (FM), thought to simulate the word-learning environment of children, has recently been linked to distinct neuroanatomical substrates in adults. This idea has suggested the (never-before tested) hypothesis that FM may promote rapid incorporation into cortical memory networks. We test this hypothesis here in 2 experiments. In our 1st experiment, we introduced 50 participants to 16 unfamiliar animals and names through FM or explicit encoding (EE) and tested participants on the training day, and again after sleep. Learning through EE produced strong declarative memories, without immediate lexical competition, as expected from slow-consolidation models. Learning through FM, however, led to almost immediate lexical competition, which continued to the next day. Additionally, the learned words began to prime related concepts on the day following FM (but not EE) training. In a 2nd experiment, we replicated the lexical integration results and determined that presenting an already-known item during learning was crucial for rapid integration through FM. The findings presented here indicate that learned items can be integrated into cortical memory networks at an accelerated rate through fast mapping. The retrieval of a related known concept, in order to infer the target of the FM question, is critical for this effect. PsycINFO Database Record (c) 2014 APA, all rights reserved.

  11. Shape memory system with integrated actuation using embedded particles

    Science.gov (United States)

    Buckley, Patrick R [New York, NY; Maitland, Duncan J [Pleasant Hill, CA

    2009-09-22

    A shape memory material with integrated actuation using embedded particles. One embodiment provides a shape memory material apparatus comprising a shape memory material body and magnetic pieces in the shape memory material body. Another embodiment provides a method of actuating a device to perform an activity on a subject comprising the steps of positioning a shape memory material body in a desired position with regard to the subject, the shape memory material body capable of being formed in a specific primary shape, reformed into a secondary stable shape, and controllably actuated to recover the specific primary shape; including pieces in the shape memory material body; and actuating the shape memory material body using the pieces causing the shape memory material body to be controllably actuated to recover the specific primary shape and perform the activity on the subject.

  12. Structural integrity of power generating speed bumps made of concrete foam composite

    Science.gov (United States)

    Syam, B.; Muttaqin, M.; Hastrino, D.; Sebayang, A.; Basuki, W. S.; Sabri, M.; Abda, S.

    2018-02-01

    In this paper concrete foam composite speed bumps were designed to generate electrical power by utilizing the movements of commuting vehicles on highways, streets, parking gates, and drive-thru station of fast food restaurants. The speed bumps were subjected to loadings generated by vehicles pass over the power generating mechanical system. In this paper, we mainly focus our discussion on the structural integrity of the speed bumps and discuss the electrical power generating speed bumps in another paper. One aspect of structural integrity is its ability to support designed loads without breaking and includes the study of past structural failures in order to prevent failures in future designs. The concrete foam composites were used for the speed bumps; the reinforcement materials are selected from empty fruit bunch of oil palm. In this study, the speed bump materials and structure were subjected to various tests to obtain its physical and mechanical properties. To analyze the structure stability of the speed bumps some models were produced and tested in our speed bump test station. We also conduct a FEM-based computer simulation to analyze stress responses of the speed bump structures. It was found that speed bump type 1 significantly reduced the radial voltage. In addition, the speed bump is equipped with a steel casing is also suitable for use as a component component in generating electrical energy.

  13. A compact PE memory for vision chips

    International Nuclear Information System (INIS)

    Shi Cong; Chen Zhe; Yang Jie; Wu Nanjian; Wang Zhihua

    2014-01-01

    This paper presents a novel compact memory in the processing element (PE) for single-instruction multiple-data (SIMD) vision chips. The PE memory is constructed with 8 × 8 register cells, where one latch in the slave stage is shared by eight latches in the master stage. The memory supports simultaneous read and write on the same address in one clock cycle. Its compact area of 14.33 μm 2 /bit promises a higher integration level of the processor. A prototype chip with a 64 × 64 PE array is fabricated in a UMC 0.18 μm CMOS technology. Five types of the PE memory cell structure are designed and compared. The testing results demonstrate that the proposed PE memory architecture well satisfies the requirement of the vision chip in high-speed real-time vision applications, such as 1000 fps edge extraction. (semiconductor integrated circuits)

  14. Is functional integration of resting state brain networks an unspecific biomarker for working memory performance?

    Science.gov (United States)

    Alavash, Mohsen; Doebler, Philipp; Holling, Heinz; Thiel, Christiane M; Gießing, Carsten

    2015-03-01

    Is there one optimal topology of functional brain networks at rest from which our cognitive performance would profit? Previous studies suggest that functional integration of resting state brain networks is an important biomarker for cognitive performance. However, it is still unknown whether higher network integration is an unspecific predictor for good cognitive performance or, alternatively, whether specific network organization during rest predicts only specific cognitive abilities. Here, we investigated the relationship between network integration at rest and cognitive performance using two tasks that measured different aspects of working memory; one task assessed visual-spatial and the other numerical working memory. Network clustering, modularity and efficiency were computed to capture network integration on different levels of network organization, and to statistically compare their correlations with the performance in each working memory test. The results revealed that each working memory aspect profits from a different resting state topology, and the tests showed significantly different correlations with each of the measures of network integration. While higher global network integration and modularity predicted significantly better performance in visual-spatial working memory, both measures showed no significant correlation with numerical working memory performance. In contrast, numerical working memory was superior in subjects with highly clustered brain networks, predominantly in the intraparietal sulcus, a core brain region of the working memory network. Our findings suggest that a specific balance between local and global functional integration of resting state brain networks facilitates special aspects of cognitive performance. In the context of working memory, while visual-spatial performance is facilitated by globally integrated functional resting state brain networks, numerical working memory profits from increased capacities for local processing

  15. Joint Attention Enhances Visual Working Memory

    Science.gov (United States)

    Gregory, Samantha E. A.; Jackson, Margaret C.

    2017-01-01

    Joint attention--the mutual focus of 2 individuals on an item--speeds detection and discrimination of target information. However, what happens to that information beyond the initial perceptual episode? To fully comprehend and engage with our immediate environment also requires working memory (WM), which integrates information from second to…

  16. Signal Integrity Analysis of High-Speed Interconnects

    CERN Document Server

    Oltean Karlsson, A

    2007-01-01

    LHC detectors and future experiments will produce very large amount of data that will be transferred at multi-Gigabit speeds. At such data rates, signal-integrity effects become important and traditional rules of thumb are no longer enough for the design and layout of the traces. Simulations for signal-integrity effects at board level provide a way to study and validate several scenarios before arriving at a set of optimized design rules prior to building the actual printed circuit board (PCB). This article describes some of the available tools at CERN. Two case studies will be used to highlight the capabilities of these programs.

  17. Radiation response of high speed CMOS integrated circuits

    International Nuclear Information System (INIS)

    Yue, H.; Davison, D.; Jennings, R.F.; Lothongkam, P.; Rinerson, D.; Wyland, D.

    1987-01-01

    This paper studies the total dose and dose rate radiation response of the FCT family of high speed CMOS integrated circuits. Data taken on the devices is used to establish the dominant failure modes, and this data is further analyzed using one-sided tolerance factors for normal distribution statistical analysis

  18. Comparing the Contribution of Two Tests of Working Memory to Reading in Relation to Phonological Awareness and Rapid Naming Speed

    Science.gov (United States)

    Georgiou, George K.; Das, J. P.; Hayward, Denyse V.

    2008-01-01

    The purpose of this study was to compare the contribution of two different versions of working memory to word reading and reading comprehension in relation to phonological awareness and rapid naming speed. Fifty children were administered two measures of working memory, namely an adaptation of the Daneman and Carpenter sentence span task and…

  19. Measuring automatic retrieval: a comparison of implicit memory, process dissociation, and speeded response procedures.

    Science.gov (United States)

    Horton, Keith D; Wilson, Daryl E; Vonk, Jennifer; Kirby, Sarah L; Nielsen, Tina

    2005-07-01

    Using the stem completion task, we compared estimates of automatic retrieval from an implicit memory task, the process dissociation procedure, and the speeded response procedure. Two standard manipulations were employed. In Experiment 1, a depth of processing effect was found on automatic retrieval using the speeded response procedure although this effect was substantially reduced in Experiment 2 when lexical processing was required of all words. In Experiment 3, the speeded response procedure showed an advantage of full versus divided attention at study on automatic retrieval. An implicit condition showed parallel effects in each study, suggesting that implicit stem completion may normally provide a good estimate of automatic retrieval. Also, we replicated earlier findings from the process dissociation procedure, but estimates of automatic retrieval from this procedure were consistently lower than those from the speeded response procedure, except when conscious retrieval was relatively low. We discuss several factors that may contribute to the conflicting outcomes, including the evidence for theoretical assumptions and criterial task differences between implicit and explicit tests.

  20. Exploring the Relationship Between Working Memory, Compressor Speed, and Background Noise Characteristics.

    Science.gov (United States)

    Ohlenforst, Barbara; Souza, Pamela E; MacDonald, Ewen N

    2016-01-01

    Previous work has shown that individuals with lower working memory demonstrate reduced intelligibility for speech processed with fast-acting compression amplification. This relationship has been noted in fluctuating noise, but the extent of noise modulation that must be present to elicit such an effect is unknown. This study expanded on previous study by exploring the effect of background noise modulations in relation to compression speed and working memory ability, using a range of signal to noise ratios. Twenty-six older participants between ages 61 and 90 years were grouped by high or low working memory according to their performance on a reading span test. Speech intelligibility was measured for low-context sentences presented in background noise, where the noise varied in the extent of amplitude modulation. Simulated fast- or slow-acting compression amplification combined with individual frequency-gain shaping was applied to compensate for the individual's hearing loss. Better speech intelligibility scores were observed for participants with high working memory when fast compression was applied than when slow compression was applied. The low working memory group behaved in the opposite way and performed better under slow compression compared with fast compression. There was also a significant effect of the extent of amplitude modulation in the background noise, such that the magnitude of the score difference (fast versus slow compression) depended on the number of talkers in the background noise. The presented signal to noise ratios were not a significant factor on the measured intelligibility performance. In agreement with earlier research, high working memory allowed better speech intelligibility when fast compression was applied in modulated background noise. In the present experiment, that effect was present regardless of the extent of background noise modulation.

  1. An integrity measure to benchmark quantum error correcting memories

    Science.gov (United States)

    Xu, Xiaosi; de Beaudrap, Niel; O'Gorman, Joe; Benjamin, Simon C.

    2018-02-01

    Rapidly developing experiments across multiple platforms now aim to realise small quantum codes, and so demonstrate a memory within which a logical qubit can be protected from noise. There is a need to benchmark the achievements in these diverse systems, and to compare the inherent power of the codes they rely upon. We describe a recently introduced performance measure called integrity, which relates to the probability that an ideal agent will successfully ‘guess’ the state of a logical qubit after a period of storage in the memory. Integrity is straightforward to evaluate experimentally without state tomography and it can be related to various established metrics such as the logical fidelity and the pseudo-threshold. We offer a set of experimental milestones that are steps towards demonstrating unconditionally superior encoded memories. Using intensive numerical simulations we compare memories based on the five-qubit code, the seven-qubit Steane code, and a nine-qubit code which is the smallest instance of a surface code; we assess both the simple and fault-tolerant implementations of each. While the ‘best’ code upon which to base a memory does vary according to the nature and severity of the noise, nevertheless certain trends emerge.

  2. A dynamic approach to recognition memory.

    Science.gov (United States)

    Cox, Gregory E; Shiffrin, Richard M

    2017-11-01

    We present a dynamic model of memory that integrates the processes of perception, retrieval from knowledge, retrieval of events, and decision making as these evolve from 1 moment to the next. The core of the model is that recognition depends on tracking changes in familiarity over time from an initial baseline generally determined by context, with these changes depending on the availability of different kinds of information at different times. A mathematical implementation of this model leads to precise, accurate predictions of accuracy, response time, and speed-accuracy trade-off in episodic recognition at the levels of both groups and individuals across a variety of paradigms. Our approach leads to novel insights regarding word frequency, speeded responding, context reinstatement, short-term priming, similarity, source memory, and associative recognition, revealing how the same set of core dynamic principles can help unify otherwise disparate phenomena in the study of memory. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  3. Age Differences in Text Processing: The Role of Working Memory, Inhibition, and Processing Speed

    OpenAIRE

    BORELLA ERIKA; GHISLETTA PAOLO; DE RIBAUPIERRE ANIK

    2011-01-01

    Objectives. Age-related changes in the efficiency of various general cognitive mechanisms have been evoked to account for age-related differences between young and older adults in text comprehension performance. Using structural equation modeling, we investigate the relationship between age, working memory (WM), inhibition-related mechanisms, processing speed, and text comprehension, focusing on surface and text-based levels of processing. Methods. Eighty-nine younger (M = 23.11 years) and 10...

  4. Improved speed and data retention characteristics in flash memory using a stacked HfO2/Ta2O5 charge-trapping layer

    International Nuclear Information System (INIS)

    Zheng, Zhiwei; Huo, Zongliang; Zhang, Manhong; Zhu, Chenxin; Liu, Jing; Liu, Ming

    2011-01-01

    This paper reports the simultaneous improvements in erase speed and data retention characteristics in flash memory using a stacked HfO 2 /Ta 2 O 5 charge-trapping layer. In comparison to a memory capacitor with a single HfO 2 trapping layer, the erase speed of a memory capacitor with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer is 100 times faster and its memory window is enlarged from 2.7 to 4.8 V for the same ±16 V sweeping voltage range. With the same initial window of ΔV FB = 4 V, the device with a stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a 3.5 V extrapolated 10-year retention window, while the control device with a single HfO 2 trapping layer has only 2.5 V for the extrapolated 10-year window. The present results demonstrate that the device with the stacked HfO 2 /Ta 2 O 5 charge-trapping layer has a strong potential for future high-performance nonvolatile memory application

  5. One process is not enough! A speed-accuracy tradeoff study of recognition memory.

    Science.gov (United States)

    Boldini, Angela; Russo, Riccardo; Avons, S E

    2004-04-01

    Speed-accuracy tradeoff (SAT) methods have been used to contrast single- and dual-process accounts of recognition memory. In these procedures, subjects are presented with individual test items and are required to make recognition decisions under various time constraints. In this experiment, we presented word lists under incidental learning conditions, varying the modality of presentation and level of processing. At test, we manipulated the interval between each visually presented test item and a response signal, thus controlling the amount of time available to retrieve target information. Study-test modality match had a beneficial effect on recognition accuracy at short response-signal delays (deep than from shallow processing at study only at relatively long response-signal delays (> or =300 msec). The results are congruent with views suggesting that both fast familiarity and slower recollection processes contribute to recognition memory.

  6. The Integrative Self: How Self-Reference Integrates Perception and Memory.

    Science.gov (United States)

    Sui, Jie; Humphreys, Glyn W

    2015-12-01

    We propose a new account of how self-reference affects information processing. We report evidence that self-reference affects the binding of memory to source, the integration of parts into perceptual wholes, and the ability to switch from a prior association to new associations. Self-reference also influences the integration of different stages of processing, linking attention to decision making, and affects the coupling between brain regions mediating self-representation and attention to the environment. Taken together, the data suggest that self-reference acts as a form of 'integrative glue' which can either enhance or disrupt performance, depending on the task context. We discuss the implications for understanding the self, and future directions for research. Copyright © 2015 Elsevier Ltd. All rights reserved.

  7. Memory binding and white matter integrity in familial Alzheimer's disease.

    Science.gov (United States)

    Parra, Mario A; Saarimäki, Heini; Bastin, Mark E; Londoño, Ana C; Pettit, Lewis; Lopera, Francisco; Della Sala, Sergio; Abrahams, Sharon

    2015-05-01

    Binding information in short-term and long-term memory are functions sensitive to Alzheimer's disease. They have been found to be affected in patients who meet criteria for familial Alzheimer's disease due to the mutation E280A of the PSEN1 gene. However, only short-term memory binding has been found to be affected in asymptomatic carriers of this mutation. The neural correlates of this dissociation are poorly understood. The present study used diffusion tensor magnetic resonance imaging to investigate whether the integrity of white matter structures could offer an account. A sample of 19 patients with familial Alzheimer's disease, 18 asymptomatic carriers and 21 non-carrier controls underwent diffusion tensor magnetic resonance imaging, neuropsychological and memory binding assessment. The short-term memory binding task required participants to detect changes across two consecutive screens displaying arrays of shapes, colours, or shape-colour bindings. The long-term memory binding task was a Paired Associates Learning Test. Performance on these tasks were entered into regression models. Relative to controls, patients with familial Alzheimer's disease performed poorly on both memory binding tasks. Asymptomatic carriers differed from controls only in the short-term memory binding task. White matter integrity explained poor memory binding performance only in patients with familial Alzheimer's disease. White matter water diffusion metrics from the frontal lobe accounted for poor performance on both memory binding tasks. Dissociations were found in the genu of corpus callosum which accounted for short-term memory binding impairments and in the hippocampal part of cingulum bundle which accounted for long-term memory binding deficits. The results indicate that white matter structures in the frontal and temporal lobes are vulnerable to the early stages of familial Alzheimer's disease and their damage is associated with impairments in two memory binding functions known to

  8. The effect of aging in recollective experience: the processing speed and executive functioning hypothesis.

    Science.gov (United States)

    Bugaiska, Aurélia; Clarys, David; Jarry, Caroline; Taconnat, Laurence; Tapia, Géraldine; Vanneste, Sandrine; Isingrini, Michel

    2007-12-01

    This study was designed to investigate the effects of aging on consciousness in recognition memory, using the Remember/Know/Guess procedure (Gardiner, J. M., & Richarson-Klavehn, A. (2000). Remembering and Knowing. In E. Tulving & F. I. M. Craik (Eds.), The Oxford Handbook of Memory. Oxford University Press.). In recognition memory, older participants report fewer occasions on which recognition is accompanied by recollection of the original encoding context. Two main hypotheses were tested: the speed mediation hypothesis (Salthouse, T. A. (1996). The processing-speed theory of adult age differences in cognition. Psychological Review, 3, 403-428) and the executive-aging hypothesis (West, R. L. (1996). An application of prefrontal cortex function theory to cognitive aging. Psychological Bulletin, 120, 272-292). A group of young and a group of older adults took a recognition test in which they classified their responses according to Gardiner, J. M., & Richarson-Klavehn, A. (2000). Remembering and Knowing. In E. Tulving & F. I. M. Craik (Eds.), The Oxford Handbook of Memory. Oxford University Press. remember-know-guess paradigm. Subsequently, participants completed processing speed and executive function tests. The results showed that among the older participants, R responses decreased, but K responses did not. Moreover, a hierarchical regression analysis supported the view that the effect of age in recollection experience is determined by frontal lobe integrity and not by diminution of processing speed.

  9. Nonvolatile Rad-Hard Holographic Memory

    Science.gov (United States)

    Chao, Tien-Hsin; Zhou, Han-Ying; Reyes, George; Dragoi, Danut; Hanna, Jay

    2001-01-01

    We are investigating a nonvolatile radiation-hardened (rad-hard) holographic memory technology. Recently, a compact holographic data storage (CHDS) breadboard utilizing an innovative electro-optic scanner has been built and demonstrated for high-speed holographic data storage and retrieval. The successful integration of this holographic memory breadboard has paved the way for follow-on radiation resistance test of the photorefractive (PR) crystal, Fe:LiNbO3. We have also started the investigation of using two-photon PR crystals that are doubly doped with atoms of iron group (Ti, Cr, Mn, Cu) and of rare-earth group (Nd, Tb) for nonvolatile holographic recordings.

  10. A real-time multichannel memory controller and optimal mapping of memory clients to memory channels

    NARCIS (Netherlands)

    Gomony, M.D.; Akesson, K.B.; Goossens, K.G.W.

    2015-01-01

    Ever-increasing demands for main memory bandwidth and memory speed/power tradeoff led to the introduction of memories with multiple memory channels, such as Wide IO DRAM. Efficient utilization of a multichannel memory as a shared resource in multiprocessor real-time systems depends on mapping of the

  11. Modulation of cortical activity during comprehension of familiar and unfamiliar text topics in speed reading and speed listening.

    Science.gov (United States)

    Buchweitz, Augusto; Mason, Robert A; Meschyan, Gayane; Keller, Timothy A; Just, Marcel Adam

    2014-12-01

    Brain activation associated with normal and speeded comprehension of expository texts on familiar and unfamiliar topics was investigated in reading and listening. The goal was to determine how brain activation and the comprehension processes it reflects are modulated by comprehension speed and topic familiarity. Passages on more familiar topics differentially activated a set of areas in the anterior temporal lobe and medial frontal gyrus, areas often associated with text-level integration processes, which we interpret to reflect integration of previous knowledge with the passage content. Passages presented at the faster presentation resulted in more activation of a network of frontal areas associated with strategic and working-memory processes (as well as visual or auditory sensory-related regions), which we interpret to reflect maintenance of local coherence among briefly available passage segments. The implications of this research is that the brain system for text comprehension adapts to varying perceptual and knowledge conditions. Copyright © 2014 Elsevier Inc. All rights reserved.

  12. Self-construal priming affects speed of retrieval from short-term memory.

    Directory of Open Access Journals (Sweden)

    Justin A Macdonald

    Full Text Available We investigated the effects of collective or individual self-construal priming on recall in a short-term memory (STM task. We primed participants to either their individual or their collective self-construals or a neutral control condition. Participants then completed a STM retrieval task using either random or patterned digit strings. Findings revealed that priming an individual self-construal resulted in faster retrieval of information from STM for both stimulus types. These results indicate that individual self-accessibility improves retrieval speed of digits from STM, regardless of set configuration. More broadly, the present findings extend prior research by adding further evidence of the effects of self-construal priming on cognitive information processing.

  13. Memory binding and white matter integrity in familial Alzheimer’s disease

    Science.gov (United States)

    Saarimäki, Heini; Bastin, Mark E.; Londoño, Ana C.; Pettit, Lewis; Lopera, Francisco; Della Sala, Sergio; Abrahams, Sharon

    2015-01-01

    Binding information in short-term and long-term memory are functions sensitive to Alzheimer’s disease. They have been found to be affected in patients who meet criteria for familial Alzheimer’s disease due to the mutation E280A of the PSEN1 gene. However, only short-term memory binding has been found to be affected in asymptomatic carriers of this mutation. The neural correlates of this dissociation are poorly understood. The present study used diffusion tensor magnetic resonance imaging to investigate whether the integrity of white matter structures could offer an account. A sample of 19 patients with familial Alzheimer’s disease, 18 asymptomatic carriers and 21 non-carrier controls underwent diffusion tensor magnetic resonance imaging, neuropsychological and memory binding assessment. The short-term memory binding task required participants to detect changes across two consecutive screens displaying arrays of shapes, colours, or shape-colour bindings. The long-term memory binding task was a Paired Associates Learning Test. Performance on these tasks were entered into regression models. Relative to controls, patients with familial Alzheimer’s disease performed poorly on both memory binding tasks. Asymptomatic carriers differed from controls only in the short-term memory binding task. White matter integrity explained poor memory binding performance only in patients with familial Alzheimer’s disease. White matter water diffusion metrics from the frontal lobe accounted for poor performance on both memory binding tasks. Dissociations were found in the genu of corpus callosum which accounted for short-term memory binding impairments and in the hippocampal part of cingulum bundle which accounted for long-term memory binding deficits. The results indicate that white matter structures in the frontal and temporal lobes are vulnerable to the early stages of familial Alzheimer’s disease and their damage is associated with impairments in two memory binding

  14. Emerging memory technologies design, architecture, and applications

    CERN Document Server

    2014-01-01

    This book explores the design implications of emerging, non-volatile memory (NVM) technologies on future computer memory hierarchy architecture designs. Since NVM technologies combine the speed of SRAM, the density of DRAM, and the non-volatility of Flash memory, they are very attractive as the basis for future universal memories. This book provides a holistic perspective on the topic, covering modeling, design, architecture and applications. The practical information included in this book will enable designers to exploit emerging memory technologies to improve significantly the performance/power/reliability of future, mainstream integrated circuits. • Provides a comprehensive reference on designing modern circuits with emerging, non-volatile memory technologies, such as MRAM and PCRAM; • Explores new design opportunities offered by emerging memory technologies, from a holistic perspective; • Describes topics in technology, modeling, architecture and applications; • Enables circuit designers to ex...

  15. What You See Is What You Remember: Visual Chunking by Temporal Integration Enhances Working Memory.

    Science.gov (United States)

    Akyürek, Elkan G; Kappelmann, Nils; Volkert, Marc; van Rijn, Hedderik

    2017-12-01

    Human memory benefits from information clustering, which can be accomplished by chunking. Chunking typically relies on expertise and strategy, and it is unknown whether perceptual clustering over time, through temporal integration, can also enhance working memory. The current study examined the attentional and working memory costs of temporal integration of successive target stimulus pairs embedded in rapid serial visual presentation. ERPs were measured as a function of behavioral reports: One target, two separate targets, or two targets reported as a single integrated target. N2pc amplitude, reflecting attentional processing, depended on the actual number of successive targets. The memory-related CDA and P3 components instead depended on the perceived number of targets irrespective of their actual succession. The report of two separate targets was associated with elevated amplitude, whereas integrated as well as actual single targets exhibited lower amplitude. Temporal integration thus provided an efficient means of processing sensory input, offloading working memory so that the features of two targets were consolidated and maintained at a cost similar to that of a single target.

  16. A new concept of vertically integrated pattern recognition associative memory

    International Nuclear Information System (INIS)

    Liu, Ted; Hoff, Jim; Deptuch, Grzegorz; Yarema, Ray

    2011-01-01

    Hardware-based pattern recognition for fast triggering on particle tracks has been successfully used in high-energy physics experiments for some time. The CDF Silicon Vertex Trigger (SVT) at the Fermilab Tevatron is an excellent example. The method used there, developed in the 1990's, is based on algorithms that use a massively parallel associative memory architecture to identify patterns efficiently at high speed. However, due to much higher occupancy and event rates at the LHC, and the fact that the LHC detectors have a much larger number of channels in their tracking detectors, there is an enormous challenge in implementing fast pattern recognition for a track trigger, requiring about three orders of magnitude more associative memory patterns than what was used in the original CDF SVT. Scaling of current technologies is unlikely to satisfy the scientific needs of the future, and investments in transformational new technologies need to be made. In this paper, we will discuss a new concept of using the emerging 3D vertical integration technology to significantly advance the state-of-the-art for fast pattern recognition within and outside HEP. A generic R and D proposal based on this new concept, with a few institutions involved, has recently been submitted to DOE with the goal to design and perform the ASIC engineering necessary to realize a prototype device. The progress of this R and D project will be reported in the future. Here we will only focus on the concept of this new approach.

  17. Integration of SrBi2Ta2O9 thin films for high density ferroelectric random access memory

    Science.gov (United States)

    Wouters, D. J.; Maes, D.; Goux, L.; Lisoni, J. G.; Paraschiv, V.; Johnson, J. A.; Schwitters, M.; Everaert, J.-L.; Boullart, W.; Schaekers, M.; Willegems, M.; Vander Meeren, H.; Haspeslagh, L.; Artoni, C.; Caputa, C.; Casella, P.; Corallo, G.; Russo, G.; Zambrano, R.; Monchoix, H.; Vecchio, G.; Van Autryve, L.

    2006-09-01

    Ferroelectric random access memory (FeRAM) is an attractive candidate technology for embedded nonvolatile memory, especially in applications where low power and high program speed are important. Market introduction of high-density FeRAM is, however, lagging behind standard complementary metal-oxide semiconductor (CMOS) because of the difficult integration technology. This paper discusses the major integration issues for high-density FeRAM, based on SrBi2Ta2O9 (strontium bismuth tantalate or SBT), in relation to the fabrication of our stacked cell structure. We have worked in the previous years on the development of SBT-FeRAM integration technology, based on a so-called pseudo-three-dimensional (3D) cell, with a capacitor that can be scaled from quasi two-dimensional towards a true three-dimensional capacitor where the sidewalls will importantly contribute to the signal. In the first phase of our integration development, we integrated our FeRAM cell in a 0.35μm CMOS technology. In a second phase, then, possibility of scaling of our cell is demonstrated in 0.18μm technology. The excellent electrical and reliability properties of the small integrated ferroelectric capacitors prove the feasibility of the technology, while the verification of the potential 3D effect confirms the basic scaling potential of our concept beyond that of the single-mask capacitor. The paper outlines the different material and technological challenges, and working solutions are demonstrated. While some issues are specific to our own cell, many are applicable to different stacked FeRAM cell concepts, or will become more general concerns when more developments are moving into 3D structures.

  18. The Integration of Realistic Episodic Memories Relies on Different Working Memory Processes: Evidence from Virtual Navigation

    Directory of Open Access Journals (Sweden)

    Gaën Plancher

    2018-01-01

    Full Text Available Memory is one of the most important cognitive functions in a person’s life as it is essential for recalling personal memories and performing many everyday tasks. Although a huge number of studies have been conducted in the field, only a few of them investigated memory in realistic situations, due to methodological issues. The various tools that have been developed using virtual environments (VEs have gained popularity in cognitive psychology and neuropsychology because they enable to create naturalistic and controlled situations, and are thus particularly adapted to the study of episodic memory (EM, for which an ecological evaluation is of prime importance. EM is the conscious recollection of personal events combined with their phenomenological and spatiotemporal encoding contexts. Using an original paradigm in a VE, the objective of the present study was to characterize the construction of episodic memories. While the concept of working memory has become central in the understanding of a wide range of cognitive functions, its role in the integration of episodic memories has seldom been assessed in an ecological context. This experiment aimed at filling this gap by studying how EM is affected by concurrent tasks requiring working memory resources in a realistic situation. Participants navigated in a virtual town and had to memorize as many elements in their spatiotemporal context as they could. During learning, participants had either to perform a concurrent task meant to prevent maintenance through the phonological loop, or a task aimed at preventing maintenance through the visuospatial sketchpad, or no concurrent task. EM was assessed in a recall test performed after learning through various scores measuring the what, where and when of the memories. Results showed that, compared to the control condition with no concurrent task, the prevention of maintenance through the phonological loop had a deleterious impact only on the encoding of

  19. The Integration of Realistic Episodic Memories Relies on Different Working Memory Processes: Evidence from Virtual Navigation.

    Science.gov (United States)

    Plancher, Gaën; Gyselinck, Valérie; Piolino, Pascale

    2018-01-01

    Memory is one of the most important cognitive functions in a person's life as it is essential for recalling personal memories and performing many everyday tasks. Although a huge number of studies have been conducted in the field, only a few of them investigated memory in realistic situations, due to methodological issues. The various tools that have been developed using virtual environments (VEs) have gained popularity in cognitive psychology and neuropsychology because they enable to create naturalistic and controlled situations, and are thus particularly adapted to the study of episodic memory (EM), for which an ecological evaluation is of prime importance. EM is the conscious recollection of personal events combined with their phenomenological and spatiotemporal encoding contexts. Using an original paradigm in a VE, the objective of the present study was to characterize the construction of episodic memories. While the concept of working memory has become central in the understanding of a wide range of cognitive functions, its role in the integration of episodic memories has seldom been assessed in an ecological context. This experiment aimed at filling this gap by studying how EM is affected by concurrent tasks requiring working memory resources in a realistic situation. Participants navigated in a virtual town and had to memorize as many elements in their spatiotemporal context as they could. During learning, participants had either to perform a concurrent task meant to prevent maintenance through the phonological loop, or a task aimed at preventing maintenance through the visuospatial sketchpad, or no concurrent task. EM was assessed in a recall test performed after learning through various scores measuring the what, where and when of the memories. Results showed that, compared to the control condition with no concurrent task, the prevention of maintenance through the phonological loop had a deleterious impact only on the encoding of central elements. By

  20. A novel match-line selective charging scheme for high-speed, low-power and noise-tolerant content-addressable memory

    KAUST Repository

    Hasan, Muhammad Mubashwar; Rashid, Abdul B M Harun Ur; Hussain, Muhammad Mustafa

    2010-01-01

    Content-addressable memory (CAM) is an essential component for high-speed lookup intensive applications. This paper presents a match-line selective charging technique to increase speed and reduce the energy per bit per search while increasing the noise-tolerance. Simulation in TSMC 0.18 μm technology with 64×72 Ternary CAM shows the match-line energy reduction of 45% compared to the conventional currentsaving scheme with the reduction of minimum cycle time by 68% and the improvement of noise-tolerance by 96%.

  1. A novel match-line selective charging scheme for high-speed, low-power and noise-tolerant content-addressable memory

    KAUST Repository

    Hasan, Muhammad Mubashwar

    2010-06-01

    Content-addressable memory (CAM) is an essential component for high-speed lookup intensive applications. This paper presents a match-line selective charging technique to increase speed and reduce the energy per bit per search while increasing the noise-tolerance. Simulation in TSMC 0.18 μm technology with 64×72 Ternary CAM shows the match-line energy reduction of 45% compared to the conventional currentsaving scheme with the reduction of minimum cycle time by 68% and the improvement of noise-tolerance by 96%.

  2. Brain training game boosts executive functions, working memory and processing speed in the young adults: a randomized controlled trial.

    Directory of Open Access Journals (Sweden)

    Rui Nouchi

    Full Text Available BACKGROUND: Do brain training games work? The beneficial effects of brain training games are expected to transfer to other cognitive functions. Yet in all honesty, beneficial transfer effects of the commercial brain training games in young adults have little scientific basis. Here we investigated the impact of the brain training game (Brain Age on a wide range of cognitive functions in young adults. METHODS: We conducted a double-blind (de facto masking randomized controlled trial using a popular brain training game (Brain Age and a popular puzzle game (Tetris. Thirty-two volunteers were recruited through an advertisement in the local newspaper and randomly assigned to either of two game groups (Brain Age, Tetris. Participants in both the Brain Age and the Tetris groups played their game for about 15 minutes per day, at least 5 days per week, for 4 weeks. Measures of the cognitive functions were conducted before and after training. Measures of the cognitive functions fell into eight categories (fluid intelligence, executive function, working memory, short-term memory, attention, processing speed, visual ability, and reading ability. RESULTS AND DISCUSSION: Our results showed that commercial brain training game improves executive functions, working memory, and processing speed in young adults. Moreover, the popular puzzle game can engender improvement attention and visuo-spatial ability compared to playing the brain training game. The present study showed the scientific evidence which the brain training game had the beneficial effects on cognitive functions (executive functions, working memory and processing speed in the healthy young adults. CONCLUSIONS: Our results do not indicate that everyone should play brain training games. However, the commercial brain training game might be a simple and convenient means to improve some cognitive functions. We believe that our findings are highly relevant to applications in educational and clinical fields

  3. Brain training game boosts executive functions, working memory and processing speed in the young adults: a randomized controlled trial.

    Science.gov (United States)

    Nouchi, Rui; Taki, Yasuyuki; Takeuchi, Hikaru; Hashizume, Hiroshi; Nozawa, Takayuki; Kambara, Toshimune; Sekiguchi, Atsushi; Miyauchi, Carlos Makoto; Kotozaki, Yuka; Nouchi, Haruka; Kawashima, Ryuta

    2013-01-01

    Do brain training games work? The beneficial effects of brain training games are expected to transfer to other cognitive functions. Yet in all honesty, beneficial transfer effects of the commercial brain training games in young adults have little scientific basis. Here we investigated the impact of the brain training game (Brain Age) on a wide range of cognitive functions in young adults. We conducted a double-blind (de facto masking) randomized controlled trial using a popular brain training game (Brain Age) and a popular puzzle game (Tetris). Thirty-two volunteers were recruited through an advertisement in the local newspaper and randomly assigned to either of two game groups (Brain Age, Tetris). Participants in both the Brain Age and the Tetris groups played their game for about 15 minutes per day, at least 5 days per week, for 4 weeks. Measures of the cognitive functions were conducted before and after training. Measures of the cognitive functions fell into eight categories (fluid intelligence, executive function, working memory, short-term memory, attention, processing speed, visual ability, and reading ability). Our results showed that commercial brain training game improves executive functions, working memory, and processing speed in young adults. Moreover, the popular puzzle game can engender improvement attention and visuo-spatial ability compared to playing the brain training game. The present study showed the scientific evidence which the brain training game had the beneficial effects on cognitive functions (executive functions, working memory and processing speed) in the healthy young adults. Our results do not indicate that everyone should play brain training games. However, the commercial brain training game might be a simple and convenient means to improve some cognitive functions. We believe that our findings are highly relevant to applications in educational and clinical fields. UMIN Clinical Trial Registry 000005618.

  4. Ferroelectric memories: A possible answer to the hardened nonvolatile question

    International Nuclear Information System (INIS)

    Messenger, G.C.; Coppage, F.N.

    1988-01-01

    Ferroelectric memory cells have been fabricated using a process compatible with semiconductor VLSI (Very Large-Scale Integration) manufacturing techniques which are basically nonvolatile and radiation hard. The memory can be made NDRO (Nondestructive Readout) for strategic systems using several techniques; the most practical is probably a rapid read/restore in combination with EDAC software. This memory can replace plated wire and will have substantial advantages in cost, weight, size, power and speed. It provides a practical cost-competitive solution to the need for nonvolatile RAM in all hardened tactical, avionic, and space systems

  5. Critical Speed Analysis of Fibre Reinforced Composite Rotor Embedded with Shape Memory Alloy Wires

    OpenAIRE

    Gupta, K.

    2000-01-01

    In the present analysis, the fundamental natural frequency of a Jeffcott and a two-mass rotor with fibre reinforced composite shaft embedded with shape memory alloy (SMA) wires is evaluated by Rayleigh's procedure. The flexibility of rotor supports is taken into account. The effect of three factors, either singly or in combination with each other, on rotor critical speed is studied. The three factors are: (i) increase in Young's modulus of SMA (NITINOL) wires when activated, (ii) tension in w...

  6. Silicon photonic integrated circuits with electrically programmable non-volatile memory functions.

    Science.gov (United States)

    Song, J-F; Lim, A E-J; Luo, X-S; Fang, Q; Li, C; Jia, L X; Tu, X-G; Huang, Y; Zhou, H-F; Liow, T-Y; Lo, G-Q

    2016-09-19

    Conventional silicon photonic integrated circuits do not normally possess memory functions, which require on-chip power in order to maintain circuit states in tuned or field-configured switching routes. In this context, we present an electrically programmable add/drop microring resonator with a wavelength shift of 426 pm between the ON/OFF states. Electrical pulses are used to control the choice of the state. Our experimental results show a wavelength shift of 2.8 pm/ms and a light intensity variation of ~0.12 dB/ms for a fixed wavelength in the OFF state. Theoretically, our device can accommodate up to 65 states of multi-level memory functions. Such memory functions can be integrated into wavelength division mutiplexing (WDM) filters and applied to optical routers and computing architectures fulfilling large data downloading demands.

  7. Cognitive Processing Speed, Working Memory, and the Intelligibility of Hearing Aid-Processed Speech in Persons with Hearing Impairment

    Directory of Open Access Journals (Sweden)

    Wycliffe Kabaywe Yumba

    2017-08-01

    Full Text Available Previous studies have demonstrated that successful listening with advanced signal processing in digital hearing aids is associated with individual cognitive capacity, particularly working memory capacity (WMC. This study aimed to examine the relationship between cognitive abilities (cognitive processing speed and WMC and individual listeners’ responses to digital signal processing settings in adverse listening conditions. A total of 194 native Swedish speakers (83 women and 111 men, aged 33–80 years (mean = 60.75 years, SD = 8.89, with bilateral, symmetrical mild to moderate sensorineural hearing loss who had completed a lexical decision speed test (measuring cognitive processing speed and semantic word-pair span test (SWPST, capturing WMC participated in this study. The Hagerman test (capturing speech recognition in noise was conducted using an experimental hearing aid with three digital signal processing settings: (1 linear amplification without noise reduction (NoP, (2 linear amplification with noise reduction (NR, and (3 non-linear amplification without NR (“fast-acting compression”. The results showed that cognitive processing speed was a better predictor of speech intelligibility in noise, regardless of the types of signal processing algorithms used. That is, there was a stronger association between cognitive processing speed and NR outcomes and fast-acting compression outcomes (in steady state noise. We observed a weaker relationship between working memory and NR, but WMC did not relate to fast-acting compression. WMC was a relatively weaker predictor of speech intelligibility in noise. These findings might have been different if the participants had been provided with training and or allowed to acclimatize to binary masking noise reduction or fast-acting compression.

  8. Working memory capacity and controlled serial memory search.

    Science.gov (United States)

    Mızrak, Eda; Öztekin, Ilke

    2016-08-01

    The speed-accuracy trade-off (SAT) procedure was used to investigate the relationship between working memory capacity (WMC) and the dynamics of temporal order memory retrieval. High- and low-span participants (HSs, LSs) studied sequentially presented five-item lists, followed by two probes from the study list. Participants indicated the more recent probe. Overall, accuracy was higher for HSs compared to LSs. Crucially, in contrast to previous investigations that observed no impact of WMC on speed of access to item information in memory (e.g., Öztekin & McElree, 2010), recovery of temporal order memory was slower for LSs. While accessing an item's representation in memory can be direct, recovery of relational information such as temporal order information requires a more controlled serial memory search. Collectively, these data indicate that WMC effects are particularly prominent during high demands of cognitive control, such as serial search operations necessary to access temporal order information from memory. Copyright © 2016 Elsevier B.V. All rights reserved.

  9. Scaling dependence of memory windows and different carrier charging behaviors in Si nanocrystal nonvolatile memory devices

    Science.gov (United States)

    Yu, Jie; Chen, Kun-ji; Ma, Zhong-yuan; Zhang, Xin-xin; Jiang, Xiao-fan; Wu, Yang-qing; Huang, Xin-fan; Oda, Shunri

    2016-09-01

    Based on the charge storage mode, it is important to investigate the scaling dependence of memory performance in silicon nanocrystal (Si-NC) nonvolatile memory (NVM) devices for its scaling down limit. In this work, we made eight kinds of test key cells with different gate widths and lengths by 0.13-μm node complementary metal oxide semiconductor (CMOS) technology. It is found that the memory windows of eight kinds of test key cells are almost the same of about 1.64 V @ ± 7 V/1 ms, which are independent of the gate area, but mainly determined by the average size (12 nm) and areal density (1.8 × 1011/cm2) of Si-NCs. The program/erase (P/E) speed characteristics are almost independent of gate widths and lengths. However, the erase speed is faster than the program speed of test key cells, which is due to the different charging behaviors between electrons and holes during the operation processes. Furthermore, the data retention characteristic is also independent of the gate area. Our findings are useful for further scaling down of Si-NC NVM devices to improve the performance and on-chip integration. Project supported by the State Key Development Program for Basic Research of China (Grant No. 2010CB934402) and the National Natural Science Foundation of China (Grant Nos. 11374153, 61571221, and 61071008).

  10. Effects of a Memory and Visual-Motor Integration Program for Older Adults Based on Self-Efficacy Theory.

    Science.gov (United States)

    Kim, Eun Hwi; Suh, Soon Rim

    2017-06-01

    This study was conducted to verify the effects of a memory and visual-motor integration program for older adults based on self-efficacy theory. A non-equivalent control group pretest-posttest design was implemented in this quasi-experimental study. The participants were 62 older adults from senior centers and older adult welfare facilities in D and G city (Experimental group=30, Control group=32). The experimental group took part in a 12-session memory and visual-motor integration program over 6 weeks. Data regarding memory self-efficacy, memory, visual-motor integration, and depression were collected from July to October of 2014 and analyzed with independent t-test and Mann-Whitney U test using PASW Statistics (SPSS) 18.0 to determine the effects of the interventions. Memory self-efficacy (t=2.20, p=.031), memory (Z=-2.92, p=.004), and visual-motor integration (Z=-2.49, p=.013) increased significantly in the experimental group as compared to the control group. However, depression (Z=-0.90, p=.367) did not decrease significantly. This program is effective for increasing memory, visual-motor integration, and memory self-efficacy in older adults. Therefore, it can be used to improve cognition and prevent dementia in older adults. © 2017 Korean Society of Nursing Science

  11. Bipolar one diode-one resistor integration for high-density resistive memory applications.

    Science.gov (United States)

    Li, Yingtao; Lv, Hangbing; Liu, Qi; Long, Shibing; Wang, Ming; Xie, Hongwei; Zhang, Kangwei; Huo, Zongliang; Liu, Ming

    2013-06-07

    Different from conventional unipolar-type 1D-1R RRAM devices, a bipolar-type 1D-1R memory device concept is proposed and successfully demonstrated by the integration of Ni/TiOx/Ti diode and Pt/HfO2/Cu bipolar RRAM cell to suppress the undesired sneak current in a cross-point array. The bipolar 1D-1R memory device not only achieves self-compliance resistive switching characteristics by the reverse bias current of the Ni/TiOx/Ti diode, but also exhibits excellent bipolar resistive switching characteristics such as uniform switching, satisfactory data retention, and excellent scalability, which give it high potentiality for high-density integrated nonvolatile memory applications.

  12. Characterization of Ge Doping on Sb_2Te_3 for High-Speed Phase Change Memory Application

    International Nuclear Information System (INIS)

    Zhu Yue-Qin; Xie Hua-Qing; Zhang Zhong-Hua; Song San-Nian; Song Zhi-Tang; Shen Lan-Lan; Li Le; Wu Liang-Cai; Liu Bo

    2015-01-01

    The phase change material of Ge-doped Sb_2Te_3 is shown to have higher crystallization temperature and better thermal stability compared with pure Sb_2Te_3. Ge_0_._1_1Sb_2Te_3 alloys are considered to be a potential candidate for phase change random access memories, as proved by a higher crystallization temperature, a better data retention ability, and a faster switching speed in comparison with those of Ge_2Sb_2Te_5. In addition, Ge_0_._1_1Sb_2Te_3 presents extremely rapid reverse switching speed (10 ns), and up to 10"5 programming cycles are obtained with stable set and reset resistances. (paper)

  13. Analogical reasoning in working memory: resources shared among relational integration, interference resolution, and maintenance.

    Science.gov (United States)

    Cho, Soohyun; Holyoak, Keith J; Cannon, Tyrone D

    2007-09-01

    We report a series of experiments using a pictorial analogy task designed to manipulate relational integration, interference resolution, and active maintenance simultaneously. The difficulty of the problems was varied in terms of the number of relations to be integrated, the need for interference resolution, and the duration of maintenance required to correctly solve the analogy. The participants showed decreases in performance when integrating multiple relations, as compared with a single relation, and when interference resolution was required in solving the analogy. When the participants were required to integrate multiple relations while simultaneously engaged in interference resolution, performance was worse, as compared with problems that incorporated either of these features alone. Maintenance of information across delays in the range of 1-4.5 sec led to greater decrements in visual memory, as compared with analogical reasoning. Misleading information caused interference when it had been necessarily attended to and maintained in working memory and, hence, had to be actively suppressed. However, sources of conflict within information that had not been attended to or encoded into working memory did not interfere with the ongoing controlled information processing required for relational integration. The findings provide evidence that relational integration and interference resolution depend on shared cognitive resources in working memory during analogical reasoning.

  14. Reversing the picture superiority effect: a speed-accuracy trade-off study of recognition memory.

    Science.gov (United States)

    Boldini, Angela; Russo, Riccardo; Punia, Sahiba; Avons, S E

    2007-01-01

    Speed-accuracy trade-off methods have been used to contrast single- and dual-process accounts of recognition memory. With these procedures, subjects are presented with individual test items and required to make recognition decisions under various time constraints. In three experiments, we presented words and pictures to be intentionally learned; test stimuli were always visually presented words. At test, we manipulated the interval between the presentation of each test stimulus and that of a response signal, thus controlling the amount of time available to retrieve target information. The standard picture superiority effect was significant in long response deadline conditions (i.e., > or = 2,000 msec). Conversely, a significant reverse picture superiority effect emerged at short response-signal deadlines (< 200 msec). The results are congruent with views suggesting that both fast familiarity and slower recollection processes contribute to recognition memory. Alternative accounts are also discussed.

  15. Weighted integration of short-term memory and sensory signals in the oculomotor system.

    Science.gov (United States)

    Deravet, Nicolas; Blohm, Gunnar; de Xivry, Jean-Jacques Orban; Lefèvre, Philippe

    2018-05-01

    Oculomotor behaviors integrate sensory and prior information to overcome sensory-motor delays and noise. After much debate about this process, reliability-based integration has recently been proposed and several models of smooth pursuit now include recurrent Bayesian integration or Kalman filtering. However, there is a lack of behavioral evidence in humans supporting these theoretical predictions. Here, we independently manipulated the reliability of visual and prior information in a smooth pursuit task. Our results show that both smooth pursuit eye velocity and catch-up saccade amplitude were modulated by visual and prior information reliability. We interpret these findings as the continuous reliability-based integration of a short-term memory of target motion with visual information, which support modeling work. Furthermore, we suggest that saccadic and pursuit systems share this short-term memory. We propose that this short-term memory of target motion is quickly built and continuously updated, and constitutes a general building block present in all sensorimotor systems.

  16. Genetic correlations between brain volumes and the WAIS-III dimensions of verbal comprehension, working memory, perceptual organization, and processing speed

    DEFF Research Database (Denmark)

    Posthuma, Daniëlle; Baare, Wim F.C.; Hulshoff Pol, Hilleke E.

    2003-01-01

    We recently showed that the correlation of gray and white matter volume with full scale IQ and the Working Memory dimension are completely mediated by common genetic factors (Posthuma et al., 2002). Here we examine whether the other WAIS III dimensions (Verbal Comprehension, Perceptual Organization......, Processing Speed) are also related to gray and white matter volume, and whether any of the dimensions are related to cerebellar volume. Two overlapping samples provided 135 subjects from 60 extended twin families for whom both MRI scans and WAIS III data were available. All three brain volumes are related...... to Working Memory capacity (r = 0.27). This phenotypic correlation is completely due to a common underlying genetic factor. Processing Speed was genetically related to white matter volume (r(g) = 0.39). Perceptual Organization was both genetically (r(g) = 0.39) and environmentally (r(e) = -0.71) related...

  17. A common short-term memory retrieval rate may describe many cognitive procedures

    Directory of Open Access Journals (Sweden)

    Evie eVergauwe

    2014-03-01

    Full Text Available We examine the relationship between response speed and the number of items in short-term memory in four different paradigms and find evidence for a similar high-speed processing rate of about 25 to 30 items per second (~35 to 40 ms/item. We propose that the similarity of the processing rates across paradigms reflects the operation of a very basic covert memory process, high-speed retrieval, that is involved in both the search for information in STM and the reactivation or refreshing of information that keeps it in STM. We link this process to a specific pattern of rhythmic, repetitive neural activity in the brain (gamma oscillations. This proposal generates ideas for research and calls for an integrative approach that combines neuroscientific measures with behavioral cognitive techniques.

  18. Flexible, High-Speed CdSe Nanocrystal Integrated Circuits.

    Science.gov (United States)

    Stinner, F Scott; Lai, Yuming; Straus, Daniel B; Diroll, Benjamin T; Kim, David K; Murray, Christopher B; Kagan, Cherie R

    2015-10-14

    We report large-area, flexible, high-speed analog and digital colloidal CdSe nanocrystal integrated circuits operating at low voltages. Using photolithography and a newly developed process to fabricate vertical interconnect access holes, we scale down device dimensions, reducing parasitic capacitances and increasing the frequency of circuit operation, and scale up device fabrication over 4 in. flexible substrates. We demonstrate amplifiers with ∼7 kHz bandwidth, ring oscillators with <10 μs stage delays, and NAND and NOR logic gates.

  19. A compact PE memory for vision chips

    Science.gov (United States)

    Cong, Shi; Zhe, Chen; Jie, Yang; Nanjian, Wu; Zhihua, Wang

    2014-09-01

    This paper presents a novel compact memory in the processing element (PE) for single-instruction multiple-data (SIMD) vision chips. The PE memory is constructed with 8 × 8 register cells, where one latch in the slave stage is shared by eight latches in the master stage. The memory supports simultaneous read and write on the same address in one clock cycle. Its compact area of 14.33 μm2/bit promises a higher integration level of the processor. A prototype chip with a 64 × 64 PE array is fabricated in a UMC 0.18 μm CMOS technology. Five types of the PE memory cell structure are designed and compared. The testing results demonstrate that the proposed PE memory architecture well satisfies the requirement of the vision chip in high-speed real-time vision applications, such as 1000 fps edge extraction.

  20. Path integration of head direction: updating a packet of neural activity at the correct speed using axonal conduction delays.

    Science.gov (United States)

    Walters, Daniel; Stringer, Simon; Rolls, Edmund

    2013-01-01

    The head direction cell system is capable of accurately updating its current representation of head direction in the absence of visual input. This is known as the path integration of head direction. An important question is how the head direction cell system learns to perform accurate path integration of head direction. In this paper we propose a model of velocity path integration of head direction in which the natural time delay of axonal transmission between a linked continuous attractor network and competitive network acts as a timing mechanism to facilitate the correct speed of path integration. The model effectively learns a "look-up" table for the correct speed of path integration. In simulation, we show that the model is able to successfully learn two different speeds of path integration across two different axonal conduction delays, and without the need to alter any other model parameters. An implication of this model is that, by learning look-up tables for each speed of path integration, the model should exhibit a degree of robustness to damage. In simulations, we show that the speed of path integration is not significantly affected by degrading the network through removing a proportion of the cells that signal rotational velocity.

  1. Two items remembered as precisely as one: how integral features can improve visual working memory.

    Science.gov (United States)

    Bae, Gi Yeul; Flombaum, Jonathan I

    2013-10-01

    In the ongoing debate about the efficacy of visual working memory for more than three items, a consensus has emerged that memory precision declines as memory load increases from one to three. Many studies have reported that memory precision seems to be worse for two items than for one. We argue that memory for two items appears less precise than that for one only because two items present observers with a correspondence challenge that does not arise when only one item is stored--the need to relate observations to their corresponding memory representations. In three experiments, we prevented correspondence errors in two-item trials by varying sample items along task-irrelevant but integral (as opposed to separable) dimensions. (Initial experiments with a classic sorting paradigm identified integral feature relationships.) In three memory experiments, our manipulation produced equally precise representations of two items and of one item.

  2. Examining the Influence of Perceived Stress on Developmental Change in Memory and Perceptual Speed for Adopted and Nonadopted Individuals

    Science.gov (United States)

    Ricker, Ashley A.; Corley, Robin; DeFries, John C.; Wadsworth, Sally J.; Reynolds, Chandra A.

    2018-01-01

    The present study prospectively evaluated cumulative early life perceived stress in relation to differential change in memory and perceptual speed from middle childhood to early adulthood. We aimed to identify periods of cognitive development susceptible to the effects of perceived stress among both adopted and nonadopted individuals. The sample…

  3. Speed control for a two-mass drive system using integrated fuzzy estimator and hybrid fuzzy PD/PI controller

    International Nuclear Information System (INIS)

    Pai, N-S; Kuo, Y-P

    2008-01-01

    This paper presents a novel speed control scheme for a 2- mass motor drive system. The speed controller is based on the estimated state feedback compensation. The integrated fuzzy observer can give a fast and accuracy estimation of the unmeasured states. Two kinds of hybrid fuzzy proportional-derivative and proportional-integral (HF PD/PI) are proposed to cope with this speed control problem. The first is the static HF PD/PI controller and the second is the dynamic one. Simulation results show that the developed integrated fuzzy observer provide the better estimation performance than that of the Kalman filter and the proposed control schemes can effectively track the desired speed in the presence of load disturbance

  4. FPGA based high-performance multi-channel analyzer with local histogram memory

    International Nuclear Information System (INIS)

    Kulkarni, C.P.; Vaidya, P.P.; Paulson, M.

    2004-01-01

    Modern nuclear spectroscopy systems demand for a Multi-Channel Analyzer (MCA) with higher resolution, faster speed and other advanced features. The MCA described here is targeted for such demanding applications. The MCA has an in-built local histogram memory and a memory management unit integrated in an FPGA (Field Programmable Gate Array) chip. In addition to the integrated low power digital circuitry, the system utilizes state of the art advanced analog circuits like low power, high speed and high precision comparators, op-amps, ADC and DAC. The operating resolution is selectable from 256 channels to 16384 channels for pulse height analysis. It supports high count rate applications (typically 100 KHz) without significant dead time penalty. It can have an USB bus interface with simple changes. In general, the MCA gives a high performance, compact and low power alternative for portable and battery operated systems as well as for high end laboratory instruments. (author)

  5. Compact, Low-Power, and High-Speed Graphene-Based Integrated Photonic Modulator Technology

    Science.gov (United States)

    2017-11-02

    Compact, Low-Power, and High-Speed Graphene- Based Integrated Photonic Modulator Technology The views, opinions and/or findings contained in this...Graphene-Based Integrated Photonic Modulator Technology Report Term: 0-Other Email: sorger@gwu.edu Distribution Statement: 1-Approved for public release...which is an all-time record at Georgia Tech. Protocol Activity Status: Technology Transfer: Nothing to Report PARTICIPANTS: Person Months Worked

  6. Remembering New Words: Integrating Early Memory Development into Word Learning

    OpenAIRE

    Wojcik, Erica H.

    2013-01-01

    In order to successfully acquire a new word, young children must learn the correct associations between labels and their referents. For decades, word-learning researchers have explored how young children are able to form these associations. However, in addition to learning label-referent mappings, children must also remember them. Despite the importance of memory processes in forming a stable lexicon, there has been little integration of early memory research into the study of early word lear...

  7. Speed Synchronization Control of Integrated Motor–Transmission Powertrain over CAN through Active Period-Scheduling Approach

    Directory of Open Access Journals (Sweden)

    Wanke Cao

    2017-11-01

    Full Text Available This paper deals with the speed synchronization control of integrated motor–transmission (IMT powertrain systems in pure electric vehicles (EVs over a controller area network (CAN subject to both network-induced delays and network congestion. A CAN has advantages over point-to-point communication; however, it imposes network-induced delays and network congestion into the control system, which can deteriorate the shifting quality and make system integration difficult. This paper presents a co-design scheme combining active period scheduling and discrete-time slip mode control (SMC to deal with both network-induced delays and network congestion of the CAN, which improves the speed synchronization control for high shifting quality and prevents network congestion for the system’s integration. The results of simulations and hardware-in-loop experiments show the effectiveness of the proposed scheme, which can ensure satisfactory speed synchronization performance while significantly reducing the network’s utilization.

  8. Design of all-optical memory cell using EIT and lasing without inversion phenomena in optical micro ring resonators

    Science.gov (United States)

    Pasyar, N.; Yadipour, R.; Baghban, H.

    2017-07-01

    The proposed design of the optical memory unit cell contains dual micro ring resonators in which the effect of lasing without inversion (LWI) in three-level nano particles doped over the optical resonators or integrators as the gain segment is used for loss compensation. Also, an on/off phase shifter based on electromagnetically induced transparency (EIT) in three-level quantum dots (QDs) has been used for data reading at requested time. Device minimizing for integrated purposes and high speed data storage are the main advantages of the optical integrator based memory.

  9. The Role of Spatial Memory and Frames of Reference in the Precision of Angular Path Integration

    OpenAIRE

    Arthur, Joeanna C.; Philbeck, John W.; Kleene, Nicholas J.; Chichka, David

    2012-01-01

    Angular path integration refers to the ability to maintain an estimate of self-location after a rotational displacement by integrating internally-generated (idiothetic) self-motion signals over time. Previous work has found that non-sensory inputs, namely spatial memory, can play a powerful role in angular path integration (Arthur et al., 2007, 2009). Here we investigated the conditions under which spatial memory facilitates angular path integration. We hypothesized that the benefit of spatia...

  10. High speed video recording system on a chip for detonation jet engine testing

    Directory of Open Access Journals (Sweden)

    Samsonov Alexander N.

    2018-01-01

    Full Text Available This article describes system on a chip development for high speed video recording purposes. Current research was started due to difficulties in selection of FPGAs and CPUs which include wide bandwidth, high speed and high number of multipliers for real time signal analysis implementation. Current trend of high density silicon device integration will result soon in a hybrid sensor-controller-memory circuit packed in a single chip. This research was the first step in a series of experiments in manufacturing of hybrid devices. The current task is high level syntheses of high speed logic and CPU core in an FPGA. The work resulted in FPGA-based prototype implementation and examination.

  11. Genetic correlations between brain volumes and the WAIS-III dimensions of verbal comprehension, working memory, perceptual organization, and processing speed

    DEFF Research Database (Denmark)

    Posthuma, Daniëlle; Baare, Wim F.C.; Hulshoff Pol, Hilleke E.

    2003-01-01

    We recently showed that the correlation of gray and white matter volume with full scale IQ and the Working Memory dimension are completely mediated by common genetic factors (Posthuma et al., 2002). Here we examine whether the other WAIS III dimensions (Verbal Comprehension, Perceptual Organization...... to Working Memory capacity (r = 0.27). This phenotypic correlation is completely due to a common underlying genetic factor. Processing Speed was genetically related to white matter volume (r(g) = 0.39). Perceptual Organization was both genetically (r(g) = 0.39) and environmentally (r(e) = -0.71) related...

  12. Integration of Radiation-Hard Magnetic Random Access Memory with CMOS ICs

    CERN Document Server

    Cerjan, C J

    2000-01-01

    The research undertaken in this LDRD-funded project addressed the joint development of magnetic material-based nonvolatile, radiation-hard memory cells with Sandia National Laboratory. Specifically, the goal of this project was to demonstrate the intrinsic radiation-hardness of Giant Magneto-Resistive (GMR) materials by depositing representative alloy combinations upon radiation-hardened silicon-based integrated circuits. All of the stated goals of the project were achieved successfully. The necessary films were successfully deposited upon typical integrated circuits; the materials retained their magnetic field response at the highest radiation doses; and a patterning approach was developed that did not degrade the as-fabricated properties of the underlying circuitry. These results establish the feasibility of building radiation-hard magnetic memory cells.

  13. Microcontroller-based binary integrator for millimeter-wave radar experiments.

    Science.gov (United States)

    Eskelinen, Pekka; Ruoskanen, Jukka; Peltonen, Jouni

    2010-05-01

    An easily on-site reconfigurable multiple binary integrator for millimeter radar experiments has been constructed of static random access memories, an eight bit microcontroller, and high speed video operational amplifiers. The design uses a raw comparator path and two adjustable m-out-of-n chains in a wired-OR configuration. Standard high speed memories allow the use of pulse widths below 100 ns. For eight pulse repetition intervals it gives a maximum improvement of 6.6 dB for stationary low-level target echoes. The doubled configuration enhances the capability against fluctuating targets. Because of the raw comparator path, also single return pulses of relatively high amplitude are processed.

  14. Lack of color integration in visual short-term memory binding.

    Science.gov (United States)

    Parra, Mario A; Cubelli, Roberto; Della Sala, Sergio

    2011-10-01

    Bicolored objects are retained in visual short-term memory (VSTM) less efficiently than unicolored objects. This is unlike shape-color combinations, whose retention in VSTM does not differ from that observed for shapes only. It is debated whether this is due to a lack of color integration and whether this may reflect the function of separate memory mechanisms. Participants judged whether the colors of bicolored objects (each with an external and an internalcolor) were the same or different across two consecutive screens. Colors had to be remembered either individually or in combination. In Experiment 1, external colors in the combined colors condition were remembered better than the internal colors, and performance for both was worse than that in the individual colors condition. The lack of color integration observed in Experiment 1 was further supported by a reduced capacity of VSTM to retain color combinations, relative to individual colors (Experiment 2). An additional account was found in Experiment 3, which showed spared color-color binding in the presence of impaired shape-color binding in a brain-damaged patient, thus suggesting that these two memory mechanisms are different.

  15. A review of the Z2-FET 1T-DRAM memory: Operation mechanisms and key parameters

    Science.gov (United States)

    Cristoloveanu, S.; Lee, K. H.; Parihar, M. S.; El Dirani, H.; Lacord, J.; Martinie, S.; Le Royer, C.; Barbe, J.-Ch.; Mescot, X.; Fonteneau, P.; Galy, Ph.; Gamiz, F.; Navarro, C.; Cheng, B.; Duan, M.; Adamu-Lema, F.; Asenov, A.; Taur, Y.; Xu, Y.; Kim, Y.-T.; Wan, J.; Bawedin, M.

    2018-05-01

    The band-modulation and sharp-switching mechanisms in Z2-FET device operated as a capacitorless 1T-DRAM memory are reviewed. The main parameters that govern the memory performance are discussed based on detailed experiments and simulations. This 1T-DRAM memory does not suffer from super-coupling effect and can be integrated in sub-10 nm thick SOI films. It offers low leakage current, high current margin, long retention, low operating voltage especially for programming, and high speed. The Z2-FET is suitable for embedded memory applications.

  16. Integration of motion energy from overlapping random background noise increases perceived speed of coherently moving stimuli.

    Science.gov (United States)

    Chuang, Jason; Ausloos, Emily C; Schwebach, Courtney A; Huang, Xin

    2016-12-01

    The perception of visual motion can be profoundly influenced by visual context. To gain insight into how the visual system represents motion speed, we investigated how a background stimulus that did not move in a net direction influenced the perceived speed of a center stimulus. Visual stimuli were two overlapping random-dot patterns. The center stimulus moved coherently in a fixed direction, whereas the background stimulus moved randomly. We found that human subjects perceived the speed of the center stimulus to be significantly faster than its veridical speed when the background contained motion noise. Interestingly, the perceived speed was tuned to the noise level of the background. When the speed of the center stimulus was low, the highest perceived speed was reached when the background had a low level of motion noise. As the center speed increased, the peak perceived speed was reached at a progressively higher background noise level. The effect of speed overestimation required the center stimulus to overlap with the background. Increasing the background size within a certain range enhanced the effect, suggesting spatial integration. The speed overestimation was significantly reduced or abolished when the center stimulus and the background stimulus had different colors, or when they were placed at different depths. When the center- and background-stimuli were perceptually separable, speed overestimation was correlated with perceptual similarity between the center- and background-stimuli. These results suggest that integration of motion energy from random motion noise has a significant impact on speed perception. Our findings put new constraints on models regarding the neural basis of speed perception. Copyright © 2016 the American Physiological Society.

  17. An energy efficient and high speed architecture for convolution computing based on binary resistive random access memory

    Science.gov (United States)

    Liu, Chen; Han, Runze; Zhou, Zheng; Huang, Peng; Liu, Lifeng; Liu, Xiaoyan; Kang, Jinfeng

    2018-04-01

    In this work we present a novel convolution computing architecture based on metal oxide resistive random access memory (RRAM) to process the image data stored in the RRAM arrays. The proposed image storage architecture shows performances of better speed-device consumption efficiency compared with the previous kernel storage architecture. Further we improve the architecture for a high accuracy and low power computing by utilizing the binary storage and the series resistor. For a 28 × 28 image and 10 kernels with a size of 3 × 3, compared with the previous kernel storage approach, the newly proposed architecture shows excellent performances including: 1) almost 100% accuracy within 20% LRS variation and 90% HRS variation; 2) more than 67 times speed boost; 3) 71.4% energy saving.

  18. Examining the Relative Contribution of Memory Updating, Attention Focus Switching, and Sustained Attention to Children’s Verbal Working Memory Span

    Directory of Open Access Journals (Sweden)

    Beula M. Magimairaj

    2013-01-01

    Full Text Available Whereas considerable developmental memory research has examined the contributions of short-term memory, processing efficiency, retention duration, and scope of attention to complex memory span, little is known about the influence of controlled attention. The present study investigated the relative influence of three understudied attention mechanisms on the verbal working memory span of school-age children: memory updating; attention focus switching; and sustained attention. Results of general linear modeling revealed that, after controlling for age, only updating accuracy emerged as a significant predictor of verbal working memory span. Memory updating speed (that subsumed attention focus switching speed also contributed but was mediated by age. The results extend the developmental memory literature by implicating the mechanism of memory updating and developmental improvement in speed of attention focus switching and updating as critical contributors to children’s verbal working memory. Theoretically, the results provide substantively new information about the role of domain-general executive attention in children’s verbal working memory.

  19. Functional integration of vertical flight path and speed control using energy principles

    Science.gov (United States)

    Lambregts, A. A.

    1984-01-01

    A generalized automatic flight control system was developed which integrates all longitudinal flight path and speed control functions previously provided by a pitch autopilot and autothrottle. In this design, a net thrust command is computed based on total energy demand arising from both flight path and speed targets. The elevator command is computed based on the energy distribution error between flight path and speed. The engine control is configured to produce the commanded net thrust. The design incorporates control strategies and hierarchy to deal systematically and effectively with all aircraft operational requirements, control nonlinearities, and performance limits. Consistent decoupled maneuver control is achieved for all modes and flight conditions without outer loop gain schedules, control law submodes, or control function duplication.

  20. Optimizing Performance of Combustion Chemistry Solvers on Intel's Many Integrated Core (MIC) Architectures

    Energy Technology Data Exchange (ETDEWEB)

    Sitaraman, Hariswaran [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Grout, Ray W [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-06-09

    This work investigates novel algorithm designs and optimization techniques for restructuring chemistry integrators in zero and multidimensional combustion solvers, which can then be effectively used on the emerging generation of Intel's Many Integrated Core/Xeon Phi processors. These processors offer increased computing performance via large number of lightweight cores at relatively lower clock speeds compared to traditional processors (e.g. Intel Sandybridge/Ivybridge) used in current supercomputers. This style of processor can be productively used for chemistry integrators that form a costly part of computational combustion codes, in spite of their relatively lower clock speeds. Performance commensurate with traditional processors is achieved here through the combination of careful memory layout, exposing multiple levels of fine grain parallelism and through extensive use of vendor supported libraries (Cilk Plus and Math Kernel Libraries). Important optimization techniques for efficient memory usage and vectorization have been identified and quantified. These optimizations resulted in a factor of ~ 3 speed-up using Intel 2013 compiler and ~ 1.5 using Intel 2017 compiler for large chemical mechanisms compared to the unoptimized version on the Intel Xeon Phi. The strategies, especially with respect to memory usage and vectorization, should also be beneficial for general purpose computational fluid dynamics codes.

  1. Intensive video gaming improves encoding speed to visual short-term memory in young male adults.

    Science.gov (United States)

    Wilms, Inge L; Petersen, Anders; Vangkilde, Signe

    2013-01-01

    The purpose of this study was to measure the effect of action video gaming on central elements of visual attention using Bundesen's (1990) Theory of Visual Attention. To examine the cognitive impact of action video gaming, we tested basic functions of visual attention in 42 young male adults. Participants were divided into three groups depending on the amount of time spent playing action video games: non-players (15h/month, N=20). All participants were tested in three tasks which tap central functions of visual attention and short-term memory: a test based on the Theory of Visual Attention (TVA), an enumeration test and finally the Attentional Network Test (ANT). The results show that action video gaming does not seem to impact the capacity of visual short-term memory. However, playing action video games does seem to improve the encoding speed of visual information into visual short-term memory and the improvement does seem to depend on the time devoted to gaming. This suggests that intense action video gaming improves basic attentional functioning and that this improvement generalizes into other activities. The implications of these findings for cognitive rehabilitation training are discussed. Copyright © 2012 Elsevier B.V. All rights reserved.

  2. Low-voltage high-speed programming gate-all-around floating gate memory cell with tunnel barrier engineering

    Science.gov (United States)

    Hamzah, Afiq; Ezaila Alias, N.; Ismail, Razali

    2018-06-01

    The aim of this study is to investigate the memory performances of gate-all-around floating gate (GAA-FG) memory cell implementing engineered tunnel barrier concept of variable oxide thickness (VARIOT) of low-k/high-k for several high-k (i.e., Si3N4, Al2O3, HfO2, and ZrO2) with low-k SiO2 using three-dimensional (3D) simulator Silvaco ATLAS. The simulation work is conducted by initially determining the optimized thickness of low-k/high-k barrier-stacked and extracting their Fowler–Nordheim (FN) coefficients. Based on the optimized parameters the device performances of GAA-FG for fast program operation and data retention are assessed using benchmark set by 6 and 8 nm SiO2 tunnel layer respectively. The programming speed has been improved and wide memory window with 30% increment from conventional SiO2 has been obtained using SiO2/Al2O3 tunnel layer due to its thin low-k dielectric thickness. Furthermore, given its high band edges only 1% of charge-loss is expected after 10 years of ‑3.6/3.6 V gate stress.

  3. Digitally controlled analog proportional-integral-derivative (PID) controller for high-speed scanning probe microscopy

    Science.gov (United States)

    Dukic, Maja; Todorov, Vencislav; Andany, Santiago; Nievergelt, Adrian P.; Yang, Chen; Hosseini, Nahid; Fantner, Georg E.

    2017-12-01

    Nearly all scanning probe microscopes (SPMs) contain a feedback controller, which is used to move the scanner in the direction of the z-axis in order to maintain a constant setpoint based on the tip-sample interaction. The most frequently used feedback controller in SPMs is the proportional-integral (PI) controller. The bandwidth of the PI controller presents one of the speed limiting factors in high-speed SPMs, where higher bandwidths enable faster scanning speeds and higher imaging resolution. Most SPM systems use digital signal processor-based PI feedback controllers, which require analog-to-digital and digital-to-analog converters. These converters introduce additional feedback delays which limit the achievable imaging speed and resolution. In this paper, we present a digitally controlled analog proportional-integral-derivative (PID) controller. The controller implementation allows tunability of the PID gains over a large amplification and frequency range, while also providing precise control of the system and reproducibility of the gain parameters. By using the analog PID controller, we were able to perform successful atomic force microscopy imaging of a standard silicon calibration grating at line rates up to several kHz.

  4. Cortical mechanisms for trans-saccadic memory and integration of multiple object features

    Science.gov (United States)

    Prime, Steven L.; Vesia, Michael; Crawford, J. Douglas

    2011-01-01

    Constructing an internal representation of the world from successive visual fixations, i.e. separated by saccadic eye movements, is known as trans-saccadic perception. Research on trans-saccadic perception (TSP) has been traditionally aimed at resolving the problems of memory capacity and visual integration across saccades. In this paper, we review this literature on TSP with a focus on research showing that egocentric measures of the saccadic eye movement can be used to integrate simple object features across saccades, and that the memory capacity for items retained across saccades, like visual working memory, is restricted to about three to four items. We also review recent transcranial magnetic stimulation experiments which suggest that the right parietal eye field and frontal eye fields play a key functional role in spatial updating of objects in TSP. We conclude by speculating on possible cortical mechanisms for governing egocentric spatial updating of multiple objects in TSP. PMID:21242142

  5. Is Speed of Integration really a Success Factor of Mergers and Acquisitions? : An Analysis of the Role of Internal and External Relatedness

    OpenAIRE

    Homburg, Christian; Bucerius, Matthias

    2006-01-01

    Previous research on mergers and acquisitions (M&A) has neglected the issue of speed of post merger integration (PMI) by and large. This paper argues that there are benefits and detriments associated with speed of integration. Thus, in some situations speed may be highly beneficial whereas in others it may be harmful to the success of a merger or acquisition. It is argued that the benefits and detriments of speed of integration depend on the magnitude of internal and external relatedness ...

  6. Cognitive processing speed in older adults: relationship with white matter integrity.

    Directory of Open Access Journals (Sweden)

    Geoffrey A Kerchner

    Full Text Available Cognitive processing slows with age. We sought to determine the importance of white matter integrity, assessed by diffusion tensor imaging (DTI, at influencing cognitive processing speed among normal older adults, assessed using a novel battery of computerized, non-verbal, choice reaction time tasks. We studied 131 cognitively normal adults aged 55-87 using a cross-sectional design. Each participant underwent our test battery, as well as MRI with DTI. We carried out cross-subject comparisons using tract-based spatial statistics. As expected, reaction time slowed significantly with age. In diffuse areas of frontal and parietal white matter, especially the anterior corpus callosum, fractional anisotropy values correlated negatively with reaction time. The genu and body of the corpus callosum, superior longitudinal fasciculus, and inferior fronto-occipital fasciculus were among the areas most involved. This relationship was not explained by gray or white matter atrophy or by white matter lesion volume. In a statistical mediation analysis, loss of white matter integrity mediated the relationship between age and cognitive processing speed.

  7. Variability in visual working memory ability limits the efficiency of perceptual decision making.

    Science.gov (United States)

    Ester, Edward F; Ho, Tiffany C; Brown, Scott D; Serences, John T

    2014-04-02

    The ability to make rapid and accurate decisions based on limited sensory information is a critical component of visual cognition. Available evidence suggests that simple perceptual discriminations are based on the accumulation and integration of sensory evidence over time. However, the memory system(s) mediating this accumulation are unclear. One candidate system is working memory (WM), which enables the temporary maintenance of information in a readily accessible state. Here, we show that individual variability in WM capacity is strongly correlated with the speed of evidence accumulation in speeded two-alternative forced choice tasks. This relationship generalized across different decision-making tasks, and could not be easily explained by variability in general arousal or vigilance. Moreover, we show that performing a difficult discrimination task while maintaining a concurrent memory load has a deleterious effect on the latter, suggesting that WM storage and decision making are directly linked.

  8. A graphene integrated highly transparent resistive switching memory device

    Science.gov (United States)

    Dugu, Sita; Pavunny, Shojan P.; Limbu, Tej B.; Weiner, Brad R.; Morell, Gerardo; Katiyar, Ram S.

    2018-05-01

    We demonstrate the hybrid fabrication process of a graphene integrated highly transparent resistive random-access memory (TRRAM) device. The indium tin oxide (ITO)/Al2O3/graphene nonvolatile memory device possesses a high transmittance of >82% in the visible region (370-700 nm) and exhibits stable and non-symmetrical bipolar switching characteristics with considerably low set and reset voltages (ITO/Al2O3/Pt device and studied its switching characteristics for comparison and a better understanding of the ITO/Al2O3/graphene device characteristics. The conduction mechanisms in high and low resistance states were analyzed, and the observed polarity dependent resistive switching is explained based on electro-migration of oxygen ions.

  9. A microprogrammable high-speed data collection system for position sensitive X-ray detectors

    International Nuclear Information System (INIS)

    Hashizume, H.

    1984-01-01

    A high-speed data acquisition system has been designed which collects digital data from one- and two-dimensional position sensitive X-ray detectors at a maximum average data rate of 1 MHz. The system consists of two separate fast buffer memories, a 64 K word by 20-bit main storage, two timers, a display controller, a computer interface and a keyboard, controlled by a specially designed microprogrammable microprocessor. Data collection is performed by executing a microprogram stored in the control storage; data coming from a detector are first accumulated in a small but fast buffer memory by hardware and transferred to the main storage under control of the microprogram. This design not only permits time-resolved data collections but also provides maximum speed, flexibility and cost-effectiveness simultaneously. The system also accepts data from integrated detectors such as TV cameras. The system has been designed for use in experiments at conventional and synchrotron X-ray sources. (orig.)

  10. Non-volatile memories

    CERN Document Server

    Lacaze, Pierre-Camille

    2014-01-01

    Written for scientists, researchers, and engineers, Non-volatile Memories describes the recent research and implementations in relation to the design of a new generation of non-volatile electronic memories. The objective is to replace existing memories (DRAM, SRAM, EEPROM, Flash, etc.) with a universal memory model likely to reach better performances than the current types of memory: extremely high commutation speeds, high implantation densities and retention time of information of about ten years.

  11. A variable-mode stator consequent pole memory machine

    Science.gov (United States)

    Yang, Hui; Lyu, Shukang; Lin, Heyun; Zhu, Z. Q.

    2018-05-01

    In this paper, a variable-mode concept is proposed for the speed range extension of a stator-consequent-pole memory machine (SCPMM). An integrated permanent magnet (PM) and electrically excited control scheme is utilized to simplify the flux-weakening control instead of relatively complicated continuous PM magnetization control. Due to the nature of memory machine, the magnetization state of low coercive force (LCF) magnets can be easily changed by applying either a positive or negative current pulse. Therefore, the number of PM poles may be changed to satisfy the specific performance requirement under different speed ranges, i.e. the machine with all PM poles can offer high torque output while that with half PM poles provides wide constant power range. In addition, the SCPMM with non-magnetized PMs can be considered as a dual-three phase electrically excited reluctance machine, which can be fed by an open-winding based dual inverters that provide direct current (DC) bias excitation to further extend the speed range. The effectiveness of the proposed variable-mode operation for extending its operating region and improving the system reliability is verified by both finite element analysis (FEA) and experiments.

  12. Miniaturized Rotary Actuators Using Shape Memory Alloy for Insect-Type MEMS Microrobot

    Directory of Open Access Journals (Sweden)

    Ken Saito

    2016-03-01

    Full Text Available Although several types of locomotive microrobots have been developed, most of them have difficulty locomoting on uneven surfaces. Thus, we have been focused on microrobots that can locomote using step patterns. We are studying insect-type microrobot systems. The locomotion of the microrobot is generated by rotational movements of the shape memory alloy-type rotary actuator. In addition, we have constructed artificial neural networks by using analog integrated circuit (IC technology. The artificial neural networks can output the driving waveform without using software programs. The shape memory alloy-type rotary actuator and the artificial neural networks are constructed with silicon wafers; they can be integrated by using micro-electromechanical system (MEMS technology. As a result, the MEMS microrobot system can locomote using step patterns. The insect-type MEMS microrobot system is 0.079 g in weight and less than 5.0 mm in size, and its locomotion speed is 2 mm/min. The locomotion speed is slow because the heat of the shape memory alloy conducts to the mechanical parts of the MEMS microrobot. In this paper, we discuss a new rotary actuator compared with the previous model and show the continuous rotation of the proposed rotary actuator.

  13. CD4 T cell autophagy is integral to memory maintenance.

    Science.gov (United States)

    Murera, Diane; Arbogast, Florent; Arnold, Johan; Bouis, Delphine; Muller, Sylviane; Gros, Frédéric

    2018-04-13

    Studies of mice deficient for autophagy in T cells since thymic development, concluded that autophagy is integral to mature T cell homeostasis. Basal survival and functional impairments in vivo, limited the use of these models to delineate the role of autophagy during the immune response. We generated Atg5 f/f distal Lck (dLck)-cre mice, with deletion of autophagy only at a mature stage. In this model, autophagy deficiency impacts CD8 + T cell survival but has no influence on CD4 + T cell number and short-term activation. Moreover, autophagy in T cells is dispensable during early humoral response but critical for long-term antibody production. Autophagy in CD4 + T cells is required to transfer humoral memory as shown by injection of antigen-experienced cells in naive mice. We also observed a selection of autophagy-competent cells in the CD4 + T cell memory compartment. We performed in vitro differentiation of memory CD4 + T cells, to better characterize autophagy-deficient memory cells. We identified mitochondrial and lipid load defects in differentiated memory CD4 + T cells, together with a compromised survival, without any collapse of energy production. We then propose that memory CD4 + T cells rely on autophagy for their survival to regulate toxic effects of mitochondrial activity and lipid overload.

  14. An integrative view of storage of low- and high-level visual dimensions in visual short-term memory.

    Science.gov (United States)

    Magen, Hagit

    2017-03-01

    Efficient performance in an environment filled with complex objects is often achieved through the temporal maintenance of conjunctions of features from multiple dimensions. The most striking finding in the study of binding in visual short-term memory (VSTM) is equal memory performance for single features and for integrated multi-feature objects, a finding that has been central to several theories of VSTM. Nevertheless, research on binding in VSTM focused almost exclusively on low-level features, and little is known about how items from low- and high-level visual dimensions (e.g., colored manmade objects) are maintained simultaneously in VSTM. The present study tested memory for combinations of low-level features and high-level representations. In agreement with previous findings, Experiments 1 and 2 showed decrements in memory performance when non-integrated low- and high-level stimuli were maintained simultaneously compared to maintaining each dimension in isolation. However, contrary to previous findings the results of Experiments 3 and 4 showed decrements in memory performance even when integrated objects of low- and high-level stimuli were maintained in memory, compared to maintaining single-dimension objects. Overall, the results demonstrate that low- and high-level visual dimensions compete for the same limited memory capacity, and offer a more comprehensive view of VSTM.

  15. Visual Working Memory and Perception Speed of 3- to 6-Year-Old Children Tested with a Matrix Film Battery Test

    Science.gov (United States)

    Pittorf, Martin L.; Lehmann, Wolfgang; Huckauf, Anke

    2014-01-01

    In this study the visual working memory (VWM) and perception speed of 60 children between the ages of three and six years were tested with an age-based, easy-to-handle Matrix Film Battery Test (reliability R?=?0.71). It was thereby affirmed that the VWM is age dependent (correlation coefficient r?=?0.66***) as expected. Furthermore, a significant…

  16. Single-event phenomena on recent semiconductor devices. Charge-type multiple-bit upsets in high integrated memories

    International Nuclear Information System (INIS)

    Makihara, Akiko; Shindou, Hiroyuki; Nemoto, Norio; Kuboyama, Satoshi; Matsuda, Sumio; Ohshima, Takeshi; Hirao, Toshio; Itoh, Hisayoshi

    2001-01-01

    High integrated memories are used in solid state data recorder (SSDR) of the satellite for accumulating observation data. Single event upset phenomena which turn over an accumulated data in the memory cells are caused by heavy ion incidence. Studies on single-bit upset and multiple-bit upset phenomena in the high integrated memory cells are in progress recently. 16 Mbit DRAM (Dynamic Random Access Memories) and 64 Mbit DRAM are irradiated by heavy ion species, such as iodine, bromine and nickel, in comparison with the irradiation damage in the cosmic environment. Data written on the memory devices are read out after the irradiation. The memory cells in three kinds of states, all of charged state, all of discharged state, and an alternative state of charge and discharge, are irradiated for sorting out error modes caused by heavy ion incidence. The soft error in a single memory cells is known as a turn over from charged state to discharged state. Electrons in electron-hole pair generated by heavy ion incidence are captured in a diffusion region between capacitor electrodes of semiconductor. The charged states in the capacitor electrodes before the irradiation are neutralized and changed to the discharged states. According to high integration of the memories, many of the cells are affected by a single ion incidence. The multiple-bit upsets, however, are generated in the memory cells of discharged state before the irradiation, also. The charge-type multiple-bit upsets is considered as that error data are written on the DRAM during refresh cycle of a sense-up circuit and a pre-charge circuit which control the DRAM. (M. Suetake)

  17. Speed matters : relationship between speed of eye movements and modification of aversive autobiographical memories

    NARCIS (Netherlands)

    van Veen, Suzanne; van Schie, Kevin; Wijngaards, Leoniek; Littel, Marianne; Engelhard, Iris; van den Hout, Marcel

    2015-01-01

    Eye movement desensitization and reprocessing (EMDR) is an efficacious treatment for post-traumatic stress disorder. In EMDR, patients recall a distressing memory and simultaneously make eye movements (EM). Both tasks are considered to require limited working memory (WM) resources. Because this

  18. Optical RAM-enabled cache memory and optical routing for chip multiprocessors: technologies and architectures

    Science.gov (United States)

    Pleros, Nikos; Maniotis, Pavlos; Alexoudi, Theonitsa; Fitsios, Dimitris; Vagionas, Christos; Papaioannou, Sotiris; Vyrsokinos, K.; Kanellos, George T.

    2014-03-01

    The processor-memory performance gap, commonly referred to as "Memory Wall" problem, owes to the speed mismatch between processor and electronic RAM clock frequencies, forcing current Chip Multiprocessor (CMP) configurations to consume more than 50% of the chip real-estate for caching purposes. In this article, we present our recent work spanning from Si-based integrated optical RAM cell architectures up to complete optical cache memory architectures for Chip Multiprocessor configurations. Moreover, we discuss on e/o router subsystems with up to Tb/s routing capacity for cache interconnection purposes within CMP configurations, currently pursued within the FP7 PhoxTrot project.

  19. What you see is what you remember : Visual chunking by temporal integration enhances working memory

    NARCIS (Netherlands)

    Akyürek, Elkan G.; Kappelmann, Nils; Volkert, Marc; van Rijn, Hedderik

    2017-01-01

    Human memory benefits from information clustering, which can be accomplished by chunking. Chunking typically relies on expertise and strategy and it is unknown whether perceptual clustering over time, through temporal integration, can also enhance working memory. The current study examined the

  20. Multi-channel normal speed gated integrator in the measurement of the laser scattering light energy

    International Nuclear Information System (INIS)

    Yang Dong; Yu Xiaoqi; Hu Yuanfeng

    2005-01-01

    With the method of integration in a limited time, a Multi-channel normal speed gated integrator based on VXI system has been developed for measuring the signals with changeable pulse width in laser scattering light experiment. It has been tested with signal sources in ICF experiment. In tests, the integral nonlinearity between the integral results of the gated integrator and that of an oscilloscope is less than 1%. In the ICF experiments the maximum error between the integral results of the gated integrator and that of oscilloscope is less than 3% of the full scale range of the gated integrator. (authors)

  1. Capacity-speed relationships in prefrontal cortex.

    Directory of Open Access Journals (Sweden)

    Vivek Prabhakaran

    Full Text Available Working memory (WM capacity and WM processing speed are simple cognitive measures that underlie human performance in complex processes such as reasoning and language comprehension. These cognitive measures have shown to be interrelated in behavioral studies, yet the neural mechanism behind this interdependence has not been elucidated. We have carried out two functional MRI studies to separately identify brain regions involved in capacity and speed. Experiment 1, using a block-design WM verbal task, identified increased WM capacity with increased activity in right prefrontal regions, and Experiment 2, using a single-trial WM verbal task, identified increased WM processing speed with increased activity in similar regions. Our results suggest that right prefrontal areas may be a common region interlinking these two cognitive measures. Moreover, an overlap analysis with regions associated with binding or chunking suggest that this strategic memory consolidation process may be the mechanism interlinking WM capacity and WM speed.

  2. Development of time sensitivity and information processing speed.

    Directory of Open Access Journals (Sweden)

    Sylvie Droit-Volet

    Full Text Available The aim of this study was to examine whether age-related changes in the speed of information processing are the best predictors of the increase in sensitivity to time throughout childhood. Children aged 5 and 8 years old, as well adults, were given two temporal bisection tasks, one with short (0.5/1-s and the other with longer (4/8-s anchor durations. In addition, the participants' scores on different neuropsychological tests assessing both information processing speed and other dimensions of cognitive control (short-term memory, working memory, selective attention were calculated. The results showed that the best predictor of individual variances in sensitivity to time was information processing speed, although working memory also accounted for some of the individual differences in time sensitivity, albeit to a lesser extent. In sum, the faster the information processing speed of the participants, the higher their sensitivity to time was. These results are discussed in the light of the idea that the development of temporal capacities has its roots in the maturation of the dynamic functioning of the brain.

  3. Is general intelligence little more than the speed of higher-order processing?

    Science.gov (United States)

    Schubert, Anna-Lena; Hagemann, Dirk; Frischkorn, Gidon T

    2017-10-01

    Individual differences in the speed of information processing have been hypothesized to give rise to individual differences in general intelligence. Consistent with this hypothesis, reaction times (RTs) and latencies of event-related potential have been shown to be moderately associated with intelligence. These associations have been explained either in terms of individual differences in some brain-wide property such as myelination, the speed of neural oscillations, or white-matter tract integrity, or in terms of individual differences in specific processes such as the signal-to-noise ratio in evidence accumulation, executive control, or the cholinergic system. Here we show in a sample of 122 participants, who completed a battery of RT tasks at 2 laboratory sessions while an EEG was recorded, that more intelligent individuals have a higher speed of higher-order information processing that explains about 80% of the variance in general intelligence. Our results do not support the notion that individuals with higher levels of general intelligence show advantages in some brain-wide property. Instead, they suggest that more intelligent individuals benefit from a more efficient transmission of information from frontal attention and working memory processes to temporal-parietal processes of memory storage. (PsycINFO Database Record (c) 2017 APA, all rights reserved).

  4. Reduced multimodal integration of memory features following continuous theta burst stimulation of angular gyrus.

    Science.gov (United States)

    Yazar, Yasemin; Bergström, Zara M; Simons, Jon S

    Lesions of the angular gyrus (AnG) region of human parietal cortex do not cause amnesia, but appear to be associated with reduction in the ability to consciously experience the reliving of previous events. We used continuous theta burst stimulation to test the hypothesis that the cognitive mechanism implicated in this memory deficit might be the integration of retrieved sensory event features into a coherent multimodal memory representation. Healthy volunteers received stimulation to AnG or a vertex control site after studying stimuli that each comprised a visual object embedded in a scene, with the name of the object presented auditorily. Participants were then asked to make memory judgments about the studied stimuli that involved recollection of single event features (visual or auditory), or required integration of event features within the same modality, or across modalities. Participants' ability to retrieve context features from across multiple modalities was significantly reduced after AnG stimulation compared to stimulation of the vertex. This effect was observed only for the integration of cross-modal context features but not for integration of features within the same modality, and could not be accounted for by task difficulty as performance was matched across integration conditions following vertex stimulation. These results support the hypothesis that AnG is necessary for the multimodal integration of distributed cortical episodic features into a unified conscious representation that enables the experience of remembering. Copyright © 2017 The Authors. Published by Elsevier Inc. All rights reserved.

  5. Acute, low-dose methamphetamine administration improves attention/information processing speed and working memory in methamphetamine-dependent individuals displaying poorer cognitive performance at baseline.

    Science.gov (United States)

    Mahoney, James J; Jackson, Brian J; Kalechstein, Ari D; De La Garza, Richard; Newton, Thomas F

    2011-03-30

    Abstinent methamphetamine (Meth) dependent individuals demonstrate poorer performance on tests sensitive to attention/information processing speed, learning and memory, and working memory when compared to non-Meth dependent individuals. The poorer performance on these tests may contribute to the morbidity associated with Meth-dependence. In light of this, we sought to determine the effects of acute, low-dose Meth administration on attention, working memory, and verbal learning and memory in 19 non-treatment seeking, Meth-dependent individuals. Participants were predominantly male (89%), Caucasian (63%), and cigarette smokers (63%). Following a four day, drug-free washout period, participants were given a single-blind intravenous infusion of saline, followed the next day by 30 mg of Meth. A battery of neurocognitive tasks was administered before and after each infusion, and performance on measures of accuracy and reaction time were compared between conditions. While acute Meth exposure did not affect test performance for the entire sample, participants who demonstrated relatively poor performance on these tests at baseline, identified using a median split on each test, showed significant improvement on measures of attention/information processing speed and working memory when administered Meth. Improved performance was seen on the following measures of working memory: choice reaction time task (p≤0.04), a 1-back task (p≤0.01), and a 2-back task (p≤0.04). In addition, those participants demonstrating high neurocognitive performance at baseline experienced similar or decreased performance following Meth exposure. These findings suggest that acute administration of Meth may temporarily improve Meth-associated neurocognitive performance in those individuals experiencing lower cognitive performance at baseline. As a result, stimulants may serve as a successful treatment for improving cognitive functioning in those Meth-dependent individuals experiencing

  6. Effects of interactive metronome training on timing, attention, working memory, and processing speed in children with ADHD: a case study of two children.

    Science.gov (United States)

    Park, Yun-Yi; Choi, Yu-Jin

    2017-12-01

    [Purpose] The purpose of this study was to present the effects of Interactive metronome (IM) on timing for children with Attention-Deficit Hyperactivity Disorder (ADHD). [Subjects and Methods] The subjects of the present study were 2 children diagnosed with ADHD. Pre- and post-intervention tests were completed by the researcher using Long Form Assessment (LFA) test of IM and K-WPPSI-IV. The subjects were provided with IM for 40 minutes at a time, 2 times per week, for a total of 8 weeks. [Results] The timing decreased after IM intervention. The subjects showed improvement in attention span after IM intervention. Working memory index as well as processing speed index were increased after intervention, as shown by the Korean-Wechsler Preschool and Primary Scale of Intelligence-IV (K-WPPSI-IV). [Conclusion] IM was effective in improving timing, attention, working memory and processing speed in children with ADHD.

  7. Svelte Integrated Delivery System Performance Examined Through Diagnostic Catheter Delivery : The SPEED Registry

    NARCIS (Netherlands)

    Khattab, Ahmed A.; Nijhoff, Freek; Schofer, Joachim; Berland, Jacques; Meier, Bernhard; Nietlispach, Fabian; Agostoni, Pierfrancesco; Brucks, Steffen; Stella, Pieter

    2015-01-01

    Aims: The multi-center SPEED registry evaluated the procedural success and in-hospital clinical outcomes of direct stenting with the Svelte 'all-in-one' coronary stent Integrated Delivery System (IDS) through diagnostic catheters to identify the clinical indications for which this approach is

  8. Developement of 3D Vertically Integrated Pattern Recognition Associative Memory (VIPRAM)

    International Nuclear Information System (INIS)

    Deputch, G.; Hoff, J.; Lipton, R.; Liu, T.; Olsen, J.; Ramberg, E.; Wu, Jin-Yuan; Yarema, R.; Shochet, M.; Tang, F.; Demarteau, M.

    2011-01-01

    Many next-generation physics experiments will be characterized by the collection of large quantities of data, taken in rapid succession, from which scientists will have to unravel the underlying physical processes. In most cases, large backgrounds will overwhelm the physics signal. Since the quantity of data that can be stored for later analysis is limited, real-time event selection is imperative to retain the interesting events while rejecting the background. Scaling of current technologies is unlikely to satisfy the scientific needs of future projects, so investments in transformational new technologies need to be made. For example, future particle physics experiments looking for rare processes will have to address the demanding challenges of fast pattern recognition in triggering as detector hit density becomes significantly higher due to the high luminosity required to produce the rare processes. In this proposal, we intend to develop hardware-based technology that significantly advances the state-of-the-art for fast pattern recognition within and outside HEP using the 3D vertical integration technology that has emerged recently in industry. The ultimate physics reach of the LHC experiments will crucially depend on the tracking trigger's ability to help discriminate between interesting rare events and the background. Hardware-based pattern recognition for fast triggering on particle tracks has been successfully used in high-energy physics experiments for some time. The CDF Silicon Vertex Trigger (SVT) at the Fermilab Tevatron is an excellent example. The method used there, developed in the 1990's, is based on algorithms that use a massively parallel associative memory architecture to identify patterns efficiently at high speed. However, due to much higher occupancy and event rates at the LHC, and the fact that the LHC detectors have a much larger number of channels in their tracking detectors, there is an enormous challenge in implementing pattern recognition

  9. The role of spatial memory and frames of reference in the precision of angular path integration.

    Science.gov (United States)

    Arthur, Joeanna C; Philbeck, John W; Kleene, Nicholas J; Chichka, David

    2012-09-01

    Angular path integration refers to the ability to maintain an estimate of self-location after a rotational displacement by integrating internally-generated (idiothetic) self-motion signals over time. Previous work has found that non-sensory inputs, namely spatial memory, can play a powerful role in angular path integration (Arthur et al., 2007, 2009). Here we investigated the conditions under which spatial memory facilitates angular path integration. We hypothesized that the benefit of spatial memory is particularly likely in spatial updating tasks in which one's self-location estimate is referenced to external space. To test this idea, we administered passive, non-visual body rotations (ranging 40°-140°) about the yaw axis and asked participants to use verbal reports or open-loop manual pointing to indicate the magnitude of the rotation. Prior to some trials, previews of the surrounding environment were given. We found that when participants adopted an egocentric frame of reference, the previously-observed benefit of previews on within-subject response precision was not manifested, regardless of whether remembered spatial frameworks were derived from vision or spatial language. We conclude that the powerful effect of spatial memory is dependent on one's frame of reference during self-motion updating. Copyright © 2012 Elsevier B.V. All rights reserved.

  10. Investigating the relationship between implicit and explicit memory: Evidence that masked repetition priming speeds the onset of recollection.

    Science.gov (United States)

    Park, Joanne L; Donaldson, David I

    2016-10-01

    Memory theories assume that unconscious processes influence conscious remembering, but the exact nature of the relationship between implicit and explicit memory remains an open question. Within the context of episodic recognition tests research typical shows that priming impacts behavioral and neural indices of familiarity. By this account, implicit memory leads to enhanced fluency of processing, which is then attributed to 'oldness' in the context of recognition judgments. Recently, however, behavioral and neuroimaging evidence has emerged to suggest that priming can also influence recollection, suggesting that the rate of recollection increases following priming. Here, we examine the relationship between priming and recollection, using Event-Related Potentials (ERPs) to assess changes in the timecourse of processing. Participants studied a series of words, and episodic memory was assessed using a standard item recognition test, but masked repetition priming preceded half of the test cues. Results confirmed that implicit memory was engaged: priming produced robust facilitation of recognition Reaction Times (RTs), with larger effects for studied than unstudied words. Mapping onto the RT data, ERPs recorded during recognition testing over centro-parietal electrodes revealed N400-like priming effects (250-500ms) that were larger in magnitude for studied than unstudied words. More importantly, priming also had a clear impact on explicit memory, as measured by recollection-related left-parietal old/new effects. While old/new effects for unprimed trials were present during the typical 500-800ms latency interval, the old/new effects seen for primed trials were equivalent in magnitude and topography, but onset ~300ms earlier. ERPs reveal that repetition priming speeds the onset of recollection, providing a novel demonstration that unconscious memory processes can have a measureable, functional, influence on conscious remembering. Copyright © 2016 The Authors. Published

  11. Integrated Variable Speed Limits Control and Ramp Metering for Bottleneck Regions on Freeway

    Directory of Open Access Journals (Sweden)

    Ming-hui Ma

    2015-01-01

    Full Text Available To enhance the efficiency of the existing freeway system and therefore to mitigate traffic congestion and related problems on the freeway mainline lane-drop bottleneck region, the advanced strategy for bottleneck control is essential. This paper proposes a method that integrates variable speed limits and ramp metering for freeway bottleneck region control to relieve the chaos in bottleneck region. To this end, based on the analyses of spatial-temporal patterns of traffic flow, a macroscopic traffic flow model is extended to describe the traffic flow operating characteristic by considering the impacts of variable speed limits in mainstream bottleneck region. In addition, to achieve the goal of balancing the priority of the vehicles on mainline and on-ramp, increasing capacity, and reducing travel delay on bottleneck region, an improved control model, as well as an advanced control strategy that integrates variable speed limits and ramp metering, is developed. The proposed method is tested in simulation for a real freeway infrastructure feed and calibrates real traffic variables. The results demonstrate that the proposed method can substantially improve the traffic flow efficiency of mainline and on-ramp and enhance the quality of traffic flow at the investigated freeway mainline bottleneck.

  12. Explicit time integration of finite element models on a vectorized, concurrent computer with shared memory

    Science.gov (United States)

    Gilbertsen, Noreen D.; Belytschko, Ted

    1990-01-01

    The implementation of a nonlinear explicit program on a vectorized, concurrent computer with shared memory is described and studied. The conflict between vectorization and concurrency is described and some guidelines are given for optimal block sizes. Several example problems are summarized to illustrate the types of speed-ups which can be achieved by reprogramming as compared to compiler optimization.

  13. Integrative Review of the Relationship Between Sleep Disturbances and Episodic Memory in Older Adults.

    Science.gov (United States)

    Yeh, An-Yun; Pressler, Susan J; Giordani, Bruno J; Pozehl, Bunny J; Berger, Ann M

    2018-07-01

    Impaired episodic memory in older adults has been linked to many factors. One of these factors is sleep disturbances, which are reported by more than 50% of older adults. The relationship between episodic memory and sleep disturbances remains unclear, however, because of the multiple types of measures of sleep and episodic memory used in previous studies. The purpose of this integrative literature review was to integrate and compare findings on this relationship in adults aged 65 years. An electronic search was conducted in PubMed, Cumulative Index to Nursing and Allied Health Literature, PsychINFO, and Medline for material published from the inception of the databases to December 2016. The literature search produced 13 data-based, peer-reviewed, and primary research articles that met eligibility criteria. The synthesized results from these articles provide evidence that older adults with 6-8 hr of self-reported total sleep time had better episodic memory than older adults with ≤5 hr or ≥9 hr of total sleep time. Shorter length and lower percentage of slow-wave sleep were associated with reduced episodic memory in older adults, but the results were controversial. Selection of different measurements and inconsistent variables across studies increased the difficulty of synthesizing and comparing the results. The diversity of covariates controlled in the included articles raise questions regarding which covariates should be controlled in such studies of sleep and episodic memory in older adults. The numerous study limitations were thus major barriers to understanding the relationship between sleep disturbances and episodic memory.

  14. Visual integration enhances associative memory equally for young and older adults without reducing hippocampal encoding activation.

    Science.gov (United States)

    Memel, Molly; Ryan, Lee

    2017-06-01

    The ability to remember associations between previously unrelated pieces of information is often impaired in older adults (Naveh-Benjamin, 2000). Unitization, the process of creating a perceptually or semantically integrated representation that includes both items in an associative pair, attenuates age-related associative deficits (Bastin et al., 2013; Ahmad et al., 2015; Zheng et al., 2015). Compared to non-unitized pairs, unitized pairs may rely less on hippocampally-mediated binding associated with recollection, and more on familiarity-based processes mediated by perirhinal cortex (PRC) and parahippocampal cortex (PHC). While unitization of verbal materials improves associative memory in older adults, less is known about the impact of visual integration. The present study determined whether visual integration improves associative memory in older adults by minimizing the need for hippocampal (HC) recruitment and shifting encoding to non-hippocampal medial temporal structures, such as the PRC and PHC. Young and older adults were presented with a series of objects paired with naturalistic scenes while undergoing fMRI scanning, and were later given an associative memory test. Visual integration was varied by presenting the object either next to the scene (Separated condition) or visually integrated within the scene (Combined condition). Visual integration improved associative memory among young and older adults to a similar degree by increasing the hit rate for intact pairs, but without increasing false alarms for recombined pairs, suggesting enhanced recollection rather than increased reliance on familiarity. Also contrary to expectations, visual integration resulted in increased hippocampal activation in both age groups, along with increases in PRC and PHC activation. Activation in all three MTL regions predicted discrimination performance during the Separated condition in young adults, while only a marginal relationship between PRC activation and performance was

  15. Integrated cross-domain object storage in working memory: evidence from a verbal-spatial memory task.

    Science.gov (United States)

    Morey, Candice C

    2009-11-01

    Working-memory theories often include domain-specific verbal and visual stores (e.g., the phonological and visuospatial buffers of Baddeley, 1986), and some also posit more general stores thought to be capable of holding verbal or visuospatial materials (Baddeley, 2000; Cowan, 2005). However, it is currently unclear which type of store is primarily responsible for maintaining objects that include components from multiple domains. In these studies, a spatial array of letters was followed by a single probe identical to an item in the array or differing systematically in spatial location, letter identity, or their combination. Concurrent verbal rehearsal suppression impaired memory in each of these trial types in a task that required participants to remember verbal-spatial binding, but did not impair memory for spatial locations if the task did not require verbal-spatial binding for a correct response. Thus, spatial information might be stored differently when it must be bound to verbal information. This suggests that a cross-domain store such as the episodic buffer of Baddeley (2000) or the focus of attention of Cowan (2001) might be used for integrated object storage, rather than the maintenance of associations between features stored in separate domain-specific buffers.

  16. Computer-Based Cognitive Programs for Improvement of Memory, Processing Speed and Executive Function during Age-Related Cognitive Decline: A Meta-Analysis.

    Directory of Open Access Journals (Sweden)

    Yan-kun Shao

    Full Text Available Several studies have assessed the effects of computer-based cognitive programs (CCP in the management of age-related cognitive decline, but the role of CCP remains controversial. Therefore, this systematic review evaluated the evidence on the efficacy of CCP for age-related cognitive decline in healthy older adults.Six electronic databases (through October 2014 were searched. The risk of bias was assessed using the Cochrane Collaboration tool. The standardized mean difference (SMD and 95% confidence intervals (CI of a random-effects model were calculated. The heterogeneity was assessed using the Cochran Q statistic and quantified with the I2 index.Twelve studies were included in the current review and were considered as moderate to high methodological quality. The aggregated results indicate that CCP improves memory performance (SMD, 0.31; 95% CI 0.16 to 0.45; p < 0.0001 and processing speed (SMD, 0.50; 95% CI 0.14 to 0.87; p = 0.007 but not executive function (SMD, -0.12; 95% CI -0.33 to 0.09; p = 0.27. Furthermore, there were long-term gains in memory performance (SMD, 0.59; 95% CI 0.13 to 1.05; p = 0.01.CCP may be a valid complementary and alternative therapy for age-related cognitive decline, especially for memory performance and processing speed. However, more studies with longer follow-ups are warranted to confirm the current findings.

  17. Integrated High-Speed Digital Optical True-Time-Delay Modules for Synthetic Aperture Radars, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Crystal Research, Inc. proposes an integrated high-speed digital optical true-time-delay module for advanced synthetic aperture radars. The unique feature of this...

  18. Episodic memory function is associated with multiple measures of white matter integrity in cognitive aging

    Directory of Open Access Journals (Sweden)

    Samuel Neal Lockhart

    2012-03-01

    Full Text Available Previous neuroimaging research indicates that white matter injury and integrity, measured respectively by white matter hyperintensities (WMH and fractional anisotropy (FA obtained from diffusion tensor imaging, differ with aging and cerebrovascular disease and are associated with episodic memory deficits in cognitively normal older adults. However, knowledge about tract-specific relationships between WMH, FA, and episodic memory in aging remains limited. We hypothesized that white matter connections between frontal cortex and subcortical structures as well as connections between frontal and temporo-parietal cortex would be most affected. In the current study, we examined relationships between WMH, FA and episodic memory in 15 young adults, 13 elders with minimal WMH and 15 elders with extensive WMH, using an episodic recognition memory test for object-color associations. Voxel-based statistics were used to identify voxel clusters where white matter measures were specifically associated with variations in episodic memory performance, and white matter tracts intersecting these clusters were analyzed to examine white matter-memory relationships. White matter injury and integrity measures were significantly associated with episodic memory in extensive regions of white matter, located predominantly in frontal, parietal, and subcortical regions. Template based tractography indicated that white matter injury, as measured by WMH, in the uncinate and inferior longitudinal fasciculi were significantly negatively associated with episodic memory performance. Other tracts such as thalamo-frontal projections, superior longitudinal fasciculus, and dorsal cingulum bundle demonstrated strong negative associations as well. The results suggest that white matter injury to multiple pathways, including connections of frontal and temporal cortex and frontal-subcortical white matter tracts, plays a critical role in memory differences seen in older individuals.

  19. Memory Reactivation during Rapid Eye Movement Sleep Promotes Its Generalization and Integration in Cortical Stores

    Science.gov (United States)

    Sterpenich, Virginie; Schmidt, Christina; Albouy, Geneviève; Matarazzo, Luca; Vanhaudenhuyse, Audrey; Boveroux, Pierre; Degueldre, Christian; Leclercq, Yves; Balteau, Evelyne; Collette, Fabienne; Luxen, André; Phillips, Christophe; Maquet, Pierre

    2014-01-01

    Study Objectives: Memory reactivation appears to be a fundamental process in memory consolidation. In this study we tested the influence of memory reactivation during rapid eye movement (REM) sleep on memory performance and brain responses at retrieval in healthy human participants. Participants: Fifty-six healthy subjects (28 women and 28 men, age [mean ± standard deviation]: 21.6 ± 2.2 y) participated in this functional magnetic resonance imaging (fMRI) study. Methods and Results: Auditory cues were associated with pictures of faces during their encoding. These memory cues delivered during REM sleep enhanced subsequent accurate recollections but also false recognitions. These results suggest that reactivated memories interacted with semantically related representations, and induced new creative associations, which subsequently reduced the distinction between new and previously encoded exemplars. Cues had no effect if presented during stage 2 sleep, or if they were not associated with faces during encoding. Functional magnetic resonance imaging revealed that following exposure to conditioned cues during REM sleep, responses to faces during retrieval were enhanced both in a visual area and in a cortical region of multisensory (auditory-visual) convergence. Conclusions: These results show that reactivating memories during REM sleep enhances cortical responses during retrieval, suggesting the integration of recent memories within cortical circuits, favoring the generalization and schematization of the information. Citation: Sterpenich V, Schmidt C, Albouy G, Matarazzo L, Vanhaudenhuyse A, Boveroux P, Degueldre C, Leclercq Y, Balteau E, Collette F, Luxen A, Phillips C, Maquet P. Memory reactivation during rapid eye movement sleep promotes its generalization and integration in cortical stores. SLEEP 2014;37(6):1061-1075. PMID:24882901

  20. Design and testing of the first 2D Prototype Vertically Integrated Pattern Recognition Associative Memory

    Energy Technology Data Exchange (ETDEWEB)

    Liu, T.; Deptuch, G.; Hoff, J.; Jindariani, S.; Joshi, S.; Olsen, J.; Tran, N.; Trimpl, M.

    2015-02-01

    An associative memory-based track finding approach has been proposed for a Level 1 tracking trigger to cope with increasing luminosities at the LHC. The associative memory uses a massively parallel architecture to tackle the intrinsically complex combinatorics of track finding algorithms, thus avoiding the typical power law dependence of execution time on occupancy and solving the pattern recognition in times roughly proportional to the number of hits. This is of crucial importance given the large occupancies typical of hadronic collisions. The design of an associative memory system capable of dealing with the complexity of HL-LHC collisions and with the short latency required by Level 1 triggering poses significant, as yet unsolved, technical challenges. For this reason, an aggressive R&D program has been launched at Fermilab to advance state of-the-art associative memory technology, the so called VIPRAM (Vertically Integrated Pattern Recognition Associative Memory) project. The VIPRAM leverages emerging 3D vertical integration technology to build faster and denser Associative Memory devices. The first step is to implement in conventional VLSI the associative memory building blocks that can be used in 3D stacking, in other words, the building blocks are laid out as if it is a 3D design. In this paper, we report on the first successful implementation of a 2D VIPRAM demonstrator chip (protoVIPRAM00). The results show that these building blocks are ready for 3D stacking.

  1. Overview of emerging nonvolatile memory technologies.

    Science.gov (United States)

    Meena, Jagan Singh; Sze, Simon Min; Chand, Umesh; Tseng, Tseung-Yuen

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  2. Overview of emerging nonvolatile memory technologies

    Science.gov (United States)

    2014-01-01

    Nonvolatile memory technologies in Si-based electronics date back to the 1990s. Ferroelectric field-effect transistor (FeFET) was one of the most promising devices replacing the conventional Flash memory facing physical scaling limitations at those times. A variant of charge storage memory referred to as Flash memory is widely used in consumer electronic products such as cell phones and music players while NAND Flash-based solid-state disks (SSDs) are increasingly displacing hard disk drives as the primary storage device in laptops, desktops, and even data centers. The integration limit of Flash memories is approaching, and many new types of memory to replace conventional Flash memories have been proposed. Emerging memory technologies promise new memories to store more data at less cost than the expensive-to-build silicon chips used by popular consumer gadgets including digital cameras, cell phones and portable music players. They are being investigated and lead to the future as potential alternatives to existing memories in future computing systems. Emerging nonvolatile memory technologies such as magnetic random-access memory (MRAM), spin-transfer torque random-access memory (STT-RAM), ferroelectric random-access memory (FeRAM), phase-change memory (PCM), and resistive random-access memory (RRAM) combine the speed of static random-access memory (SRAM), the density of dynamic random-access memory (DRAM), and the nonvolatility of Flash memory and so become very attractive as another possibility for future memory hierarchies. Many other new classes of emerging memory technologies such as transparent and plastic, three-dimensional (3-D), and quantum dot memory technologies have also gained tremendous popularity in recent years. Subsequently, not an exaggeration to say that computer memory could soon earn the ultimate commercial validation for commercial scale-up and production the cheap plastic knockoff. Therefore, this review is devoted to the rapidly developing new

  3. Seizure Control and Memory Impairment Are Related to Disrupted Brain Functional Integration in Temporal Lobe Epilepsy.

    Science.gov (United States)

    Park, Chang-Hyun; Choi, Yun Seo; Jung, A-Reum; Chung, Hwa-Kyoung; Kim, Hyeon Jin; Yoo, Jeong Hyun; Lee, Hyang Woon

    2017-01-01

    Brain functional integration can be disrupted in patients with temporal lobe epilepsy (TLE), but the clinical relevance of this disruption is not completely understood. The authors hypothesized that disrupted functional integration over brain regions remote from, as well as adjacent to, the seizure focus could be related to clinical severity in terms of seizure control and memory impairment. Using resting-state functional MRI data acquired from 48 TLE patients and 45 healthy controls, the authors mapped functional brain networks and assessed changes in a network parameter of brain functional integration, efficiency, to examine the distribution of disrupted functional integration within and between brain regions. The authors assessed whether the extent of altered efficiency was influenced by seizure control status and whether the degree of altered efficiency was associated with the severity of memory impairment. Alterations in the efficiency were observed primarily near the subcortical region ipsilateral to the seizure focus in TLE patients. The extent of regional involvement was greater in patients with poor seizure control: it reached the frontal, temporal, occipital, and insular cortices in TLE patients with poor seizure control, whereas it was limited to the limbic and parietal cortices in TLE patients with good seizure control. Furthermore, TLE patients with poor seizure control experienced more severe memory impairment, and this was associated with lower efficiency in the brain regions with altered efficiency. These findings indicate that the distribution of disrupted brain functional integration is clinically relevant, as it is associated with seizure control status and comorbid memory impairment.

  4. Large scale integration of flexible non-volatile, re-addressable memories using P(VDF-TrFE) and amorphous oxide transistors

    International Nuclear Information System (INIS)

    Gelinck, Gerwin H; Cobb, Brian; Van Breemen, Albert J J M; Myny, Kris

    2015-01-01

    Ferroelectric polymers and amorphous metal oxide semiconductors have emerged as important materials for re-programmable non-volatile memories and high-performance, flexible thin-film transistors, respectively. However, realizing sophisticated transistor memory arrays has proven to be a challenge, and demonstrating reliable writing to and reading from such a large scale memory has thus far not been demonstrated. Here, we report an integration of ferroelectric, P(VDF-TrFE), transistor memory arrays with thin-film circuitry that can address each individual memory element in that array. n-type indium gallium zinc oxide is used as the active channel material in both the memory and logic thin-film transistors. The maximum process temperature is 200 °C, allowing plastic films to be used as substrate material. The technology was scaled up to 150 mm wafer size, and offers good reproducibility, high device yield and low device variation. This forms the basis for successful demonstration of memory arrays, read and write circuitry, and the integration of these. (paper)

  5. High-speed nonvolatile CMOS/MNOS RAM

    International Nuclear Information System (INIS)

    Derbenwick, G.F.; Dodson, W.D.; Sokel, R.J.

    1979-01-01

    A bulk silicon technology for a high-speed static CMOS/MNOS RAM has been developed. Radiation-hardened, high voltage CMOS circuits have been fabricated for the memory array driving circuits and the enhancement-mode p-channel MNOS memory transistors have been fabricated using a native tunneling oxide with a 45 nm CVD Si 3 N 4 insulator deposited at 750 0 C. Read cycle times less than 350 ns and write cycle times of 1 μs are projected for the final 1Kx1 design. The CMOS circuits provide adequate speed for the write and read cycles and minimize the standby power dissipation. Retention times well in excess of 30 min are projected

  6. Reality of near-death-experience memories: Evidence from a psychodynamic and electrophysiological integrated study

    Directory of Open Access Journals (Sweden)

    Arianna ePalmieri

    2014-06-01

    Full Text Available The nature of near-death experiences (NDEs is largely unknown but recent evidence suggests the intriguing possibility that NDEs may refer to actually perceived, and stored, experiences (although not necessarily in relation to the external physical world. We adopted an integrated approach involving a hypnosis-based clinical protocol to improve recall and decrease memory inaccuracy together with Electroencephalography (EEG recording in order to investigate the characteristics of NDE memories and their neural markers compared to memories of both real and imagined events. We included 10 participants with NDEs, defined by the Greyson NDE scale, and 10 control subjects without NDE. Memories were assessed using the Memory Characteristics Questionnaire.Our hypnosis-based protocol increased the amount of details in the recall of all kind of memories considered (NDE, real, and imagined events. Findings showed that NDE memories were similar to real memories in terms of detail richness, self-referential, and emotional information. Moreover, NDE memories were significantly different from memories of imagined events. The pattern of EEG results indicated that real memories recall was positively associated with two memory-related frequency bands, i.e., high alpha and gamma. NDE memories were linked with theta band, a well-known marker of episodic memory. The recall of NDE memories was also related to delta band, which indexes processes such as the recollection of the past, as well as trance states, hallucinations, and other related portals to transpersonal experience. It is notable that the EEG pattern of correlations for NDE memories recall differed from the pattern for memories of imagined events. In conclusion, our findings suggest that, at a phenomenological level, NDE memories cannot be considered equivalent to imagined memories, and at a neural level, NDE memories are stored as episodic memories of events experienced in a peculiar state of consciousness.

  7. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan

    2016-03-16

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  8. Channel equalization techniques for non-volatile memristor memories

    KAUST Repository

    Naous, Rawan; Zidan, Mohammed A.; Salem, Ahmed Sultan; Salama, Khaled N.

    2016-01-01

    Channel coding and information theoretic approaches have been utilized in conventional non-volatile memories to overcome their inherent design limitations of leakage, coupling and refresh rates. However, the continuous scaling and integration constraints set on the current devices directed the attention towards emerging memory technologies as suitable alternatives. Memristive devices are prominent candidates to replace the conventional electronics due to its non-volatility and small feature size. Nonetheless, memristor-based memories still encounter an accuracy limitation throughout the read operation addressed as the sneak path phenomenon. The readout data is corrupted with added distortion that increases significantly the bit error rate and jeopardizes the reliability of the read operation. A novel technique is applied to alleviate this distorting effect where the communication channel model is proposed for the memory array. Noise cancellation principles are applied with the aid of preset pilots to extract channel information and adjust the readout values accordingly. The proposed technique has the virtue of high speed, energy efficiency, and low complexity design while achieving high reliability and error-free decoding.

  9. LANGUAGE REPETITION AND SHORT-TERM MEMORY: AN INTEGRATIVE FRAMEWORK

    Directory of Open Access Journals (Sweden)

    Steve eMajerus

    2013-07-01

    Full Text Available Short-term maintenance of verbal information is a core factor of language repetition, especially when reproducing multiple or unfamiliar stimuli. Many models of language processing locate the verbal short-term maintenance function in the left posterior superior temporo-parietal area and its connections with the inferior frontal gyrus. However, research in the field of short-term memory has implicated bilateral fronto-parietal networks, involved in attention and serial order processing, as being critical for the maintenance and reproduction of verbal sequences. We present here an integrative framework aimed at bridging research in the language processing and short-term memory fields. This framework considers verbal short-term maintenance as an emergent function resulting from synchronized and integrated activation in dorsal and ventral language processing networks as well as fronto-parietal attention and serial order processing networks. To-be-maintained item representations are temporarily activated in the dorsal and ventral language processing networks, novel phoneme and word serial order information is proposed to be maintained via a right fronto-parietal serial order processing network, and activation in these different networks is proposed to be coordinated and maintained via a left fronto-parietal attention processing network. This framework provides new perspectives for our understanding of information maintenance at the nonword-, word- and sentence-level as well as of verbal maintenance deficits in case of brain injury.

  10. Language repetition and short-term memory: an integrative framework.

    Science.gov (United States)

    Majerus, Steve

    2013-01-01

    Short-term maintenance of verbal information is a core factor of language repetition, especially when reproducing multiple or unfamiliar stimuli. Many models of language processing locate the verbal short-term maintenance function in the left posterior superior temporo-parietal area and its connections with the inferior frontal gyrus. However, research in the field of short-term memory has implicated bilateral fronto-parietal networks, involved in attention and serial order processing, as being critical for the maintenance and reproduction of verbal sequences. We present here an integrative framework aimed at bridging research in the language processing and short-term memory fields. This framework considers verbal short-term maintenance as an emergent function resulting from synchronized and integrated activation in dorsal and ventral language processing networks as well as fronto-parietal attention and serial order processing networks. To-be-maintained item representations are temporarily activated in the dorsal and ventral language processing networks, novel phoneme and word serial order information is proposed to be maintained via a right fronto-parietal serial order processing network, and activation in these different networks is proposed to be coordinated and maintained via a left fronto-parietal attention processing network. This framework provides new perspectives for our understanding of information maintenance at the non-word-, word- and sentence-level as well as of verbal maintenance deficits in case of brain injury.

  11. Post-traumatic stress is associated with verbal learning, memory, and psychomotor speed in HIV-infected and HIV-uninfected women.

    Science.gov (United States)

    Rubin, Leah H; Pyra, Maria; Cook, Judith A; Weber, Kathleen M; Cohen, Mardge H; Martin, Eileen; Valcour, Victor; Milam, Joel; Anastos, Kathryn; Young, Mary A; Alden, Christine; Gustafson, Deborah R; Maki, Pauline M

    2016-04-01

    The prevalence of post-traumatic stress disorder (PTSD) is higher among HIV-infected (HIV+) women compared with HIV-uninfected (HIV-) women, and deficits in episodic memory are a common feature of both PTSD and HIV infection. We investigated the association between a probable PTSD diagnosis using the PTSD Checklist-Civilian (PCL-C) version and verbal learning and memory using the Hopkins Verbal Learning Test in 1004 HIV+ and 496 at-risk HIV- women. HIV infection was not associated with a probable PTSD diagnosis (17% HIV+, 16% HIV-; p = 0.49) but was associated with lower verbal learning (p memory scores (p memory (p < 0.01) and psychomotor speed (p < 0.001). The particular pattern of cognitive correlates of probable PTSD varied depending on exposure to sexual abuse and/or violence, with exposure to either being associated with a greater number of cognitive domains and a worse cognitive profile. A statistical interaction between HIV serostatus and PTSD was observed on the fine motor skills domain (p = 0.03). Among women with probable PTSD, HIV- women performed worse than HIV+ women on fine motor skills (p = 0.01), but among women without probable PTSD, there was no significant difference in performance between the groups (p = 0.59). These findings underscore the importance of considering mental health factors as correlates to cognitive deficits in women with HIV.

  12. Inhibitory processes, working memory, phonological awareness, naming speed, and early arithmetic achievement.

    Science.gov (United States)

    Navarro, Jose I; Aguilar, Manuel; Alcalde, Concepcion; Ruiz, Gonzalo; Marchena, Esperanza; Menacho, Inmaculada

    2011-11-01

    This study identified the cognitive processes that underlie the individual differences in early mathematical performance in elementary school children. Taking into account the Baddeley framework multicomponent model, the inhibitory processes, working memory, phonological awareness, and naming speed are considered to be related to early math learning. To examine this relationship, we compared the performance of a total of 424 typically developing middle-class children, aged between 4 and 7 years in a battery of cognitive and early numeric tests: The Utrecht Early Numeracy Test, the Rapid Automatized Naming Test, Spanish version of the Stroop task, the Numeracy Interference Test, Digit Span test, and Phonological Knowledge Test. The mean age of the participants was 72.21 months (sd = 14.8), and 48.6% were male and 51.4% were female. The results demonstrated that children performing worst on central executive, phonological processing, and inhibitory processes showed lower results in early mathematical tasks measured by The Utrecht Early Numeracy Test. Results supported the notion that the executive system is an important predictor of children's mathematical performance.

  13. High speed imaging system for nuclear diagnostics

    International Nuclear Information System (INIS)

    Eyer, H.H.

    1976-01-01

    A high speed imaging system based on state-of-the-art photosensor arrays has been designed for use in nuclear diagnostics. The system is comprised of a front-end rapid-scan solid-state camera, a high speed digitizer, and a PCM line driver in a downhole package and a memory buffer system in a uphole trailer. The downhole camera takes a ''snapshot'' of a nuclear device created flux stream, digitizes the image and transmits it to the uphole memory system before being destroyed. The memory system performs two functions: it retains the data for local display and processing by a microprocessor, and it buffers the data for retransmission at slower rates to the LLL computational facility (NADS). The impetus for such a system as well as its operation are discussed. Also discussed are new systems under development which incorporate higher data rates and more resolution

  14. High speed imaging system for nuclear diagnostics

    International Nuclear Information System (INIS)

    Eyer, H.H.

    1976-01-01

    A high speed imaging system based on state-of-the-art photosensor arrays has been designed for use in nuclear diagnostics. The system is comprised of a front-end rapid-scan solid-state camera, a high speed digitizer, and a PCM line driver in a downhole package and a memory buffer system in an uphole trailer. The downhole camera takes a ''snapshot'' of a nuclear device created flux stream, digitizes the image and transmits it to the uphole memory system before being destroyed. The memory system performs two functions: it retains the data for local display and processing by a microprocessor, and it buffers the data for retransmission at slower rates to the LLL computational facility (NADS). The impetus for such a system as well as its operation is discussed. Also discussed are new systems under development which incorporate higher data rates and more resolution

  15. RFID and Memory Devices Fabricated Integrally on Substrates

    Science.gov (United States)

    Schramm, Harry F.

    2004-01-01

    Electronic identification devices containing radio-frequency identification (RFID) circuits and antennas would be fabricated integrally with the objects to be identified, according to a proposal. That is to say, the objects to be identified would serve as substrates for the deposition and patterning of the materials of the devices used to identify them, and each identification device would be bonded to the identified object at the molecular level. Vacuum arc vapor deposition (VAVD) is the NASA derived process for depositing layers of material on the substrate. This proposal stands in contrast to the current practice of fabricating RFID and/or memory devices as wafer-based, self-contained integrated-circuit chips that are subsequently embedded in or attached to plastic cards to make smart account-information cards and identification badges. If one relies on such a chip to store data on the history of an object to be tracked and the chip falls off or out of the object, then one loses both the historical data and the means to track the object and verify its identity electronically. Also, in contrast is the manufacturing philosophy in use today to make many memory devices. Today s methods involve many subtractive processes such as etching. This proposal only uses additive methods, building RFID and memory devices from the substrate up in thin layers. VAVD is capable of spraying silicon, copper, and other materials commonly used in electronic devices. The VAVD process sprays most metals and some ceramics. The material being sprayed has a very strong bond with the substrate, whether that substrate is metal, ceramic, or even wood, rock, glass, PVC, or paper. An object to be tagged with an identification device according to the proposal must be compatible with a vacuum deposition process. Temperature is seldom an issue as the substrate rarely reaches 150 F (66 C) during the deposition process. A portion of the surface of the object would be designated as a substrate for

  16. The Importance of Memory Specificity and Memory Coherence for the Self: Linking Two Characteristics of Autobiographical Memory

    Directory of Open Access Journals (Sweden)

    Elien Vanderveren

    2017-12-01

    Full Text Available Autobiographical memory forms a network of memories about personal experiences that defines and supports well-being and effective functioning of the self in various ways. During the last three decades, there have been two characteristics of autobiographical memory that have received special interest regarding their role in psychological well-being and psychopathology, namely memory specificity and memory coherence. Memory specificity refers to the extent to which retrieved autobiographical memories are specific (i.e., memories about a particular experience that happened on a particular day. Difficulty retrieving specific memories interferes with effective functioning of the self and is related to depression and post-traumatic stress disorder. Memory coherence refers to the narrative expression of the overall structure of autobiographical memories. It has likewise been related to psychological well-being and the occurrence of psychopathology. Research on memory specificity and memory coherence has developed as two largely independent research domains, even though they show much overlap. This raises some important theoretical questions. How do these two characteristics of autobiographical memory relate to each other, both theoretically and empirically? Additionally, how can the integration of these two facilitate our understanding of the importance of autobiographical memory for the self? In this article, we give a critical overview of memory specificity and memory coherence and their relation to the self. We link both features of autobiographical memory by describing some important similarities and by formulating hypotheses about how they might relate to each other. By situating both memory specificity and memory coherence within Conway and Pleydell-Pearce’s Self-Memory System, we make a first attempt at a theoretical integration. Finally, we suggest some new and exciting research possibilities and explain how both research fields could benefit

  17. Exploring Expressive Vocabulary Variability in Two-Year-Olds: The Role of Working Memory.

    Science.gov (United States)

    Newbury, Jayne; Klee, Thomas; Stokes, Stephanie F; Moran, Catherine

    2015-12-01

    This study explored whether measures of working memory ability contribute to the wide variation in 2-year-olds' expressive vocabulary skills. Seventy-nine children (aged 24-30 months) were assessed by using standardized tests of vocabulary and visual cognition, a processing speed measure, and behavioral measures of verbal working memory and phonological short-term memory. Strong correlations were observed between phonological short-term memory, verbal working memory, and expressive vocabulary. Speed of spoken word recognition showed a moderate significant correlation with expressive vocabulary. In a multivariate regression model for expressive vocabulary, the most powerful predictor was a measure of phonological short-term memory (accounting for 66% unique variance), followed by verbal working memory (6%), sex (2%), and age (1%). Processing speed did not add significant unique variance. These findings confirm previous research positing a strong role for phonological short-term memory in early expressive vocabulary acquisition. They also extend previous research in two ways. First, a unique association between verbal working memory and expressive vocabulary in 2-year-olds was observed. Second, processing speed was not a unique predictor of variance in expressive vocabulary when included alongside measures of working memory.

  18. Working Memory in the Classroom: An Inside Look at the Central Executive.

    Science.gov (United States)

    Barker, Lauren A

    2016-01-01

    This article provides a review of working memory and its application to educational settings. A discussion of the varying definitions of working memory is presented. Special attention is given to the various multidisciplinary professionals who work with students with working memory deficits, and their unique understanding of the construct. Definitions and theories of working memory are briefly summarized and provide the foundation for understanding practical applications of working memory to assessment and intervention. Although definitions and models of working memory abound, there is limited consensus regarding universally accepted definitions and models. Current research indicates that developing new models of working memory may be an appropriate paradigm shift at this time. The integration of individual practitioner's knowledge regarding academic achievement, working memory and processing speed could provide a foundation for the future development of new working memory models. Future directions for research should aim to explain how tasks and behaviors are supported by the substrates of the cortico-striatal and the cerebro-cerebellar systems. Translation of neurobiological information into educational contexts will be helpful to inform all practitioners' knowledge of working memory constructs. It will also allow for universally accepted definitions and models of working memory to arise and facilitate more effective collaboration between disciplines working in educational setting.

  19. Two alternate proofs of Wang's lune formula for sparse distributed memory and an integral approximation

    Science.gov (United States)

    Jaeckel, Louis A.

    1988-01-01

    In Kanerva's Sparse Distributed Memory, writing to and reading from the memory are done in relation to spheres in an n-dimensional binary vector space. Thus it is important to know how many points are in the intersection of two spheres in this space. Two proofs are given of Wang's formula for spheres of unequal radii, and an integral approximation for the intersection in this case.

  20. Interaction of Working Memory, Compressor Speed and Background Noise Characteristics

    DEFF Research Database (Denmark)

    Ohlenforst, Barbara; MacDonald, Ewen; Souza, Pamela

    Previous studies have shown that individuals with poor working memory perform worse in speech recognition tests when fast compression release time is applied. However, it is not clear why this effect occurs only when modulations are present in the background noise. This study explored...... was varied. Results suggest that the combined effect of short compression release times, a low working memory capacity and glimpsing due to presence of amplitude modulation results in poor speech recognition performance. There was no interaction between working memory and different noise backgrounds...... the relationship between working memory capacity, compression release time and characteristics of the background noise. This relationship is important to understand because the majority of everyday listening situations involve modulated noise. The investigation was carried out by testing two groups of older adults...

  1. A Cognitive Attachment Model of prolonged grief: integrating attachments, memory, and identity.

    Science.gov (United States)

    Maccallum, Fiona; Bryant, Richard A

    2013-08-01

    Prolonged grief (PG), otherwise known as complicated grief, has attracted much attention in recent years as a potentially debilitating condition that affects approximately 10% of bereaved people. We propose a model of PG that integrates processes of attachment, self-identity, and autobiographical memory. The paper commences with a discussion of the PG construct and reviews current evidence regarding the distinctiveness of PG from other bereavement related-outcomes. We then review the evidence regarding the dysfunctional attachments, appraisals, and coping styles that people with PG display. Recent evidence pertaining to the patterns of autobiographical memory in PG is described in the context of the self-memory system. This system provides a unifying framework to understand the roles of personal memories, identity, attachments, and coping responses in PG. The proposed model places emphasis on how one's sense of identity influences yearning, memories of the deceased, appraisals, and coping strategies, to maintain a focus on the loss. The model is discussed in relation to existing models of PG. The potential for shaping treatment strategies to shift perceptions of the self is then outlined. Finally, we outline future directions to test propositions stemming from the model and enhance our understanding of the mechanisms underlying PG. Copyright © 2013 Elsevier Ltd. All rights reserved.

  2. Genetic correlations between brain volumes and the WAIS-III dimensions of verbal comprehension, working memory, perceptual organization, and processing speed.

    Science.gov (United States)

    Posthuma, Daniëlle; Baaré, Wim F C; Hulshoff Pol, Hilleke E; Kahn, René S; Boomsma, Dorret I; De Geus, Eco J C

    2003-04-01

    We recently showed that the correlation of gray and white matter volume with full scale IQ and the Working Memory dimension are completely mediated by common genetic factors (Posthuma et al., 2002). Here we examine whether the other WAIS III dimensions (Verbal Comprehension, Perceptual Organization, Processing Speed) are also related to gray and white matter volume, and whether any of the dimensions are related to cerebellar volume. Two overlapping samples provided 135 subjects from 60 extended twin families for whom both MRI scans and WAIS III data were available. All three brain volumes are related to Working Memory capacity (r = 0.27). This phenotypic correlation is completely due to a common underlying genetic factor. Processing Speed was genetically related to white matter volume (r(g) = 0.39). Perceptual Organization was both genetically (r(g) = 0.39) and environmentally (r(e) = -0.71) related to cerebellar volume. Verbal Comprehension was not related to any of the three brain volumes. It is concluded that brain volumes are genetically related to intelligence which suggests that genes that influence brain volume may also be important for intelligence. It is also noted however, that the direction of causation (i.e., do genes influence brain volume which in turn influences intelligence, or alternatively, do genes influence intelligence which in turn influences brain volume), or the presence or absence of pleiotropy has not been resolved yet.

  3. Relating working memory to compression parameters in clinically fit hearing AIDS.

    Science.gov (United States)

    Souza, Pamela E; Sirow, Lynn

    2014-12-01

    Several laboratory studies have demonstrated that working memory may influence response to compression speed in controlled (i.e., laboratory) comparisons of compression. In this study, the authors explored whether the same relationship would occur under less controlled conditions, as might occur in a typical audiology clinic. Participants included 27 older adults who sought hearing care in a private practice audiology clinic. Working memory was measured for each participant using a reading span test. The authors examined the relationship between working memory and aided speech recognition in noise, using clinically fit hearing aids with a range of compression speeds. Working memory, amount of hearing loss, and age each contributed to speech recognition, but the contribution depended on the speed of the compression processor. For fast-acting compression, the best performance was obtained by patients with high working memory. For slow-acting compression, speech recognition was affected by age and amount of hearing loss but was not affected by working memory. Despite the expectation of greater variability from differences in compression implementation, number of compression channels, or attendant signal processing, the relationship between working memory and compression speed showed a similar pattern as results from more controlled, laboratory-based studies.

  4. Longitudinal association between hippocampus atrophy and episodic-memory decline.

    Science.gov (United States)

    Gorbach, Tetiana; Pudas, Sara; Lundquist, Anders; Orädd, Greger; Josefsson, Maria; Salami, Alireza; de Luna, Xavier; Nyberg, Lars

    2017-03-01

    There is marked variability in both onset and rate of episodic-memory decline in aging. Structural magnetic resonance imaging studies have revealed that the extent of age-related brain changes varies markedly across individuals. Past studies of whether regional atrophy accounts for episodic-memory decline in aging have yielded inconclusive findings. Here we related 15-year changes in episodic memory to 4-year changes in cortical and subcortical gray matter volume and in white-matter connectivity and lesions. In addition, changes in word fluency, fluid IQ (Block Design), and processing speed were estimated and related to structural brain changes. Significant negative change over time was observed for all cognitive and brain measures. A robust brain-cognition change-change association was observed for episodic-memory decline and atrophy in the hippocampus. This association was significant for older (65-80 years) but not middle-aged (55-60 years) participants and not sensitive to the assumption of ignorable attrition. Thus, these longitudinal findings highlight medial-temporal lobe system integrity as particularly crucial for maintaining episodic-memory functioning in older age. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  5. Design and Analysis of a Novel Speed-Changing Wheel Hub with an Integrated Electric Motor for Electric Bicycles

    Directory of Open Access Journals (Sweden)

    Yi-Chang Wu

    2013-01-01

    Full Text Available The aim of this paper is to present an innovative electromechanical device which integrates a brushless DC (BLDC hub motor with a speed-changing wheel hub stored on the rear wheel of an electric bicycle. It combines a power source and a speed-changing mechanism to simultaneously provide functions of power generation and transmission for electric bicycles. As part of the proposed integrated device, the wheel hub consists of a basic planetary gear train providing three forward speeds including a low-speed gear, a direct drive, and a high-speed gear. Each gear is manually controlled by the shift control sleeve to selectively engage or disengage four pawl-and-ratchet clutches based on its clutching sequence table. The number of gear teeth of each gear element of the wheel hub is synthesized. The BLDC hub motor is an exterior-rotor-type permanent-magnet synchronous motor. Two-dimensional finite-element analysis (FEA software is employed to facilitate the motor design and performance analysis. An analysis of the power transmission path at each gear is provided to verify the validity of the proposed design. The results of this work are beneficial to the embodiment, design, and development of novel electromechanical devices for the power and transmission systems of electric bicycles.

  6. Fast Response, Open-Celled Porous, Shape Memory Effect Actuators with Integrated Attachments

    Science.gov (United States)

    Jardine, Andrew Peter (Inventor)

    2015-01-01

    This invention relates to the exploitation of porous foam articles exhibiting the Shape Memory Effect as actuators. Each foam article is composed of a plurality of geometric shapes, such that some geometric shapes can fit snugly into or around rigid mating connectors that attach the Shape Memory foam article intimately into the load path between a static structure and a moveable structure. The foam is open-celled, composed of a plurality of interconnected struts whose mean diameter can vary from approximately 50 to 500 microns. Gases and fluids flowing through the foam transfer heat rapidly with the struts, providing rapid Shape Memory Effect transformations. Embodiments of porous foam articles as torsional actuators and approximately planar structures are disposed. Simple, integral connection systems exploiting the ability to supply large loads to a structure, and that can also supply hot and cold gases and fluids to effect rapid actuation are also disposed.

  7. How verbal memory loads consume attention

    OpenAIRE

    Chen, Zhijian; Cowan, Nelson

    2009-01-01

    According to a traditional assumption about working memory, participants retain a series of verbal items for immediate recall using covert verbal rehearsal, without much need for attention. We reassessed this assumption by imposing a speeded, nonverbal choice reaction time (CRT) task following the presentation of each digit in a list to be recalled. When the memory load surpassed a few items, performance on the speeded CRT task became increasingly impaired. This CRT task impairment depended o...

  8. Enactment effects and integration processes in younger and older adults' memory for actions.

    Science.gov (United States)

    Feyereisen, Pierre

    2009-05-01

    The positive effects of gesturing on memory are robust but their interpretation is still controversial. To clarify the issue, recognition and cued recall of action phrases were compared in 24 younger (M=20 years) and 20 older adults (M=68 years), in three encoding conditions--purely verbal tasks (VTs), subject-performed tasks (SPTs), and experimenter-performed tasks (EPTs)--for well- and poorly integrated phrases. As expected, the effects of these factors were significant, but there was no interaction between age-related differences, enactment effects, and semantic association. In particular, both SPT and EPT displayed similar advantages over VT conditions in both age groups and in the two memory tasks. These results are discussed in relation to the debate between Engelkamp on one side, and Kormi-Nouri and Nilsson on the other side, about the role of motor components in the episodic integration of verbs and nouns in action phrases.

  9. Caffeine, extraversion and working memory.

    Science.gov (United States)

    Smith, Andrew P

    2013-01-01

    Research has shown that extraverts performing a working memory task benefit more from caffeine than do introverts. The present study aimed to replicate this and extend our knowledge by using a lower dose of caffeine (65 mg) and a range of tasks related to different components of working memory. In addition, tasks assessing psychomotor speed and the encoding of new information were included to determine whether caffeine-extraversion interactions were restricted to working memory tasks. A double-blind design was used, with 128 participants being randomly assigned to caffeinated or de-caffeinated coffee conditions. The results showed that caffeine interacted with extraversion in the predicted direction for serial recall and running memory tasks. Caffeine improved simple reaction time and the speed of encoding of new information, effects which were not modified by extraversion. These results suggest possible biological mechanisms underlying effects of caffeine on cognitive performance.

  10. [Information processing speed and influential factors in multiple sclerosis].

    Science.gov (United States)

    Zhang, M L; Xu, E H; Dong, H Q; Zhang, J W

    2016-04-19

    To study the information processing speed and the influential factors in multiple sclerosis (MS) patients. A total of 36 patients with relapsing-remitting MS (RRMS), 21 patients with secondary progressive MS (SPMS), and 50 healthy control subjects from Xuanwu Hospital of Capital Medical University between April 2010 and April 2012 were included into this cross-sectional study.Neuropsychological tests was conducted after the disease had been stable for 8 weeks, including information processing speed, memory, executive functions, language and visual perception.Correlation between information processing speed and depression, fatigue, Expanded Disability Status Scale (EDSS) were studied. (1)MS patient groups demonstrated cognitive deficits compared to healthy controls.The Symbol Digit Modalities Test (SDMT) (control group 57±12; RRMS group 46±17; SPMS group 35±10, Pinformation processing (Pinformation processing speed, verbal memory and executive functioning are seen in MS patients, especially in SPMS subtype, while visual-spatial function is relatively reserved.Age, white matter change scales, EDSS scores, depression are negatively associated with information processing speed.

  11. Contention Modeling for Multithreaded Distributed Shared Memory Machines: The Cray XMT

    Energy Technology Data Exchange (ETDEWEB)

    Secchi, Simone; Tumeo, Antonino; Villa, Oreste

    2011-07-27

    Distributed Shared Memory (DSM) machines are a wide class of multi-processor computing systems where a large virtually-shared address space is mapped on a network of physically distributed memories. High memory latency and network contention are two of the main factors that limit performance scaling of such architectures. Modern high-performance computing DSM systems have evolved toward exploitation of massive hardware multi-threading and fine-grained memory hashing to tolerate irregular latencies, avoid network hot-spots and enable high scaling. In order to model the performance of such large-scale machines, parallel simulation has been proved to be a promising approach to achieve good accuracy in reasonable times. One of the most critical factors in solving the simulation speed-accuracy trade-off is network modeling. The Cray XMT is a massively multi-threaded supercomputing architecture that belongs to the DSM class, since it implements a globally-shared address space abstraction on top of a physically distributed memory substrate. In this paper, we discuss the development of a contention-aware network model intended to be integrated in a full-system XMT simulator. We start by measuring the effects of network contention in a 128-processor XMT machine and then investigate the trade-off that exists between simulation accuracy and speed, by comparing three network models which operate at different levels of accuracy. The comparison and model validation is performed by executing a string-matching algorithm on the full-system simulator and on the XMT, using three datasets that generate noticeably different contention patterns.

  12. The integration of familiarity and recollection information in short-term recognition: modeling speed-accuracy trade-off functions.

    Science.gov (United States)

    Göthe, Katrin; Oberauer, Klaus

    2008-05-01

    Dual process models postulate familiarity and recollection as the basis of the recognition process. We investigated the time-course of integration of the two information sources to one recognition judgment in a working memory task. We tested 24 subjects with a response signal variant of the modified Sternberg recognition task (Oberauer, 2001) to isolate the time course of three different probe types indicating different combinations of familiarity and source information. We compared two mathematical models implementing different ways of integrating familiarity and recollection. Within each model, we tested three assumptions about the nature of the familiarity signal, with familiarity having (a) only positive values, indicating similarity of the probe with the memory list, (b) only negative values, indicating novelty, or (c) both positive and negative values. Both models provided good fits to the data. A model combining the outputs of both processes additively (Integration Model) gave an overall better fit to the data than a model based on a continuous familiarity signal and a probabilistic all-or-none recollection process (Dominance Model).

  13. Memory Skills of Deaf Learners: Implications and Applications

    Science.gov (United States)

    Hamilton, Harley

    2011-01-01

    This paper will review research on working memory and short-term memory abilities of deaf individuals delineating strengths and weaknesses. The areas of memory reviewed include weaknesses such as sequential recall, processing speed, attention, and memory load. Strengths include free recall, visuospatial recall, imagery and dual encoding.…

  14. Light-erasable embedded charge-trapping memory based on MoS2 for system-on-panel applications

    Science.gov (United States)

    He, Long-Fei; Zhu, Hao; Xu, Jing; Liu, Hao; Nie, Xin-Ran; Chen, Lin; Sun, Qing-Qing; Xia, Yang; Wei Zhang, David

    2017-11-01

    The continuous scaling and challenges in device integrations in modern portable electronic products have aroused many scientific interests, and a great deal of effort has been made in seeking solutions towards a more microminiaturized package assembled with smaller and more powerful components. In this study, an embedded light-erasable charge-trapping memory with a high-k dielectric stack (Al2O3/HfO2/Al2O3) and an atomically thin MoS2 channel has been fabricated and fully characterized. The memory exhibits a sufficient memory window, fast programming and erasing (P/E) speed, and high On/Off current ratio up to 107. Less than 25% memory window degradation is observed after projected 10-year retention, and the device functions perfectly after 8000 P/E operation cycles. Furthermore, the programmed device can be fully erased by incident light without electrical assistance. Such excellent memory performance originates from the intrinsic properties of two-dimensional (2D) MoS2 and the engineered back-gate dielectric stack. Our integration of 2D semiconductors in the infrastructure of light-erasable charge-trapping memory is very promising for future system-on-panel applications like storage of metadata and flexible imaging arrays.

  15. Nanographene charge trapping memory with a large memory window

    International Nuclear Information System (INIS)

    Meng, Jianling; Yang, Rong; Zhao, Jing; He, Congli; Wang, Guole; Shi, Dongxia; Zhang, Guangyu

    2015-01-01

    Nanographene is a promising alternative to metal nanoparticles or semiconductor nanocrystals for charge trapping memory. In general, a high density of nanographene is required in order to achieve high charge trapping capacity. Here, we demonstrate a strategy of fabrication for a high density of nanographene for charge trapping memory with a large memory window. The fabrication includes two steps: (1) direct growth of continuous nanographene film; and (2) isolation of the as-grown film into high-density nanographene by plasma etching. Compared with directly grown isolated nanographene islands, abundant defects and edges are formed in nanographene under argon or oxygen plasma etching, i.e. more isolated nanographene islands are obtained, which provides more charge trapping sites. As-fabricated nanographene charge trapping memory shows outstanding memory properties with a memory window as wide as ∼9 V at a relative low sweep voltage of ±8 V, program/erase speed of ∼1 ms and robust endurance of >1000 cycles. The high-density nanographene charge trapping memory provides an outstanding alternative for downscaling technology beyond the current flash memory. (paper)

  16. All-optical SR flip-flop based on SOA-MZI switches monolithically integrated on a generic InP platform

    Science.gov (United States)

    Pitris, St.; Vagionas, Ch.; Kanellos, G. T.; Kisacik, R.; Tekin, T.; Broeke, R.; Pleros, N.

    2016-03-01

    At the dawning of the exaflop era, High Performance Computers are foreseen to exploit integrated all-optical elements, to overcome the speed limitations imposed by electronic counterparts. Drawing from the well-known Memory Wall limitation, imposing a performance gap between processor and memory speeds, research has focused on developing ultra-fast latching devices and all-optical memory elements capable of delivering buffering and switching functionalities at unprecedented bit-rates. Following the master-slave configuration of electronic Flip-Flops, coupled SOA-MZI based switches have been theoretically investigated to exceed 40 Gb/s operation, provided a short coupling waveguide. However, this flip-flop architecture has been only hybridly integrated with silica-on-silicon integration technology exhibiting a total footprint of 45x12 mm2 and intra-Flip-Flop coupling waveguide of 2.5cm, limited at 5 Gb/s operation. Monolithic integration offers the possibility to fabricate multiple active and passive photonic components on a single chip at a close proximity towards, bearing promises for fast all-optical memories. Here, we present for the first time a monolithically integrated all-optical SR Flip-Flop with coupled master-slave SOA-MZI switches. The photonic chip is integrated on a 6x2 mm2 die as a part of a multi-project wafer run using library based components of a generic InP platform, fiber-pigtailed and fully packaged on a temperature controlled ceramic submount module with electrical contacts. The intra Flip-Flop coupling waveguide is 5 mm long, reducing the total footprint by two orders of magnitude. Successful flip flop functionality is evaluated at 10 Gb/s with clear open eye diagram, achieving error free operation with a power penalty of 4dB.

  17. Integrated Optical Content Addressable Memories (CAM and Optical Random Access Memories (RAM for Ultra-Fast Address Look-Up Operations

    Directory of Open Access Journals (Sweden)

    Christos Vagionas

    2017-07-01

    Full Text Available Electronic Content Addressable Memories (CAM implement Address Look-Up (AL table functionalities of network routers; however, they typically operate in the MHz regime, turning AL into a critical network bottleneck. In this communication, we demonstrate the first steps towards developing optical CAM alternatives to enable a re-engineering of AL memories. Firstly, we report on the photonic integration of Semiconductor Optical Amplifier-Mach Zehnder Interferometer (SOA-MZI-based optical Flip-Flop and Random Access Memories on a monolithic InP platform, capable of storing the binary prefix-address data-bits and the outgoing port information for next hop routing, respectively. Subsequently the first optical Binary CAM cell (B-CAM is experimentally demonstrated, comprising an InP Flip-Flop and a SOA-MZI Exclusive OR (XOR gate for fast search operations through an XOR-based bit comparison, yielding an error-free 10 Gb/s operation. This is later extended via physical layer simulations in an optical Ternary-CAM (T-CAM cell and a 4-bit Matchline (ML configuration, supporting a third state of the “logical X” value towards wildcard bits of network subnet masks. The proposed functional CAM and Random Access Memories (RAM sub-circuits may facilitate light-based Address Look-Up tables supporting search operations at 10 Gb/s and beyond, paving the way towards minimizing the disparity with the frantic optical transmission linerates, and fast re-configurability through multiple simultaneous Wavelength Division Multiplexed (WDM memory access requests.

  18. Integral Images: Efficient Algorithms for Their Computation and Storage in Resource-Constrained Embedded Vision Systems.

    Science.gov (United States)

    Ehsan, Shoaib; Clark, Adrian F; Naveed ur Rehman; McDonald-Maier, Klaus D

    2015-07-10

    The integral image, an intermediate image representation, has found extensive use in multi-scale local feature detection algorithms, such as Speeded-Up Robust Features (SURF), allowing fast computation of rectangular features at constant speed, independent of filter size. For resource-constrained real-time embedded vision systems, computation and storage of integral image presents several design challenges due to strict timing and hardware limitations. Although calculation of the integral image only consists of simple addition operations, the total number of operations is large owing to the generally large size of image data. Recursive equations allow substantial decrease in the number of operations but require calculation in a serial fashion. This paper presents two new hardware algorithms that are based on the decomposition of these recursive equations, allowing calculation of up to four integral image values in a row-parallel way without significantly increasing the number of operations. An efficient design strategy is also proposed for a parallel integral image computation unit to reduce the size of the required internal memory (nearly 35% for common HD video). Addressing the storage problem of integral image in embedded vision systems, the paper presents two algorithms which allow substantial decrease (at least 44.44%) in the memory requirements. Finally, the paper provides a case study that highlights the utility of the proposed architectures in embedded vision systems.

  19. Integral Images: Efficient Algorithms for Their Computation and Storage in Resource-Constrained Embedded Vision Systems

    Directory of Open Access Journals (Sweden)

    Shoaib Ehsan

    2015-07-01

    Full Text Available The integral image, an intermediate image representation, has found extensive use in multi-scale local feature detection algorithms, such as Speeded-Up Robust Features (SURF, allowing fast computation of rectangular features at constant speed, independent of filter size. For resource-constrained real-time embedded vision systems, computation and storage of integral image presents several design challenges due to strict timing and hardware limitations. Although calculation of the integral image only consists of simple addition operations, the total number of operations is large owing to the generally large size of image data. Recursive equations allow substantial decrease in the number of operations but require calculation in a serial fashion. This paper presents two new hardware algorithms that are based on the decomposition of these recursive equations, allowing calculation of up to four integral image values in a row-parallel way without significantly increasing the number of operations. An efficient design strategy is also proposed for a parallel integral image computation unit to reduce the size of the required internal memory (nearly 35% for common HD video. Addressing the storage problem of integral image in embedded vision systems, the paper presents two algorithms which allow substantial decrease (at least 44.44% in the memory requirements. Finally, the paper provides a case study that highlights the utility of the proposed architectures in embedded vision systems.

  20. MoSbTe for high-speed and high-thermal-stability phase-change memory applications

    Science.gov (United States)

    Liu, Wanliang; Wu, Liangcai; Li, Tao; Song, Zhitang; Shi, Jianjun; Zhang, Jing; Feng, Songlin

    2018-04-01

    Mo-doped Sb1.8Te materials and electrical devices were investigated for high-thermal-stability and high-speed phase-change memory applications. The crystallization temperature (t c = 185 °C) and 10-year data retention (t 10-year = 112 °C) were greatly enhanced compared with those of Ge2Sb2Te5 (t c = 150 °C, t 10-year = 85 °C) and pure Sb1.8Te (t c = 166 °C, t 10-year = 74 °C). X-ray diffraction and transmission electron microscopy results show that the Mo dopant suppresses crystallization, reducing the crystalline grain size. Mo2.0(Sb1.8Te)98.0-based devices were fabricated to evaluate the reversible phase transition properties. SET/RESET with a large operation window can be realized using a 10 ns pulse, which is considerably better than that required for Ge2Sb2Te5 (∼50 ns). Furthermore, ∼1 × 106 switching cycles were achieved.

  1. “The Relationship between Executive Functioning, Processing Speed and White Matter Integrity in Multiple Sclerosis”

    Science.gov (United States)

    Genova, Helen M.; DeLuca, John; Chiaravalloti, Nancy; Wylie, Glenn

    2014-01-01

    The primary purpose of the current study was to examine the relationship between performance on executive tasks and white matter integrity, assessed by diffusion tensor imaging (DTI) in Multiple Sclerosis (MS). A second aim was to examine how processing speed affects the relationship between executive functioning and FA. This relationship was examined in two executive tasks that rely heavily on processing speed: the Color-Word Interference Test and Trail-Making Test (Delis-Kaplan Executive Function System). It was hypothesized that reduced fractional anisotropy (FA) is related to poor performance on executive tasks in MS, but that this relationship would be affected by the statistical correction of processing speed from the executive tasks. 15 healthy controls and 25 persons with MS participated. Regression analyses were used to examine the relationship between executive functioning and FA, both before and after processing speed was removed from the executive scores. Before processing speed was removed from the executive scores, reduced FA was associated with poor performance on Color-Word Interference Test and Trail-Making Test in a diffuse network including corpus callosum and superior longitudinal fasciculus. However, once processing speed was removed, the relationship between executive functions and FA was no longer significant on the Trail Making test, and significantly reduced and more localized on the Color-Word Interference Test. PMID:23777468

  2. Decomposing the relationship between cognitive functioning and self-referent memory beliefs in older adulthood: what's memory got to do with it?

    Science.gov (United States)

    Payne, Brennan R; Gross, Alden L; Hill, Patrick L; Parisi, Jeanine M; Rebok, George W; Stine-Morrow, Elizabeth A L

    2017-07-01

    With advancing age, episodic memory performance shows marked declines along with concurrent reports of lower subjective memory beliefs. Given that normative age-related declines in episodic memory co-occur with declines in other cognitive domains, we examined the relationship between memory beliefs and multiple domains of cognitive functioning. Confirmatory bi-factor structural equation models were used to parse the shared and independent variance among factors representing episodic memory, psychomotor speed, and executive reasoning in one large cohort study (Senior Odyssey, N = 462), and replicated using another large cohort of healthy older adults (ACTIVE, N = 2802). Accounting for a general fluid cognitive functioning factor (comprised of the shared variance among measures of episodic memory, speed, and reasoning) attenuated the relationship between objective memory performance and subjective memory beliefs in both samples. Moreover, the general cognitive functioning factor was the strongest predictor of memory beliefs in both samples. These findings are consistent with the notion that dispositional memory beliefs may reflect perceptions of cognition more broadly. This may be one reason why memory beliefs have broad predictive validity for interventions that target fluid cognitive ability.

  3. Speed in Acquisitions

    DEFF Research Database (Denmark)

    Meglio, Olimpia; King, David R.; Risberg, Annette

    2017-01-01

    The advantage of speed is often invoked by academics and practitioners as an essential condition during post-acquisition integration, frequently without consideration of the impact earlier decisions have on acquisition speed. In this article, we examine the role speed plays in acquisitions across...... the acquisition process using research organized around characteristics that display complexity with respect to acquisition speed. We incorporate existing research with a process perspective of acquisitions in order to present trade-offs, and consider the influence of both stakeholders and the pre......-deal-completion context on acquisition speed, as well as the organization’s capabilities to facilitating that speed. Observed trade-offs suggest both that acquisition speed often requires longer planning time before an acquisition and that associated decisions require managerial judgement. A framework for improving...

  4. Memory Contextualization: The Role of Prefrontal Cortex in Functional Integration across Item and Context Representational Regions.

    Science.gov (United States)

    Zhang, Wei; van Ast, Vanessa A; Klumpers, Floris; Roelofs, Karin; Hermans, Erno J

    2018-04-01

    Memory recall is facilitated when retrieval occurs in the original encoding context. This context dependency effect likely results from the automatic binding of central elements of an experience with contextual features (i.e., memory "contextualization") during encoding. However, despite a vast body of research investigating the neural correlates of explicit associative memory, the neural interactions during encoding that predict implicit context-dependent memory remain unknown. Twenty-six participants underwent fMRI during encoding of salient stimuli (faces), which were overlaid onto unique background images (contexts). To index subsequent context-dependent memory, face recognition was tested either in intact or rearranged contexts, after scanning. Enhanced face recognition in intact relative to rearranged contexts evidenced successful memory contextualization. Overall subsequent memory effects (brain activity predicting whether items were later remembered vs. forgotten) were found in the left inferior frontal gyrus (IFG) and right amygdala. Effective connectivity analyses showed that stronger context-dependent memory was associated with stronger coupling of the left IFG with face- and place-responsive areas, both within and between participants. Our findings indicate an important role for the IFG in integrating information across widespread regions involved in the representation of salient items and contextual features.

  5. A high sensitivity 20Mfps CMOS image sensor with readout speed of 1Tpixel/sec for visualization of ultra-high speed phenomena

    Science.gov (United States)

    Kuroda, R.; Sugawa, S.

    2017-02-01

    Ultra-high speed (UHS) CMOS image sensors with on-chop analog memories placed on the periphery of pixel array for the visualization of UHS phenomena are overviewed in this paper. The developed UHS CMOS image sensors consist of 400H×256V pixels and 128 memories/pixel, and the readout speed of 1Tpixel/sec is obtained, leading to 10 Mfps full resolution video capturing with consecutive 128 frames, and 20 Mfps half resolution video capturing with consecutive 256 frames. The first development model has been employed in the high speed video camera and put in practical use in 2012. By the development of dedicated process technologies, photosensitivity improvement and power consumption reduction were simultaneously achieved, and the performance improved version has been utilized in the commercialized high-speed video camera since 2015 that offers 10 Mfps with ISO16,000 photosensitivity. Due to the improved photosensitivity, clear images can be captured and analyzed even under low light condition, such as under a microscope as well as capturing of UHS light emission phenomena.

  6. Path integration of head direction: updating a packet of neural activity at the correct speed using neuronal time constants.

    Science.gov (United States)

    Walters, D M; Stringer, S M

    2010-07-01

    A key question in understanding the neural basis of path integration is how individual, spatially responsive, neurons may self-organize into networks that can, through learning, integrate velocity signals to update a continuous representation of location within an environment. It is of vital importance that this internal representation of position is updated at the correct speed, and in real time, to accurately reflect the motion of the animal. In this article, we present a biologically plausible model of velocity path integration of head direction that can solve this problem using neuronal time constants to effect natural time delays, over which associations can be learned through associative Hebbian learning rules. The model comprises a linked continuous attractor network and competitive network. In simulation, we show that the same model is able to learn two different speeds of rotation when implemented with two different values for the time constant, and without the need to alter any other model parameters. The proposed model could be extended to path integration of place in the environment, and path integration of spatial view.

  7. A large capacity, high-speed multiparameter multichannel analysis system

    International Nuclear Information System (INIS)

    Hendricks, R.W.; Suehiro, S.; Seeger, P.A.; Scheer, J.W.

    1982-01-01

    A data acquisition system for recording multiparameter digital data into a large memory array at over 2.5 MHz is described. The system consists of a MOSTEK MK 8600 2048 K x 24-bit memory system, I/O ports to various external devices including the CAMAC dataway, a memory incrementer/adder and a daisy-chain of experiment-specific modules which calculate the memory address which is to be incremented. The design of the daisy-chain permits multiple modules and provides for easy modification as experimental needs change. The system has been designed for use in multiparameter, multichannel analysis of high-speed data gathered by position-sensitive detectors at conventional and synchrotron X-ray sources as well as for fixed energy and time-of-flight diffraction at continuous and pulsed neutron sources. Modules which have been developed to date include a buffer for two-dimensional position-sensitive detectors, a mapper for high-speed coordinate transformations, a buffered time-of-flight clock, a time-correlator for synchronized diffraction experiments, and a display unit for data bus diagnostics. (orig.)

  8. Decomposing the relationship between cognitive functioning and self-referent memory beliefs in older adulthood: What’s memory got to do with it?

    Science.gov (United States)

    Payne, Brennan R.; Gross, Alden L.; Hill, Patrick L.; Parisi, Jeanine M.; Rebok, George W.; Stine-Morrow, Elizabeth A. L.

    2018-01-01

    With advancing age, episodic memory performance shows marked declines along with concurrent reports of lower subjective memory beliefs. Given that normative age-related declines in episodic memory co-occur with declines in other cognitive domains, we examined the relationship between memory beliefs and multiple domains of cognitive functioning. Confirmatory bi-factor structural equation models were used to parse the shared and independent variance among factors representing episodic memory, psychomotor speed, and executive reasoning in one large cohort study (Senior Odyssey, N = 462), and replicated using another large cohort of healthy older adults (ACTIVE, N = 2,802). Accounting for a general fluid cognitive functioning factor (comprised of the shared variance among measures of episodic memory, speed, and reasoning) attenuated the relationship between objective memory performance and subjective memory beliefs in both samples. Moreover, the general cognitive functioning factor was the strongest predictor of memory beliefs in both samples. These findings are consistent with the notion that dispositional memory beliefs may reflect perceptions of cognition more broadly. This may be one reason why memory beliefs have broad predictive validity for interventions that target fluid cognitive ability. PMID:27685541

  9. Learning from the Germans? History and Memory in German and European Discourses of Integration

    Directory of Open Access Journals (Sweden)

    Andrew Beattie

    2007-08-01

    Full Text Available History and memory appear to be increasingly important to discussions of European values and identity, as exemplified by references to ‘bitter experiences’ and ‘divided pasts’ in the draft EU constitution. The article takes recent suggestions that Europe could learn from German experiences of confronting multiple difficult pasts as its starting point, and considers critically what lessons those German experiences might in fact hold for ‘Europe’. It explores similarities and differences in the two integration contexts and their dominant approaches to, and assumptions about history and public memory. Specifically, it considers debates about the east-west division of the Cold War and about the place of communism and nazism in public memory. Contrary to common assumptions, the article argues that German experiences are not necessarily worth of European emulation.

  10. Growth of Si nanocrystals on alumina and integration in memory devices

    Science.gov (United States)

    Baron, T.; Fernandes, A.; Damlencourt, J. F.; De Salvo, B.; Martin, F.; Mazen, F.; Haukka, S.

    2003-06-01

    We present a detailed study of the growth of Si quantum dots (Si QDs) by low pressure chemical vapor deposition on alumina dielectric deposited by atomic layer deposition. The Si QDs density is very high, 1012 cm-2, for a mean diameter between 5 and 10 nm. Al2O3/Si QD stacks have been integrated in memory devices as granular floating gate. The devices demonstrate good charge storage and data retention characteristics.

  11. Non-volatile memory based on the ferroelectric photovoltaic effect

    Science.gov (United States)

    Guo, Rui; You, Lu; Zhou, Yang; Shiuh Lim, Zhi; Zou, Xi; Chen, Lang; Ramesh, R.; Wang, Junling

    2013-01-01

    The quest for a solid state universal memory with high-storage density, high read/write speed, random access and non-volatility has triggered intense research into new materials and novel device architectures. Though the non-volatile memory market is dominated by flash memory now, it has very low operation speed with ~10 μs programming and ~10 ms erasing time. Furthermore, it can only withstand ~105 rewriting cycles, which prevents it from becoming the universal memory. Here we demonstrate that the significant photovoltaic effect of a ferroelectric material, such as BiFeO3 with a band gap in the visible range, can be used to sense the polarization direction non-destructively in a ferroelectric memory. A prototype 16-cell memory based on the cross-bar architecture has been prepared and tested, demonstrating the feasibility of this technique. PMID:23756366

  12. Processing Determinants of Reading Speed.

    Science.gov (United States)

    Jackson, Mark D.; McClelland, James L.

    1979-01-01

    Two groups of undergraduates differing in reading ability were tested on a number of reaction-time tasks designed to determine the speed of encoding visual information at several different levels, tests of sensory functions, verbal and quantitative reasoning ability, short-term auditory memory span, and ability to comprehend spoken text.…

  13. Oracle Exalytics: Engineered for Speed-of-Thought Analytics

    Directory of Open Access Journals (Sweden)

    Gabriela GLIGOR

    2011-12-01

    Full Text Available One of the biggest product announcements at 2011's Oracle OpenWorld user conference was Oracle Exalytics In-Memory Machine, the latest addition to the "Exa"-branded suite of Oracle-Sun engineered software-hardware systems. Analytics is all about gaining insights from the data for better decision making. However, the vision of delivering fast, interactive, insightful analytics has remained elusive for most organizations. Most enterprise IT organizations continue to struggle to deliver actionable analytics due to time-sensitive, sprawling requirements and ever tightening budgets. The issue is further exasperated by the fact that most enterprise analytics solutions require dealing with a number of hardware, software, storage and networking vendors and precious resources are wasted integrating the hardware and software components to deliver a complete analytical solution. Oracle Exalytics Business Intelligence Machine is the world’s first engineered system specifically designed to deliver high performance analysis, modeling and planning. Built using industry-standard hardware, market-leading business intelligence software and in-memory database technology, Oracle Exalytics is an optimized system that delivers answers to all your business questions with unmatched speed, intelligence, simplicity and manageability.

  14. Mapping the developmental constraints on working memory span performance.

    Science.gov (United States)

    Bayliss, Donna M; Jarrold, Christopher; Baddeley, Alan D; Gunn, Deborah M; Leigh, Eleanor

    2005-07-01

    This study investigated the constraints underlying developmental improvements in complex working memory span performance among 120 children of between 6 and 10 years of age. Independent measures of processing efficiency, storage capacity, rehearsal speed, and basic speed of processing were assessed to determine their contribution to age-related variance in complex span. Results showed that developmental improvements in complex span were driven by 2 age-related but separable factors: 1 associated with general speed of processing and 1 associated with storage ability. In addition, there was an age-related contribution shared between working memory, processing speed, and storage ability that was important for higher level cognition. These results pose a challenge for models of complex span performance that emphasize the importance of processing speed alone.

  15. Wheel speed management control system for spacecraft

    Science.gov (United States)

    Goodzeit, Neil E. (Inventor); Linder, David M. (Inventor)

    1991-01-01

    A spacecraft attitude control system uses at least four reaction wheels. In order to minimize reaction wheel speed and therefore power, a wheel speed management system is provided. The management system monitors the wheel speeds and generates a wheel speed error vector. The error vector is integrated, and the error vector and its integral are combined to form a correction vector. The correction vector is summed with the attitude control torque command signals for driving the reaction wheels.

  16. Radiation hard memory cell and array thereof

    International Nuclear Information System (INIS)

    Gunckel, T.L. II; Rovell, A.; Nielsen, R.L.

    1978-01-01

    A memory cell configuration that is implemented to be relatively hard to the adverse effects of a nuclear event is discussed. The presently disclosed memory cell can be interconnected with other like memory cells to form a high speed radiation hard register file. Information is selectively written into and read out of a memory cell comprising the register file, which memory cell preserves previously stored data without alteration in the event of exposure to high levels of nuclear radiation

  17. A radiation-hardened two transistor memory cell for monolithic active pixel sensors in STAR experiment

    International Nuclear Information System (INIS)

    Wei, X; Dorokhov, A; Hu, Y; Gao, D

    2011-01-01

    Radiation tolerance of Monolithic Active Pixel Sensors (MAPS) is dramatically decreased when intellectual property (IP) memories are integrated for fast readout application. This paper presents a new solution to improve radiation hardness and avoid latch-up for memory cell design. The tradeoffs among radiation tolerance, area and speed are significantly considered and analyzed. The cell designed in 0.35 μm process satisfies the radiation tolerance requirements of STAR experiment. The cell size is 4.55 x 5.45 μm 2 . This cell is smaller than the IP memory cell based on the same process and is only 26% of a radiation tolerant 6T SRAM cell used in previous contribution. The write access time of the cell is less than 2 ns, while the read access time is 80 ns.

  18. Design and implementation of interface units for high speed fiber optics local area networks and broadband integrated services digital networks

    Science.gov (United States)

    Tobagi, Fouad A.; Dalgic, Ismail; Pang, Joseph

    1990-01-01

    The design and implementation of interface units for high speed Fiber Optic Local Area Networks and Broadband Integrated Services Digital Networks are discussed. During the last years, a number of network adapters that are designed to support high speed communications have emerged. This approach to the design of a high speed network interface unit was to implement package processing functions in hardware, using VLSI technology. The VLSI hardware implementation of a buffer management unit, which is required in such architectures, is described.

  19. Memory skills mediating superior memory in a world-class memorist.

    Science.gov (United States)

    Ericsson, K Anders; Cheng, Xiaojun; Pan, Yafeng; Ku, Yixuan; Ge, Yi; Hu, Yi

    2017-10-01

    Laboratory studies have investigated how individuals with normal memory spans attained digit spans over 80 digits after hundreds of hours of practice. Experimental analyses of their memory skills suggested that their attained memory spans were constrained by the encoding time, for the time needed will increase if the length of digit sequences to be memorised becomes longer. These constraints seemed to be violated by a world-class memorist, Feng Wang (FW), who won the World Memory Championship by recalling 300 digits presented at 1 digit/s. In several studies we examined FW's memory skills underlying his exceptional performance. First FW reproduced his superior memory span of 200 digits under laboratory condition, and we obtained his retrospective reports describing his encoding/retrieval processes (Experiment 1). Further experiments used self-paced memorisation to identify temporal characteristics of encoding of digits in 4-digit clusters (Experiment 2), and explored memory encoding at presentation speeds much faster than 1 digit/s (Experiment 3). FW's superiority over previous digit span experts is explained by his acquisition of well-known mnemonic techniques and his training that focused on rapid memorisation. His memory performance supports the feasibility of acquiring memory skills for improved working memory based on storage in long-term memory.

  20. Verbal Processing Speed and Executive Functioning in Long-Term Cochlear Implant Users

    Science.gov (United States)

    AuBuchon, Angela M.; Pisoni, David B.; Kronenberger, William G.

    2015-01-01

    Purpose: The purpose of this study was to report how "verbal rehearsal speed" (VRS), a form of covert speech used to maintain verbal information in working memory, and another verbal processing speed measure, perceptual encoding speed, are related to 3 domains of executive function (EF) at risk in cochlear implant (CI) users: verbal…

  1. Integrated design and manufacturing for the high speed civil transport

    Science.gov (United States)

    1993-01-01

    In June 1992, Georgia Tech's School of Aerospace Engineering was awarded a NASA University Space Research Association (USRA) Advanced Design Program (ADP) to address 'Integrated Design and Manufacturing for the High Speed Civil Transport (HSCT)' in its graduate aerospace systems design courses. This report summarizes the results of the five courses incorporated into the Georgia Tech's USRA ADP program. It covers AE8113: Introduction to Concurrent Engineering, AE4360: Introduction to CAE/CAD, AE4353: Design for Life Cycle Cost, AE6351: Aerospace Systems Design One, and AE6352: Aerospace Systems Design Two. AE8113: Introduction to Concurrent Engineering was an introductory course addressing the basic principles of concurrent engineering (CE) or integrated product development (IPD). The design of a total system was not the objective of this course. The goal was to understand and define the 'up-front' customer requirements, their decomposition, and determine the value objectives for a complex product, such as the high speed civil transport (HSCT). A generic CE methodology developed at Georgia Tech was used for this purpose. AE4353: Design for Life Cycle Cost addressed the basic economic issues for an HSCT using a robust design technique, Taguchi's parameter design optimization method (PDOM). An HSCT economic sensitivity assessment was conducted using a Taguchi PDOM approach to address the robustness of the basic HSCT design. AE4360: Introduction to CAE/CAD permitted students to develop and utilize CAE/CAD/CAM knowledge and skills using CATIA and CADAM as the basic geometric tools. AE6351: Aerospace Systems Design One focused on the conceptual design refinement of a baseline HSCT configuration as defined by Boeing, Douglas, and NASA in their system studies. It required the use of NASA's synthesis codes FLOPS and ACSYNT. A criterion called the productivity index (P.I.) was used to evaluate disciplinary sensitivities and provide refinements of the baseline HSCT

  2. Interaction of Working Memory, Compressor Speed and Background Noise Characteristics

    OpenAIRE

    Ohlenforst, Barbara; MacDonald, Ewen; Souza, Pamela

    2014-01-01

    Previous studies have shown that individuals with poor working memory perform worse in speech recognition tests when fast compression release time is applied. However, it is not clear why this effect occurs only when modulations are present in the background noise. This study explored the relationship between working memory capacity, compression release time and characteristics of the background noise. This relationship is important to understand because the majority of everyday listening sit...

  3. Multi-level storage and ultra-high speed of superlattice-like Ge50Te50/Ge8Sb92 thin film for phase-change memory application.

    Science.gov (United States)

    Wu, Weihua; Chen, Shiyu; Zhai, Jiwei; Liu, Xinyi; Lai, Tianshu; Song, Sannian; Song, Zhitang

    2017-10-06

    Superlattice-like Ge 50 Te 50 /Ge 8 Sb 92 (SLL GT/GS) thin film was systematically investigated for multi-level storage and ultra-fast switching phase-change memory application. In situ resistance measurement indicates that SLL GT/GS thin film exhibits two distinct resistance steps with elevated temperature. The thermal stability of the amorphous state and intermediate state were evaluated with the Kissinger and Arrhenius plots. The phase-structure evolution revealed that the amorphous SLL GT/GS thin film crystallized into rhombohedral Sb phase first, then the rhombohedral GeTe phase. The microstructure, layered structure, and interface stability of SLL GT/GS thin film was confirmed by using transmission electron microscopy. The transition speed of crystallization and amorphization was measured by the picosecond laser pump-probe system. The volume variation during the crystallization was obtained from x-ray reflectivity. Phase-change memory (PCM) cells based on SLL GT/GS thin film were fabricated to verify the multi-level switching under an electrical pulse as short as 30 ns. These results illustrate that the SLL GT/GS thin film has great potentiality in high-density and high-speed PCM applications.

  4. Hierarchical Traces for Reduced NSM Memory Requirements

    Science.gov (United States)

    Dahl, Torbjørn S.

    This paper presents work on using hierarchical long term memory to reduce the memory requirements of nearest sequence memory (NSM) learning, a previously published, instance-based reinforcement learning algorithm. A hierarchical memory representation reduces the memory requirements by allowing traces to share common sub-sequences. We present moderated mechanisms for estimating discounted future rewards and for dealing with hidden state using hierarchical memory. We also present an experimental analysis of how the sub-sequence length affects the memory compression achieved and show that the reduced memory requirements do not effect the speed of learning. Finally, we analyse and discuss the persistence of the sub-sequences independent of specific trace instances.

  5. Episodic memory, semantic memory, and amnesia.

    Science.gov (United States)

    Squire, L R; Zola, S M

    1998-01-01

    Episodic memory and semantic memory are two types of declarative memory. There have been two principal views about how this distinction might be reflected in the organization of memory functions in the brain. One view, that episodic memory and semantic memory are both dependent on the integrity of medial temporal lobe and midline diencephalic structures, predicts that amnesic patients with medial temporal lobe/diencephalic damage should be proportionately impaired in both episodic and semantic memory. An alternative view is that the capacity for semantic memory is spared, or partially spared, in amnesia relative to episodic memory ability. This article reviews two kinds of relevant data: 1) case studies where amnesia has occurred early in childhood, before much of an individual's semantic knowledge has been acquired, and 2) experimental studies with amnesic patients of fact and event learning, remembering and knowing, and remote memory. The data provide no compelling support for the view that episodic and semantic memory are affected differently in medial temporal lobe/diencephalic amnesia. However, episodic and semantic memory may be dissociable in those amnesic patients who additionally have severe frontal lobe damage.

  6. Integrative Physical and Cognitive Training Development to Better Meet Airman Mission Requirements

    Science.gov (United States)

    2015-07-26

    Integrated Physical and Cognitive Exercises 3.1. Tracking Squats. Working memory, described as a temporary holding and processing system for...based operators, [e.g., Combat Controller, Joint Terminal Attack Controller (JTAC), and Air Battle Management ( ABM ) Operators] are faced with...context of speed and agility running exercise. To perform this exercise we use the Fitlight Trainer ®, a wireless reaction system comprised of 8

  7. Updating optical pseudoinverse associative memories.

    Science.gov (United States)

    Telfer, B; Casasent, D

    1989-07-01

    Selected algorithms for adding to and deleting from optical pseudoinverse associative memories are presented and compared. New realizations of pseudoinverse updating methods using vector inner product matrix bordering and reduced-dimensionality Karhunen-Loeve approximations (which have been used for updating optical filters) are described in the context of associative memories. Greville's theorem is reviewed and compared with the Widrow-Hoff algorithm. Kohonen's gradient projection method is expressed in a different form suitable for optical implementation. The data matrix memory is also discussed for comparison purposes. Memory size, speed and ease of updating, and key vector requirements are the comparison criteria used.

  8. Memory by association: Integrating memories prolongs retention by two-year-olds.

    Science.gov (United States)

    Hayne, Harlene; Gross, Julien

    2017-02-01

    Recalling one memory often leads to the recollection of other memories that share overlapping features. This phenomenon, spreading activation, was originally documented in studies conducted with verbal adults, and more recently, it has been demonstrated with preverbal infants. Here, we examine the effect of spreading activation on long-term retention by 2-year-olds. Participants were tested in the Visual Recognition Memory (VRM) paradigm and the deferred imitation paradigm. Typically, infants of this age exhibit retention in the VRM paradigm for 24h, while they exhibit retention in the deferred imitation paradigm for at least 8 weeks. In the present experiment, we paired these tasks together during original encoding and tested infants after an 8-week delay. Two-year-olds exhibited retention in both tasks. That is, when these two tasks initially occurred together - one task that is extremely memorable and one that is not - retrieving the memory of the more memorable task cued retrieval of the less memorable task, extending its longevity. Copyright © 2016 Elsevier Inc. All rights reserved.

  9. Delineating the effect of semantic congruency on episodic memory: the role of integration and relatedness.

    Science.gov (United States)

    Bein, Oded; Livneh, Neta; Reggev, Niv; Gilead, Michael; Goshen-Gottstein, Yonatan; Maril, Anat

    2015-01-01

    A fundamental challenge in the study of learning and memory is to understand the role of existing knowledge in the encoding and retrieval of new episodic information. The importance of prior knowledge in memory is demonstrated in the congruency effect-the robust finding wherein participants display better memory for items that are compatible, rather than incompatible, with their pre-existing semantic knowledge. Despite its robustness, the mechanism underlying this effect is not well understood. In four studies, we provide evidence that demonstrates the privileged explanatory power of the elaboration-integration account over alternative hypotheses. Furthermore, we question the implicit assumption that the congruency effect pertains to the truthfulness/sensibility of a subject-predicate proposition, and show that congruency is a function of semantic relatedness between item and context words.

  10. Delineating the effect of semantic congruency on episodic memory: the role of integration and relatedness.

    Directory of Open Access Journals (Sweden)

    Oded Bein

    Full Text Available A fundamental challenge in the study of learning and memory is to understand the role of existing knowledge in the encoding and retrieval of new episodic information. The importance of prior knowledge in memory is demonstrated in the congruency effect-the robust finding wherein participants display better memory for items that are compatible, rather than incompatible, with their pre-existing semantic knowledge. Despite its robustness, the mechanism underlying this effect is not well understood. In four studies, we provide evidence that demonstrates the privileged explanatory power of the elaboration-integration account over alternative hypotheses. Furthermore, we question the implicit assumption that the congruency effect pertains to the truthfulness/sensibility of a subject-predicate proposition, and show that congruency is a function of semantic relatedness between item and context words.

  11. Subvocal articulatory rehearsal during verbal working memory in multiple sclerosis.

    Science.gov (United States)

    Sweet, Lawrence H; Vanderhill, Susan D; Jerskey, Beth A; Gordon, Norman M; Paul, Robert H; Cohen, Ronald A

    2010-10-01

    This study was designed to examine verbal working memory (VWM) components among multiple sclerosis (MS) patients and determine the influence of information processing speed. Of two frequently studied VWM sub-components, subvocal rehearsal was expected to be more affected by MS than short-term memory buffering. Furthermore, worse subvocal rehearsal was predicted to be specifically related to slower cognitive processing. Fifteen MS patients were administered a neuropsychological battery assessing VWM, processing speed, mood, fatigue, and disability. Participants performed a 2-Back VWM task with modified nested conditions designed to increase subvocal rehearsal (via inter-stimulus interval) and short-term memory buffering demands (via phonological similarity). Performance during these 2-Back conditions did not significantly differ and both exhibited strong positive correlations with disability. However, only scores on the subvocal rehearsal 2-Back were significantly related to performance on the remaining test battery, including processing speed and depressive symptoms. Findings suggest that performance during increased subvocal rehearsal demands is specifically influenced by cognitive processing speed and depressive symptoms.

  12. Cue integration vs. exemplar-based reasoning in multi-attribute decisions from memory: A matter of cue representation

    OpenAIRE

    Arndt Broeder; Ben R. Newell; Christine Platzer

    2010-01-01

    Inferences about target variables can be achieved by deliberate integration of probabilistic cues or by retrieving similar cue-patterns (exemplars) from memory. In tasks with cue information presented in on-screen displays, rule-based strategies tend to dominate unless the abstraction of cue-target relations is unfeasible. This dominance has also been demonstrated --- surprisingly --- in experiments that demanded the retrieval of cue values from memory (M. Persson \\& J. Rieskamp, 2009). In th...

  13. A Memory Efficient Network Encryption Scheme

    Science.gov (United States)

    El-Fotouh, Mohamed Abo; Diepold, Klaus

    In this paper, we studied the two widely used encryption schemes in network applications. Shortcomings have been found in both schemes, as these schemes consume either more memory to gain high throughput or low memory with low throughput. The need has aroused for a scheme that has low memory requirements and in the same time possesses high speed, as the number of the internet users increases each day. We used the SSM model [1], to construct an encryption scheme based on the AES. The proposed scheme possesses high throughput together with low memory requirements.

  14. Speed, speed variation and crash relationships for urban arterials.

    Science.gov (United States)

    Wang, Xuesong; Zhou, Qingya; Quddus, Mohammed; Fan, Tianxiang; Fang, Shou'en

    2018-04-01

    Speed and speed variation are closely associated with traffic safety. There is, however, a dearth of research on this subject for the case of urban arterials in general, and in the context of developing nations. In downtown Shanghai, the traffic conditions in each direction are very different by time of day, and speed characteristics during peak hours are also greatly different from those during off-peak hours. Considering that traffic demand changes with time and in different directions, arterials in this study were divided into one-way segments by the direction of flow, and time of day was differentiated and controlled for. In terms of data collection, traditional fixed-based methods have been widely used in previous studies, but they fail to capture the spatio-temporal distributions of speed along a road. A new approach is introduced to estimate speed variation by integrating spatio-temporal speed fluctuation of a single vehicle with speed differences between vehicles using taxi-based high frequency GPS data. With this approach, this paper aims to comprehensively establish a relationship between mean speed, speed variation and traffic crashes for the purpose of formulating effective speed management measures, specifically using an urban dataset. From a total of 234 one-way road segments from eight arterials in Shanghai, mean speed, speed variation, geometric design features, traffic volume, and crash data were collected. Because the safety effects of mean speed and speed variation may vary at different segment lengths, arterials with similar signal spacing density were grouped together. To account for potential correlations among these segments, a hierarchical Poisson log-normal model with random effects was developed. Results show that a 1% increase in mean speed on urban arterials was associated with a 0.7% increase in total crashes, and larger speed variation was also associated with increased crash frequency. Copyright © 2018 Elsevier Ltd. All rights

  15. Photon echo quantum random access memory integration in a quantum computer

    International Nuclear Information System (INIS)

    Moiseev, Sergey A; Andrianov, Sergey N

    2012-01-01

    We have analysed an efficient integration of multi-qubit echo quantum memory (QM) into the quantum computer scheme based on squids, quantum dots or atomic resonant ensembles in a quantum electrodynamics cavity. Here, one atomic ensemble with controllable inhomogeneous broadening is used for the QM node and other nodes characterized by the homogeneously broadened resonant line are used for processing. We have found the optimal conditions for the efficient integration of the multi-qubit QM modified for the analysed scheme, and we have determined the self-temporal modes providing a perfect reversible transfer of the photon qubits between the QM node and arbitrary processing nodes. The obtained results open the way for realization of a full-scale solid state quantum computing based on the efficient multi-qubit QM. (paper)

  16. Working memory capacity and task goals modulate error-related ERPs.

    Science.gov (United States)

    Coleman, James R; Watson, Jason M; Strayer, David L

    2018-03-01

    The present study investigated individual differences in information processing following errant behavior. Participants were initially classified as high or as low working memory capacity using the Operation Span Task. In a subsequent session, they then performed a high congruency version of the flanker task under both speed and accuracy stress. We recorded ERPs and behavioral measures of accuracy and response time in the flanker task with a primary focus on processing following an error. The error-related negativity was larger for the high working memory capacity group than for the low working memory capacity group. The positivity following an error (Pe) was modulated to a greater extent by speed-accuracy instruction for the high working memory capacity group than for the low working memory capacity group. These data help to explicate the neural bases of individual differences in working memory capacity and cognitive control. © 2017 Society for Psychophysiological Research.

  17. High speed gain coupled DFB laser diode integrated with MQW electroabsorption modulator

    International Nuclear Information System (INIS)

    Kim, Myung Gyoo; Lee, Seung Won; Park, Seong Su; Oh, Dae Kon; Lee, Hee Tae; Kim, Hong man; Pyun, Kwang Eui

    1998-01-01

    We have demonstrated stable modulation characteristics of the gain coupled distributed feedback(GC-DFB) laser diode integrated with butt-coupled InGaAsP/InGaAsP strain compensated MQW(multiple-Quantum-well) modulator for high speed optical transmission. For this purpose, we have adopted the InGaAsP/InGaAsP strain compensated MQW structure for the EA modulator and n-doped InGaAs absorptive grating for DFB laser. The typical threshold current and slope efficiency were about 15 mA and 0.1 mW/mA, respectively. The extinction ratio of fabricated integrated device was about 15 dB at -2 V, and the small signal bandwidth was shown to be around 17GHz. We also found that the α parameter becomes negative at below a -0.6 V bias voltage. We transmitted 10 Gbps NRZ electrical signal over 90 km of standard single mode optical fiber (SMF). A clearly opened eye diagram was observed in the modulated output

  18. High speed gain coupled DFB laser diode integrated with MQW electroabsorption modulator

    CERN Document Server

    Kim, M G; Park, S S; Oh, D K; Lee, H T; Kim, H M; Pyun, K E

    1998-01-01

    We have demonstrated stable modulation characteristics of the gain coupled distributed feedback(GC-DFB) laser diode integrated with butt-coupled InGaAsP/InGaAsP strain compensated MQW(multiple-Quantum-well) modulator for high speed optical transmission. For this purpose, we have adopted the InGaAsP/InGaAsP strain compensated MQW structure for the EA modulator and n-doped InGaAs absorptive grating for DFB laser. The typical threshold current and slope efficiency were about 15 mA and 0.1 mW/mA, respectively. The extinction ratio of fabricated integrated device was about 15 dB at -2 V, and the small signal bandwidth was shown to be around 17GHz. We also found that the alpha parameter becomes negative at below a -0.6 V bias voltage. We transmitted 10 Gbps NRZ electrical signal over 90 km of standard single mode optical fiber (SMF). A clearly opened eye diagram was observed in the modulated output.

  19. The QoS Indicators Analysis of Integrated EUHT Wireless Communication System Based on Urban Rail Transit in High-Speed Scenario

    Directory of Open Access Journals (Sweden)

    Xiaoxuan Wang

    2018-01-01

    Full Text Available Nowadays, in urban rail transit systems, train wayside communication system uses Wireless Local Area Network (WLAN as wireless technologies to achieve safety-related information exchange between trains and wayside equipment. However, according to the high speed mobility of trains and the limitations of frequency band, WLAN is unable to meet the demands of future intracity and intercity rail transit. And although the Time Division-Long Term Evolution (TD-LTE technology has high performance compared with WLAN, only 20 MHz bandwidth can be used at most. Moreover, in high-speed scenario over 300 km/h, TD-LTE can hardly meet the future requirement as well. The equipment based on Enhanced Ultra High Throughput (EUHT technology can achieve a better performance in high-speed scenario compared with WLAN and TD-LTE. Furthermore, it allows using the frequency resource flexibly based on 5.8 GHz, such as 20 MHz, 40 MHz, and 80 MHz. In this paper, we set up an EUHT wireless communication system for urban rail transit in high-speed scenario integrated all the traffics of it. An outdoor testing environment in Beijing-Tianjin High-speed Railway is set up to measure the performance of integrated EUHT wireless communication system based on urban rail transit. The communication delay, handoff latency, and throughput of this system are analyzed. Extensive testing results show that the Quality of Service (QoS of the designed integrated EUHT wireless communication system satisfies the requirements of urban rail transit system in high-speed scenario. Moreover, compared with testing results of TD-LTE which we got before, the maximum handoff latency of safety-critical traffics can be decreased from 225 ms to 150 ms. The performance of throughput-critical traffics can achieve 2-way 2 Mbps CCTV and 1-way 8 Mbps PIS which are much better than 2-way 1 Mbps CCTV and 1-way 2 Mbps PIS in TD-LTE.

  20. Optimization of a PCRAM Chip for high-speed read and highly reliable reset operations

    Science.gov (United States)

    Li, Xiaoyun; Chen, Houpeng; Li, Xi; Wang, Qian; Fan, Xi; Hu, Jiajun; Lei, Yu; Zhang, Qi; Tian, Zhen; Song, Zhitang

    2016-10-01

    The widely used traditional Flash memory suffers from its performance limits such as its serious crosstalk problems, and increasing complexity of floating gate scaling. Phase change random access memory (PCRAM) becomes one of the most potential nonvolatile memories among the new memory techniques. In this paper, a 1M-bit PCRAM chip is designed based on the SMIC 40nm CMOS technology. Focusing on the read and write performance, two new circuits with high-speed read operation and highly reliable reset operation are proposed. The high-speed read circuit effectively reduces the reading time from 74ns to 40ns. The double-mode reset circuit improves the chip yield. This 1M-bit PCRAM chip has been simulated on cadence. After layout design is completed, the chip will be taped out for post-test.

  1. Breaking the memory wall in MonetDB

    NARCIS (Netherlands)

    P.A. Boncz (Peter); M.L. Kersten (Martin); S. Manegold (Stefan)

    2008-01-01

    textabstractIn the past decades, advances in speed of commodity CPUs have far outpaced advances in RAM latency. Main-memory access has therefore become a performance bottleneck for many computer applications; a phenomenon that is widely known as the "memory wall." In this paper, we report how

  2. Performance evaluation of a high-speed switched network for PACS

    Science.gov (United States)

    Zhang, Randy H.; Tao, Wenchao; Huang, Lu J.; Valentino, Daniel J.

    1998-07-01

    We have replaced our shared-media Ethernet and FDDI network with a multi-tiered, switched network using OC-12 (622 Mbps) ATM for the network backbone, OC3 (155 Mbps) connections to high-end servers and display workstations, and switched 100/10 Mbps Ethernet for workstations and desktop computers. The purpose of this research was to help PACS designers and implementers understand key performance factors in a high- speed switched network by characterizing and evaluating its image delivery performance, specifically, the performance of socket-based TCP (Transmission Control Protocol) and DICOM 3.0 communications. A test network within the UCLA Clinical RIS/PACS was constructed using Sun UltraSPARC-II machines with ATM, Fast Ethernet, and Ethernet network interfaces. To identify performance bottlenecks, we evaluated network throughput for memory to memory, memory to disk, disk to memory, and disk to disk transfers. To evaluate the effect of file size, tests involving disks were further divided using sizes of small (514 KB), medium (8 MB), and large (16 MB) files. The observed maximum throughput for various network configurations using the TCP protocol was 117 Mbps for memory to memory and 88 MBPS for memory to disk. For disk to memory, the peak throughput was 98 Mbps using small files, 114 Mbps using medium files, and 116 Mbps using large files. The peak throughput for disk to disk became 64 Mbps using small files and 96 Mbps using medium and large files. The peak throughput using the DICOM 3.0 protocol was substantially lower in all categories. The measured throughput varied significantly among the tests when TCP socket buffer was raised above the default value. The optimal buffer size was approximately 16 KB or the TCP protocol and around 256 KB for the DICOM protocol. The application message size also displayed distinctive effects on network throughput when the TCP socket buffer size was varied. The throughput results for Fast Ethernet and Ethernet were expectedly

  3. Linking Cellular Mechanisms to Behavior: Entorhinal Persistent Spiking and Membrane Potential Oscillations May Underlie Path Integration, Grid Cell Firing, and Episodic Memory

    Directory of Open Access Journals (Sweden)

    Michael E. Hasselmo

    2008-01-01

    Full Text Available The entorhinal cortex plays an important role in spatial memory and episodic memory functions. These functions may result from cellular mechanisms for integration of the afferent input to entorhinal cortex. This article reviews physiological data on persistent spiking and membrane potential oscillations in entorhinal cortex then presents models showing how both these cellular mechanisms could contribute to properties observed during unit recording, including grid cell firing, and how they could underlie behavioural functions including path integration. The interaction of oscillations and persistent firing could contribute to encoding and retrieval of trajectories through space and time as a mechanism relevant to episodic memory.

  4. A mathematical model for smart functionally graded beam integrated with shape memory alloy actuators

    International Nuclear Information System (INIS)

    Sepiani, H.; Ebrahimi, F.; Karimipour, H.

    2009-01-01

    This paper presents a theoretical study of the thermally driven behavior of a shape memory alloy (SMA)/FGM actuator under arbitrary loading and boundary conditions by developing an integrated mathematical model. The model studied is established on the geometric parameters of the three-dimensional laminated composite box beam as an actuator that consists of a functionally graded core integrated with SMA actuator layers with a uniform rectangular cross section. The constitutive equation and linear phase transformation kinetics relations of SMA layers based on Tanaka and Nagaki model are coupled with the governing equation of the actuator to predict the stress history and to model the thermo-mechanical behavior of the smart shape memory alloy/FGM beam. Based on the classical laminated beam theory, the explicit solution to the structural response of the structure, including axial and lateral deflections of the structure, is investigated. As an example, a cantilever box beam subjected to a transverse concentrated load is solved numerically. It is found that the changes in the actuator's responses during the phase transformation due to the strain recovery are significant

  5. Results from the First Two Flights of the Static Computer Memory Integrity Testing Experiment

    Science.gov (United States)

    Hancock, Thomas M., III

    1999-01-01

    This paper details the scientific objectives, experiment design, data collection method, and post flight analysis following the first two flights of the Static Computer Memory Integrity Testing (SCMIT) experiment. SCMIT is designed to detect soft-event upsets in passive magnetic memory. A soft-event upset is a change in the logic state of active or passive forms of magnetic memory, commonly referred to as a "Bitflip". In its mildest form a soft-event upset can cause software exceptions, unexpected events, start spacecraft safeing (ending data collection) or corrupted fault protection and error recovery capabilities. In it's most severe form loss of mission or spacecraft can occur. Analysis after the first flight (in 1991 during STS-40) identified possible soft-event upsets to 25% of the experiment detectors. Post flight analysis after the second flight (in 1997 on STS-87) failed to find any evidence of soft-event upsets. The SCMIT experiment is currently scheduled for a third flight in December 1999 on STS-101.

  6. MEMORY MODULATION

    Science.gov (United States)

    Roozendaal, Benno; McGaugh, James L.

    2011-01-01

    Our memories are not all created equally strong: Some experiences are well remembered while others are remembered poorly, if at all. Research on memory modulation investigates the neurobiological processes and systems that contribute to such differences in the strength of our memories. Extensive evidence from both animal and human research indicates that emotionally significant experiences activate hormonal and brain systems that regulate the consolidation of newly acquired memories. These effects are integrated through noradrenergic activation of the basolateral amygdala which regulates memory consolidation via interactions with many other brain regions involved in consolidating memories of recent experiences. Modulatory systems not only influence neurobiological processes underlying the consolidation of new information, but also affect other mnemonic processes, including memory extinction, memory recall and working memory. In contrast to their enhancing effects on consolidation, adrenal stress hormones impair memory retrieval and working memory. Such effects, as with memory consolidation, require noradrenergic activation of the basolateral amygdala and interactions with other brain regions. PMID:22122145

  7. Breaking the memory wall in MonetDB

    NARCIS (Netherlands)

    Boncz, P.A.; Kersten, M.L.; Manegold, S.

    2008-01-01

    In the past decades, advances in speed of commodity CPUs have far outpaced advances in RAM latency. Main-memory access has therefore become a performance bottleneck for many computer applications; a phenomenon that is widely known as the "memory wall." In this paper, we report how research around

  8. The working memory Ponzo illusion: Involuntary integration of visuospatial information stored in visual working memory.

    Science.gov (United States)

    Shen, Mowei; Xu, Haokui; Zhang, Haihang; Shui, Rende; Zhang, Meng; Zhou, Jifan

    2015-08-01

    Visual working memory (VWM) has been traditionally viewed as a mental structure subsequent to visual perception that stores the final output of perceptual processing. However, VWM has recently been emphasized as a critical component of online perception, providing storage for the intermediate perceptual representations produced during visual processing. This interactive view holds the core assumption that VWM is not the terminus of perceptual processing; the stored visual information rather continues to undergo perceptual processing if necessary. The current study tests this assumption, demonstrating an example of involuntary integration of the VWM content, by creating the Ponzo illusion in VWM: when the Ponzo illusion figure was divided into its individual components and sequentially encoded into VWM, the temporally separated components were involuntarily integrated, leading to the distorted length perception of the two horizontal lines. This VWM Ponzo illusion was replicated when the figure components were presented in different combinations and presentation order. The magnitude of the illusion was significantly correlated between VWM and perceptual versions of the Ponzo illusion. These results suggest that the information integration underling the VWM Ponzo illusion is constrained by the laws of visual perception and similarly affected by the common individual factors that govern its perception. Thus, our findings provide compelling evidence that VWM functions as a buffer serving perceptual processes at early stages. Copyright © 2015 Elsevier B.V. All rights reserved.

  9. A reward semi-Markov process with memory for wind speed modeling

    Science.gov (United States)

    Petroni, F.; D'Amico, G.; Prattico, F.

    2012-04-01

    The increasing interest in renewable energy leads scientific research to find a better way to recover most of the available energy. Particularly, the maximum energy recoverable from wind is equal to 59.3% of that available (Betz law) at a specific pitch angle and when the ratio between the wind speed in output and in input is equal to 1/3. The pitch angle is the angle formed between the airfoil of the blade of the wind turbine and the wind direction. Old turbine and a lot of that actually marketed, in fact, have always the same invariant geometry of the airfoil. This causes that wind turbines will work with an efficiency that is lower than 59.3%. New generation wind turbines, instead, have a system to variate the pitch angle by rotating the blades. This system able the wind turbines to recover, at different wind speed, always the maximum energy, working in Betz limit at different speed ratios. A powerful system control of the pitch angle allows the wind turbine to recover better the energy in transient regime. A good stochastic model for wind speed is then needed to help both the optimization of turbine design and to assist the system control to predict the value of the wind speed to positioning the blades quickly and correctly. The possibility to have synthetic data of wind speed is a powerful instrument to assist designer to verify the structures of the wind turbines or to estimate the energy recoverable from a specific site. To generate synthetic data, Markov chains of first or higher order are often used [1,2,3]. In particular in [1] is presented a comparison between a first-order Markov chain and a second-order Markov chain. A similar work, but only for the first-order Markov chain, is conduced by [2], presenting the probability transition matrix and comparing the energy spectral density and autocorrelation of real and synthetic wind speed data. A tentative to modeling and to join speed and direction of wind is presented in [3], by using two models, first

  10. Working memory moderates the effect of the integrative process of implicit and explicit autonomous motivation on academic achievement.

    Science.gov (United States)

    Gareau, Alexandre; Gaudreau, Patrick

    2017-11-01

    In previous research, autonomous motivation (AM) has been found to be associated with school achievement, but the relation has been largely heterogeneous across studies. AM has typically been assessed with explicit measures such as self-report questionnaires. Recent self-determination theory (SDT) research has suggested that converging implicit and explicit measures can be taken to characterize the integrative process in SDT. Drawing from dual-process theories, we contended that explicit AM is likely to promote school achievement when it is part of an integrated cognitive system that combines easily accessible mental representations (i.e., implicit AM) and efficient executive functioning. A sample of 272 university students completed a questionnaire and a lexical decision task to assess their explicit and implicit AM, respectively, and they also completed working memory capacity measures. Grades were obtained at the end of the semester to examine the short-term prospective effect of implicit and explicit AM, working memory, and their interaction. Results of moderation analyses have provided support for a synergistic interaction in which the association between explicit AM and academic achievement was positive and significant only for individuals with high level of implicit AM. Moreover, working memory was moderating the synergistic effect of explicit and implicit AM. Explicit AM was positively associated with academic achievement for students with average-to-high levels of working memory capacity, but only if their motivation operated synergistically with high implicit AM. The integrative process thus seems to hold better proprieties for achievement than the sole effect of explicit AM. Implications for SDT are outlined. © 2017 The British Psychological Society.

  11. Level of recall, retrieval speed, and variability on the Cued-Recall Retrieval Speed Task (CRRST) in individuals with amnestic mild cognitive impairment.

    Science.gov (United States)

    Ramratan, Wendy S; Rabin, Laura A; Wang, Cuiling; Zimmerman, Molly E; Katz, Mindy J; Lipton, Richard B; Buschke, Herman

    2012-03-01

    Individuals with amnestic mild cognitive impairment (aMCI) show deficits on traditional episodic memory tasks and reductions in speed of performance on reaction time tasks. We present results on a novel task, the Cued-Recall Retrieval Speed Task (CRRST), designed to simultaneously measure level and speed of retrieval. A total of 390 older adults (mean age, 80.2 years), learned 16 words based on corresponding categorical cues. In the retrieval phase, we measured accuracy (% correct) and retrieval speed/reaction time (RT; time from cue presentation to voice onset of a correct response) across 6 trials. Compared to healthy elderly adults (HEA, n = 303), those with aMCI (n = 87) exhibited poorer performance in retrieval speed (difference = -0.13; p cued-learning and processing speed variability may facilitate early detection of dementia in at-risk older adults.

  12. Top-Down Modulation of Auditory-Motor Integration during Speech Production: The Role of Working Memory.

    Science.gov (United States)

    Guo, Zhiqiang; Wu, Xiuqin; Li, Weifeng; Jones, Jeffery A; Yan, Nan; Sheft, Stanley; Liu, Peng; Liu, Hanjun

    2017-10-25

    Although working memory (WM) is considered as an emergent property of the speech perception and production systems, the role of WM in sensorimotor integration during speech processing is largely unknown. We conducted two event-related potential experiments with female and male young adults to investigate the contribution of WM to the neurobehavioural processing of altered auditory feedback during vocal production. A delayed match-to-sample task that required participants to indicate whether the pitch feedback perturbations they heard during vocalizations in test and sample sequences matched, elicited significantly larger vocal compensations, larger N1 responses in the left middle and superior temporal gyrus, and smaller P2 responses in the left middle and superior temporal gyrus, inferior parietal lobule, somatosensory cortex, right inferior frontal gyrus, and insula compared with a control task that did not require memory retention of the sequence of pitch perturbations. On the other hand, participants who underwent extensive auditory WM training produced suppressed vocal compensations that were correlated with improved auditory WM capacity, and enhanced P2 responses in the left middle frontal gyrus, inferior parietal lobule, right inferior frontal gyrus, and insula that were predicted by pretraining auditory WM capacity. These findings indicate that WM can enhance the perception of voice auditory feedback errors while inhibiting compensatory vocal behavior to prevent voice control from being excessively influenced by auditory feedback. This study provides the first evidence that auditory-motor integration for voice control can be modulated by top-down influences arising from WM, rather than modulated exclusively by bottom-up and automatic processes. SIGNIFICANCE STATEMENT One outstanding question that remains unsolved in speech motor control is how the mismatch between predicted and actual voice auditory feedback is detected and corrected. The present study

  13. New design for photonic temporal integration with combined high processing speed and long operation time window.

    Science.gov (United States)

    Asghari, Mohammad H; Park, Yongwoo; Azaña, José

    2011-01-17

    We propose and experimentally prove a novel design for implementing photonic temporal integrators simultaneously offering a high processing bandwidth and a long operation time window, namely a large time-bandwidth product. The proposed scheme is based on concatenating in series a time-limited ultrafast photonic temporal integrator, e.g. implemented using a fiber Bragg grating (FBG), with a discrete-time (bandwidth limited) optical integrator, e.g. implemented using an optical resonant cavity. This design combines the advantages of these two previously demonstrated photonic integrator solutions, providing a processing speed as high as that of the time-limited ultrafast integrator and an operation time window fixed by the discrete-time integrator. Proof-of-concept experiments are reported using a uniform fiber Bragg grating (as the original time-limited integrator) connected in series with a bulk-optics coherent interferometers' system (as a passive 4-points discrete-time photonic temporal integrator). Using this setup, we demonstrate accurate temporal integration of complex-field optical signals with time-features as fast as ~6 ps, only limited by the processing bandwidth of the FBG integrator, over time durations as long as ~200 ps, which represents a 4-fold improvement over the operation time window (~50 ps) of the original FBG integrator.

  14. Effects of thickness and geometric variations in the oxide gate stack on the nonvolatile memory behaviors of charge-trap memory thin-film transistors

    Science.gov (United States)

    Bak, Jun Yong; Kim, So-Jung; Byun, Chun-Won; Pi, Jae-Eun; Ryu, Min-Ki; Hwang, Chi Sun; Yoon, Sung-Min

    2015-09-01

    Device designs of charge-trap oxide memory thin-film transistors (CTM-TFTs) were investigated to enhance their nonvolatile memory performances. The first strategy was to optimize the film thicknesses of the tunneling and charge-trap (CT) layers in order to meet requirements of both higher operation speed and longer retention time. While the program speed and memory window were improved for the device with a thinner tunneling layer, a long retention time was obtained only for the device with a tunneling layer thicker than 5 nm. The carrier concentration and charge-trap densities were optimized in the 30-nm-thick CT layer. It was observed that 10-nm-thick tunneling, 30-nm-thick CT, and 50-nm-thick blocking layers were the best configuration for our proposed CTM-TFTs, where a memory on/off margin higher than 107 was obtained, and a memory margin of 6.6 × 103 was retained even after the lapse of 105 s. The second strategy was to examine the effects of the geometrical relations between the CT and active layers for the applications of memory elements embedded in circuitries. The CTM-TFTs fabricated without an overlap between the CT layer and the drain electrode showed an enhanced program speed by the reduced parasitic capacitance. The drain-bias disturbance for the memory off-state was effectively suppressed even when a higher read-out drain voltage was applied. Appropriate device design parameters, such as the film thicknesses of each component layer and the geometrical relations between them, can improve the memory performances and expand the application fields of the proposed CTM-TFTs.

  15. Both Handwriting Speed and Selective Attention Are Important to Lecture Note-Taking

    Science.gov (United States)

    Peverly, Stephen T.; Garner, Joanna K.; Vekaria, Pooja C.

    2014-01-01

    The primary purpose of this investigation was to evaluate the relationship of handwriting speed, fine motor fluency, speed of verbal access, language comprehension, working memory, and attention (executive control; selective) to note-taking and all of the aforementioned variables to test performance (written recall). A second purpose was to…

  16. Large capacity, high-speed multiparameter multichannel analysis system

    International Nuclear Information System (INIS)

    Hendricks, R.W.; Seeger, P.A.; Scheer, J.W.; Suehiro, S.

    1980-01-01

    A data acquisition system for recording multiparameter digital data into a large memory array at over 2.5 MHz is described. The system consists of a MOSTEK MK8600 2048K x 24-bit memory system, I/O ports to various external devices including the CAMAC dataway, a memory incrementer/adder and a daisy-chain of experiment-specific modules which calculate the memory address which is to be incremented. The design of the daisy-chain permits multiple modules and provides for easy modification as experimental needs change. The system has been designed for use in multiparameter, multichannel analysis of high-speed data gathered by position-sensitive detectors at conventional and synchrotron x-ray sources as well as for fixed energy and time-of-flight diffraction at continuous and pulsed neutron sources

  17. Experimental Comparison of Speed : Fuel-flow and Speed-area Controls on a Turbojet Engine for Small Step Disturbances

    Science.gov (United States)

    Wenzel, L M; Hart, C E; Craig, R T

    1957-01-01

    Optimum proportional-plus-integral control settings for speed - fuel-flow control, determined by minimization of integral criteria, correlated well with analytically predicted optimum settings. Engine response data are given for a range of control settings around the optimum. An inherent nonlinearity in the speed-area loop necessitated the use of nonlinear controls. Response data for two such nonlinear control schemes are presented.

  18. Fast Magnetoresistive Random-Access Memory

    Science.gov (United States)

    Wu, Jiin-Chuan; Stadler, Henry L.; Katti, Romney R.

    1991-01-01

    Magnetoresistive binary digital memories of proposed new type expected to feature high speed, nonvolatility, ability to withstand ionizing radiation, high density, and low power. In memory cell, magnetoresistive effect exploited more efficiently by use of ferromagnetic material to store datum and adjacent magnetoresistive material to sense datum for readout. Because relative change in sensed resistance between "zero" and "one" states greater, shorter sampling and readout access times achievable.

  19. Revisiting Reuse in Main Memory Database Systems

    OpenAIRE

    Dursun, Kayhan; Binnig, Carsten; Cetintemel, Ugur; Kraska, Tim

    2016-01-01

    Reusing intermediates in databases to speed-up analytical query processing has been studied in the past. Existing solutions typically require intermediate results of individual operators to be materialized into temporary tables to be considered for reuse in subsequent queries. However, these approaches are fundamentally ill-suited for use in modern main memory databases. The reason is that modern main memory DBMSs are typically limited by the bandwidth of the memory bus, thus query execution ...

  20. Built-In Test Engine For Memory Test

    OpenAIRE

    McEvoy, Paul; Farrell, Ronan

    2004-01-01

    In this paper we will present an on-chip method for testing high performance memory devices, that occupies minimal area and retains full flexibility. This is achieved through microcode test instructions and the associated on-chip state machine. In addition, the proposed methodology will enable at-speed testing of memory devices. The relevancy of this work is placed in context with an introduction to memory testing and the techniques and algorithms generally used today.

  1. Memory, microprocessor, and ASIC

    CERN Document Server

    Chen, Wai-Kai

    2003-01-01

    System Timing. ROM/PROM/EPROM. SRAM. Embedded Memory. Flash Memories. Dynamic Random Access Memory. Low-Power Memory Circuits. Timing and Signal Integrity Analysis. Microprocessor Design Verification. Microprocessor Layout Method. Architecture. ASIC Design. Logic Synthesis for Field Programmable Gate Array (EPGA) Technology. Testability Concepts and DFT. ATPG and BIST. CAD Tools for BIST/DFT and Delay Faults.

  2. Subjective memory complaint only relates to verbal episodic memory performance in mild cognitive impairment.

    Science.gov (United States)

    Gifford, Katherine A; Liu, Dandan; Damon, Stephen M; Chapman, William G; Romano Iii, Raymond R; Samuels, Lauren R; Lu, Zengqi; Jefferson, Angela L

    2015-01-01

    A cognitive concern from the patient, informant, or clinician is required for the diagnosis of mild cognitive impairment (MCI); however, the cognitive and neuroanatomical correlates of complaint are poorly understood. We assessed how self-complaint relates to cognitive and neuroimaging measures in older adults with MCI. MCI participants were drawn from the Alzheimer's Disease Neuroimaging Initiative and dichotomized into two groups based on the presence of self-reported memory complaint (no complaint n = 191, 77 ± 7 years; complaint n = 206, 73 ± 8 years). Cognitive outcomes included episodic memory, executive functioning, information processing speed, and language. Imaging outcomes included regional lobar volumes (frontal, parietal, temporal, cingulate) and specific medial temporal lobe structures (hippocampal volume, entorhinal cortex thickness, parahippocampal gyrus thickness). Linear regressions, adjusting for age, gender, race, education, Mini-Mental State Examination score, mood, and apolipoprotein E4 status, found that cognitive complaint related to immediate (β = -1.07, p memory performances assessed on a serial list learning task (β = -1.06, p = 0.001) but no other cognitive measures or neuroimaging markers. Self-reported memory concern was unrelated to structural neuroimaging markers of atrophy and measures of information processing speed, executive functioning, or language. In contrast, subjective memory complaint related to objective verbal episodic learning performance. Future research is warranted to better understand the relation between cognitive complaint and surrogate markers of abnormal brain aging, including Alzheimer's disease, across the cognitive aging spectrum.

  3. Caffeine attenuates scopolamine-induced memory impairment in humans.

    Science.gov (United States)

    Riedel, W; Hogervorst, E; Leboux, R; Verhey, F; van Praag, H; Jolles, J

    1995-11-01

    Caffeine consumption can be beneficial for cognitive functioning. Although caffeine is widely recognized as a mild CNS stimulant drug, the most important consequence of its adenosine antagonism is cholinergic stimulation, which might lead to improvement of higher cognitive functions, particularly memory. In this study, the scopolamine model of amnesia was used to test the cholinergic effects of caffeine, administered as three cups of coffee. Subjects were 16 healthy volunteers who received 250 mg caffeine and 2 mg nicotine separately, in a placebo-controlled double-blind cross-over design. Compared to placebo, nicotine attenuated the scopolamine-induced impairment of storage in short-term memory and attenuated the scopolamine-induced slowing of speed of short-term memory scanning. Nicotine also attenuated the scopolamine-induced slowing of reaction time in a response competition task. Caffeine attenuated the scopolamine-induced impairment of free recall from short- and long-term memory, quality and speed of retrieval from long-term memory in a word learning task, and other cognitive and non-cognitive measures, such as perceptual sensitivity in visual search, reading speed, and rate of finger-tapping. On the basis of these results it was concluded that caffeine possesses cholinergic cognition enhancing properties. Caffeine could be used as a control drug in studies using the scopolamine paradigm and possibly also in other experimental studies of cognitive enhancers, as the effects of a newly developed cognition enhancing drug should at least be superior to the effects of three cups of coffee.

  4. Economic profits enhance trust, perceived integrity and memory of fairness in interpersonal judgment.

    Directory of Open Access Journals (Sweden)

    Keisuke Eto

    Full Text Available Does money lead to trust in personality and intention of others? Humans have a strong tendency to judge the intention of others from their sequent behaviors. In general, people trust others who behave fairly, but not always. Here we show that judgments of both intentional aspects and memory of intentional behavior are automatically influenced by unintentional benefits from the behaviors of others. We conducted a reward-manipulated and repeated trust game by using real participants interacting with moving image partners on a computer screen. The participants assessed likability, trustworthiness, and perceived integrity of the partners in pre- and post-game questionnaires. The results of judgments of all three dimensions and the memory of frequency of each partner's fair behavior (sharing were strongly influenced by profitability in the trust game, even though all partners shared 75% of the profit and participants were told that profitability was randomly assigned to each partner. Furthermore, these effects were moderated by the gender of the participants: males were more sensitive to monetary profits than were females. The results reveal that humans automatically trust, approve the integrity of, and recall well the fair behavior of others who provide affectively positive outcomes such as monetary profits. We call this phenomenon the "affect ripple effect".

  5. Microscope-Integrated Intraoperative Ultrahigh-Speed Swept-Source Optical Coherence Tomography for Widefield Retinal and Anterior Segment Imaging.

    Science.gov (United States)

    Lu, Chen D; Waheed, Nadia K; Witkin, Andre; Baumal, Caroline R; Liu, Jonathan J; Potsaid, Benjamin; Joseph, Anthony; Jayaraman, Vijaysekhar; Cable, Alex; Chan, Kinpui; Duker, Jay S; Fujimoto, James G

    2018-02-01

    To demonstrate the feasibility of retinal and anterior segment intraoperative widefield imaging using an ultrahigh-speed, swept-source optical coherence tomography (SS-OCT) surgical microscope attachment. A prototype post-objective SS-OCT using a 1,050-nm wavelength, 400 kHz A-scan rate, vertical cavity surface-emitting laser (VCSEL) light source was integrated to a commercial ophthalmic surgical microscope after the objective. Each widefield OCT data set was acquired in 3 seconds (1,000 × 1,000 A-scans, 12 × 12 mm 2 for retina and 10 × 10 mm 2 for anterior segment). Intraoperative SS-OCT was performed in 20 eyes of 20 patients. In six of seven membrane peels and five of seven rhegmatogenous retinal detachment repair surgeries, widefield retinal imaging enabled evaluation pre- and postoperatively. In all seven cataract cases, anterior imaging evaluated the integrity of the posterior lens capsule. Ultrahigh-speed SS-OCT enables widefield intraoperative viewing in the posterior and anterior eye. Widefield imaging visualizes ocular structures and pathology without requiring OCT realignment. [Ophthalmic Surg Lasers Imaging Retina. 2018;49:94-102.]. Copyright 2018, SLACK Incorporated.

  6. Associative Memory Computing Power and Its Simulation

    CERN Document Server

    Volpi, G; The ATLAS collaboration

    2014-01-01

    The associative memory (AM) system is a computing device made of hundreds of AM ASICs chips designed to perform “pattern matching” at very high speed. Since each AM chip stores a data base of 130000 pre-calculated patterns and large numbers of chips can be easily assembled together, it is possible to produce huge AM banks. Speed and size of the system are crucial for real-time High Energy Physics applications, such as the ATLAS Fast TracKer (FTK) Processor. Using 80 million channels of the ATLAS tracker, FTK finds tracks within 100 micro seconds. The simulation of such a parallelized system is an extremely complex task if executed in commercial computers based on normal CPUs. The algorithm performance is limited, due to the lack of parallelism, and in addition the memory requirement is very large. In fact the AM chip uses a content addressable memory (CAM) architecture. Any data inquiry is broadcast to all memory elements simultaneously, thus data retrieval time is independent of the database size. The gr...

  7. Associative Memory computing power and its simulation

    CERN Document Server

    Ancu, L S; The ATLAS collaboration; Britzger, D; Giannetti, P; Howarth, J W; Luongo, C; Pandini, C; Schmitt, S; Volpi, G

    2014-01-01

    The associative memory (AM) system is a computing device made of hundreds of AM ASICs chips designed to perform “pattern matching” at very high speed. Since each AM chip stores a data base of 130000 pre-calculated patterns and large numbers of chips can be easily assembled together, it is possible to produce huge AM banks. Speed and size of the system are crucial for real-time High Energy Physics applications, such as the ATLAS Fast TracKer (FTK) Processor. Using 80 million channels of the ATLAS tracker, FTK finds tracks within 100 micro seconds. The simulation of such a parallelized system is an extremely complex task if executed in commercial computers based on normal CPUs. The algorithm performance is limited, due to the lack of parallelism, and in addition the memory requirement is very large. In fact the AM chip uses a content addressable memory (CAM) architecture. Any data inquiry is broadcast to all memory elements simultaneously, thus data retrieval time is independent of the database size. The gr...

  8. Car Black Box with Speed Control in Desired Areas for Collision Avoidance

    Directory of Open Access Journals (Sweden)

    P. Poddar

    2012-10-01

    Full Text Available This paper presents an advanced step to the concept of car black-box in developing a comprehensive vehicle safety system which would not only record the video and audio, but also try to prevent a possible collision by limiting the speed of the vehicle in accident-prone areas. In case of an accident, the time and location (co-ordinates is sent through GSM to a preset number for immediate rescue and treatment. Recorded data can also be used for forensics, revealing the problems that caused the accident and give manufacturer an idea for improvement. So the motto is to develop an embedded integrated system consisting of a microcontroller, a power supply unit, sensors, memory, a motor driver unit and a GPS/GSM modem.

  9. Reading aloud and solving simple arithmetic calculation intervention (Learning therapy improves inhibition, verbal episodic memory, focus attention, and processing speed in healthy elderly people: Evidence from a randomized controlled trial

    Directory of Open Access Journals (Sweden)

    Rui eNouchi

    2016-05-01

    Full Text Available BackgroundPrevious reports have described that simple cognitive training using reading aloud and solving simple arithmetic calculations, so-called learning therapy, can improve executive functions and processing speed in the older adults. Nevertheless, it is not well-known whether learning therapy improve a wide range of cognitive functions or not. We investigated the beneficial effects of learning therapy on various cognitive functions in healthy older adults.MethodsWe used a single-blinded intervention with two groups (learning therapy group: LT and waiting list control group: WL. Sixty-four elderly were randomly assigned to LT or WL. In LT, participants performed reading Japanese aloud and solving simple calculations training tasks for 6 months. WL did not participate in the intervention. We measured several cognitive functions before and after 6 months intervention periods.ResultsCompared to WL, results revealed that LT improved inhibition performance in executive functions (Stroop: LT (Mean = 3.88 vs. WL (Mean = 1.22, adjusted p =.013 and reverse Stroop LT (Mean = 3.22 vs. WL (Mean = 1.59, adjusted p =.015, verbal episodic memory (logical memory: LT (Mean = 4.59 vs. WL (Mean = 2.47, adjusted p =.015, focus attention(D-CAT: LT (Mean = 2.09 vs. WL (Mean = -0.59, adjusted p =.010 and processing speed compared to the waiting list control group (digit symbol coding: LT (Mean = 5.00 vs. WL (Mean = 1.13, adjusted p =.015 and symbol search: LT (Mean = 3.47 vs. WL (Mean = 1.81, adjusted p =.014.DiscussionThis RCT can showed the benefit of learning therapy on inhibition of executive functions, verbal episodic memory, focus attention, and processing speed in healthy elderly people. Our results were discussed under overlapping hypothesis.Trial registrationThis trial was registered in The University Hospital Medical Information Network Clinical Trials Registry (UMIN000006998.

  10. Atomic crystals resistive switching memory

    International Nuclear Information System (INIS)

    Liu Chunsen; Zhang David Wei; Zhou Peng

    2017-01-01

    Facing the growing data storage and computing demands, a high accessing speed memory with low power and non-volatile character is urgently needed. Resistive access random memory with 4F 2 cell size, switching in sub-nanosecond, cycling endurances of over 10 12 cycles, and information retention exceeding 10 years, is considered as promising next-generation non-volatile memory. However, the energy per bit is still too high to compete against static random access memory and dynamic random access memory. The sneak leakage path and metal film sheet resistance issues hinder the further scaling down. The variation of resistance between different devices and even various cycles in the same device, hold resistive access random memory back from commercialization. The emerging of atomic crystals, possessing fine interface without dangling bonds in low dimension, can provide atomic level solutions for the obsessional issues. Moreover, the unique properties of atomic crystals also enable new type resistive switching memories, which provide a brand-new direction for the resistive access random memory. (topical reviews)

  11. Why Narrating Changes Memory: A Contribution to an Integrative Model of Memory and Narrative Processes.

    Science.gov (United States)

    Smorti, Andrea; Fioretti, Chiara

    2016-06-01

    This paper aims to reflect on the relation between autobiographical memory (ME) and autobiographical narrative (NA), examining studies on the effects of narrating on the narrator and showing how studying these relations can make more comprehensible both memory's and narrating's way of working. Studies that address explicitly on ME and NA are scarce and touch this issue indirectly. Authors consider different trends of studies of ME and NA: congruency vs incongruency hypotheses on retrieving, the way of organizing memories according to gist or verbatim format and their role in organizing positive and negative emotional experiences, the social roots of ME and NA, the rules of conversation based on narrating. Analysis of investigations leads the Authors to point out three basic results of their research. Firstly, NA transforms ME because it narrativizes memories according to a narrative format. This means that memories, when are narrated, are transformed in stories (verbal language) and socialised. Secondly, the narrativization process is determined by the act of telling something within a communicative situation. Thus, relational situation of narrating act, by modifying the story, modifies also memories. The Authors propose the RE.NA.ME model (RElation, NArration, MEmory) to understand and study ME and NA. Finally, this study claims that ME and NA refer to two different types of processes having a wide area of overlapping. This is due to common social, developmental and cultural roots that make NA to include part of ME (narrative of memory) and ME to include part of NA (memory of personal events that have been narrated).

  12. Neurophysiological correlates of eye movement desensitization and reprocessing sessions: preliminary evidence for traumatic memories integration.

    Science.gov (United States)

    Farina, Benedetto; Imperatori, Claudio; Quintiliani, Maria I; Castelli Gattinara, Paola; Onofri, Antonio; Lepore, Marta; Brunetti, Riccardo; Losurdo, Anna; Testani, Elisa; Della Marca, Giacomo

    2015-11-01

    We have investigated the potential role of eye movement desensitization and reprocessing (EMDR) in enhancing the integration of traumatic memories by measuring EEG coherence, power spectra and autonomic variables before (pre-EMDR) and after (post-EMDR) EMDR sessions during the recall of patient's traumatic memory. Thirteen EMDR sessions of six patients with post-traumatic stress disorder were recorded. EEG analyses were conducted by means of the standardized Low Resolution Electric Tomography (sLORETA) software. Power spectra, EEG coherence and heart rate variability (HRV) were compared between pre- and post-EMDR sessions. After EMDR, we observed a significant increase of alpha power in the left inferior temporal gyrus (T = 3.879; P = 0.041) and an increased EEG coherence in beta band between C3 and T5 electrodes (T = 6.358; P < 0.001). Furthermore, a significant increase of HRV in the post-EMDR sessions was also observed (pre-EMDR: 6.38 ± 6.83; post-EMDR: 2.46 ± 2.95; U-Test = 45, P = 0.043). Finally, the values of lagged coherence were negatively associated with subjective units of disturbance (r(24) = -0.44, P < 0.05) and positively associated with parasympathetic activity (r(24) = 0.40, P < 0.05). Our results suggest that EMDR leads to an integration of dissociated aspects of traumatic memories and, consequently, a decrease of hyperarousal symptoms [Correction made here after initial publication]. © 2014 Scandinavian Society of Clinical Physiology and Nuclear Medicine. Published by John Wiley & Sons Ltd.

  13. Towards Terabit Memories

    Science.gov (United States)

    Hoefflinger, Bernd

    Memories have been the major yardstick for the continuing validity of Moore's law. In single-transistor-per-Bit dynamic random-access memories (DRAM), the number of bits per chip pretty much gives us the number of transistors. For decades, DRAM's have offered the largest storage capacity per chip. However, DRAM does not scale any longer, both in density and voltage, severely limiting its power efficiency to 10 fJ/b. A differential DRAM would gain four-times in density and eight-times in energy. Static CMOS RAM (SRAM) with its six transistors/cell is gaining in reputation because it scales well in cell size and operating voltage so that its fundamental advantage of speed, non-destructive read-out and low-power standby could lead to just 2.5 electrons/bit in standby and to a dynamic power efficiency of 2aJ/b. With a projected 2020 density of 16 Gb/cm², the SRAM would be as dense as normal DRAM and vastly better in power efficiency, which would mean a major change in the architecture and market scenario for DRAM versus SRAM. Non-volatile Flash memory have seen two quantum jumps in density well beyond the roadmap: Multi-Bit storage per transistor and high-density TSV (through-silicon via) technology. The number of electrons required per Bit on the storage gate has been reduced since their first realization in 1996 by more than an order of magnitude to 400 electrons/Bit in 2010 for a complexity of 32Gbit per chip at the 32 nm node. Chip stacking of eight chips with TSV has produced a 32GByte solid-state drive (SSD). A stack of 32 chips with 2 b/cell at the 16 nm node will reach a density of 2.5 Terabit/cm². Non-volatile memory with a density of 10 × 10 nm²/Bit is the target for widespread development. Phase-change memory (PCM) and resistive memory (RRAM) lead in cell density, and they will reach 20 Gb/cm² in 2D and higher with 3D chip stacking. This is still almost an order-of-magnitude less than Flash. However, their read-out speed is ~10-times faster, with as yet

  14. Providing for organizational memory in computer supported meetings

    OpenAIRE

    Schwabe, Gerhard

    1994-01-01

    Meeting memory features are poorly integrated into current group support systems (GSS). In this article, I discuss how to introduce meeting memory functionality into a GSS. The article first introduces the benefits of effective meetings and organizational memory to an organization. Then, the following challenges to design are discussed: How to store semantically rich output, how to build up the meeting memory with a minimum of additional effort, how to integrate meeting memory into organizati...

  15. Handbook of asynchronous machines with variable speed

    CERN Document Server

    Razik, Hubert

    2013-01-01

    This handbook deals with the asynchronous machine in its close environment. It was born from a reflection on this electromagnetic converter whose integration in industrial environments takes a wide part. Previously this type of motor operated at fixed speed, from now on it has been integrated more and more in processes at variable speed. For this reason it seemed useful, or necessary, to write a handbook on the various aspects from the motor in itself, via the control and while finishing by the diagnosis aspect. Indeed, an asynchronous motor is used nowadays in industry where variation speed a

  16. Investigation of fast initialization of spacecraft bubble memory systems

    Science.gov (United States)

    Looney, K. T.; Nichols, C. D.; Hayes, P. J.

    1984-01-01

    Bubble domain technology offers significant improvement in reliability and functionality for spacecraft onboard memory applications. In considering potential memory systems organizations, minimization of power in high capacity bubble memory systems necessitates the activation of only the desired portions of the memory. In power strobing arbitrary memory segments, a capability of fast turn on is required. Bubble device architectures, which provide redundant loop coding in the bubble devices, limit the initialization speed. Alternate initialization techniques are investigated to overcome this design limitation. An initialization technique using a small amount of external storage is demonstrated.

  17. [Neuroscience and collective memory: memory schemas linking brain, societies and cultures].

    Science.gov (United States)

    Legrand, Nicolas; Gagnepain, Pierre; Peschanski, Denis; Eustache, Francis

    2015-01-01

    During the last two decades, the effect of intersubjective relationships on cognition has been an emerging topic in cognitive neurosciences leading through a so-called "social turn" to the formation of new domains integrating society and cultures to this research area. Such inquiry has been recently extended to collective memory studies. Collective memory refers to shared representations that are constitutive of the identity of a group and distributed among all its members connected by a common history. After briefly describing those evolutions in the study of human brain and behaviors, we review recent researches that have brought together cognitive psychology, neuroscience and social sciences into collective memory studies. Using the reemerging concept of memory schema, we propose a theoretical framework allowing to account for collective memories formation with a specific focus on the encoding process of historical events. We suggest that (1) if the concept of schema has been mainly used to describe rather passive framework of knowledge, such structure may also be implied in more active fashions in the understanding of significant collective events. And, (2) if some schema researches have restricted themselves to the individual level of inquiry, we describe a strong coherence between memory and cultural frameworks. Integrating the neural basis and properties of memory schema to collective memory studies may pave the way toward a better understanding of the reciprocal interaction between individual memories and cultural resources such as media or education. © Société de Biologie, 2016.

  18. Short-term memory for scenes with affective content

    OpenAIRE

    Maljkovic, Vera; Martini, Paolo

    2005-01-01

    The emotional content of visual images can be parameterized along two dimensions: valence (pleasantness) and arousal (intensity of emotion). In this study we ask how these distinct emotional dimensions affect the short-term memory of human observers viewing a rapid stream of images and trying to remember their content. We show that valence and arousal modulate short-term memory as independent factors. Arousal influences dramatically the average speed of data accumulation in memory: Higher aro...

  19. Exploring the Relationship Between Working Memory, Compressor Speed, and Background Noise Characteristics

    DEFF Research Database (Denmark)

    Ohlenforst, Barbara; Souza, Pamela E.; MacDonald, Ewen

    2016-01-01

    grouped by high or low working memory according to their performance on a reading span test. Speech intelligibility was measured for low-context sentences presented in background noise, where the noise varied in the extent of amplitude modulation. Simulated fast- or slowacting compression amplification...... on the number of talkers in the background noise. The presented signal to noise ratios were not a significant factor on the measured intelligibility performance. Conclusion: In agreement with earlier research, high working memory allowed better speech intelligibility when fast compression was applied......Objectives: Previous work has shown that individuals with lower working memory demonstrate reduced intelligibility for speech processed with fast-acting compression amplification. This relationship has been noted in fluctuating noise, but the extent of noise modulation that must be present...

  20. Database architecture optimized for the new bottleneck: Memory access

    NARCIS (Netherlands)

    P.A. Boncz (Peter); S. Manegold (Stefan); M.L. Kersten (Martin)

    1999-01-01

    textabstractIn the past decade, advances in speed of commodity CPUs have far out-paced advances in memory latency. Main-memory access is therefore increasingly a performance bottleneck for many computer applications, including database systems. In this article, we use a simple scan test to show the

  1. Optimizing Database Architecture for the New Bottleneck: Memory Access

    NARCIS (Netherlands)

    S. Manegold (Stefan); P.A. Boncz (Peter); M.L. Kersten (Martin)

    2000-01-01

    textabstractIn the past decade, advances in speed of commodity CPUs have far out-paced advances in memory latency. Main-memory access is therefore increasingly a performance bottleneck for many computer applications, including database systems. In this article, we use a simple scan test to show the

  2. Memory Impairment in Children with Language Impairment

    Science.gov (United States)

    Baird, Gillian; Dworzynski, Katharina; Slonims, Vicky; Simonoff, Emily

    2010-01-01

    Aim: The aim of this study was to assess whether any memory impairment co-occurring with language impairment is global, affecting both verbal and visual domains, or domain specific. Method: Visual and verbal memory, learning, and processing speed were assessed in children aged 6 years to 16 years 11 months (mean 9y 9m, SD 2y 6mo) with current,…

  3. Executive Functions and Working Memory Behaviours in Children with a Poor Working Memory

    Science.gov (United States)

    St. Clair-Thompson, Helen L.

    2011-01-01

    Previous research has suggested that working memory difficulties play an integral role in children's underachievement at school. However, working memory is just one of several executive functions. The extent to which problems in working memory extend to other executive functions is not well understood. In the current study 38 children with a poor…

  4. Shape memory alloys applied to improve rotor-bearing system dynamics - an experimental investigation

    DEFF Research Database (Denmark)

    Enemark, Søren; Santos, Ilmar; Savi, Marcelo A.

    2015-01-01

    passing through critical speeds. In this work, the feasibility of applying shape memory alloys to a rotating system is experimentally investigated. Shape memory alloys can change their stiffness with temperature variations and thus they may change system dynamics. Shape memory alloys also exhibit...... perturbations and mass imbalance responses of the rotor-bearing system at different temperatures and excitation frequencies are carried out to determine the dynamic behaviour of the system. The behaviour and the performance in terms of vibration reduction and system adaptability are compared against a benchmark...... configuration comprised by the same system having steel springs instead of shape memory alloy springs. The experimental results clearly show that the stiffness changes and hysteretic behaviour of the shape memory alloys springs alter system dynamics both in terms of critical speeds and mode shapes. Vibration...

  5. The chemotherapeutic agent paclitaxel selectively impairs learning while sparing source memory and spatial memory.

    Science.gov (United States)

    Smith, Alexandra E; Slivicki, Richard A; Hohmann, Andrea G; Crystal, Jonathon D

    2017-03-01

    Chemotherapeutic agents are widely used to treat patients with systemic cancer. The efficacy of these therapies is undermined by their adverse side-effect profiles such as cognitive deficits that have a negative impact on the quality of life of cancer survivors. Cognitive side effects occur across a variety of domains, including memory, executive function, and processing speed. Such impairments are exacerbated under cognitive challenges and a subgroup of patients experience long-term impairments. Episodic memory in rats can be examined using a source memory task. In the current study, rats received paclitaxel, a taxane-derived chemotherapeutic agent, and learning and memory functioning was examined using the source memory task. Treatment with paclitaxel did not impair spatial and episodic memory, and paclitaxel treated rats were not more susceptible to cognitive challenges. Under conditions in which memory was not impaired, paclitaxel treatment impaired learning of new rules, documenting a decreased sensitivity to changes in experimental contingencies. These findings provide new information on the nature of cancer chemotherapy-induced cognitive impairments, particularly regarding the incongruent vulnerability of episodic memory and new learning following treatment with paclitaxel. Copyright © 2016 Elsevier B.V. All rights reserved.

  6. Reducing the stochasticity of crystal nucleation to enable subnanosecond memory writing

    Science.gov (United States)

    Rao, Feng; Ding, Keyuan; Zhou, Yuxing; Zheng, Yonghui; Xia, Mengjiao; Lv, Shilong; Song, Zhitang; Feng, Songlin; Ronneberger, Ider; Mazzarello, Riccardo; Zhang, Wei; Ma, Evan

    2017-12-01

    Operation speed is a key challenge in phase-change random-access memory (PCRAM) technology, especially for achieving subnanosecond high-speed cache memory. Commercialized PCRAM products are limited by the tens of nanoseconds writing speed, originating from the stochastic crystal nucleation during the crystallization of amorphous germanium antimony telluride (Ge2Sb2Te5). Here, we demonstrate an alloying strategy to speed up the crystallization kinetics. The scandium antimony telluride (Sc0.2Sb2Te3) compound that we designed allows a writing speed of only 700 picoseconds without preprogramming in a large conventional PCRAM device. This ultrafast crystallization stems from the reduced stochasticity of nucleation through geometrically matched and robust scandium telluride (ScTe) chemical bonds that stabilize crystal precursors in the amorphous state. Controlling nucleation through alloy design paves the way for the development of cache-type PCRAM technology to boost the working efficiency of computing systems.

  7. Cognitive performance in visual memory and attention are influenced by many factors

    DEFF Research Database (Denmark)

    Wilms, Inge Linda; Nielsen, Simon

    Visual perception serves as the basis for much of the higher level cognitive processing as well as human activity in general. Here we present normative estimates for the following components of visual perception: the visual perceptual threshold, the visual short-term memory capacity and the visual...... perceptual encoding/decoding speed (processing speed) of visual short-term memory based on an assessment of 94 healthy subjects aged 60-75. The estimates are presented at total sample level as well as at gender level. The estimates were modelled from input from a whole-report assessment based on A Theory...... speed of Visual Short-term Memory (VTSM) but not the capacity of VSTM nor the visual threshold. The estimates will be useful for future studies into the effects of various types of intervention and training on cognition in general and visual attention in particular. (...

  8. Long Memory, Fractional Integration, and Cross-Sectional Aggregation

    DEFF Research Database (Denmark)

    Haldrup, Niels; Vera-Valdés, Eduardo

    under certain conditions and that the aggregated series will have an autocorrelation function that exhibits hyperbolic decay. In this paper, we further analyze this phenomenon. We demonstrate that the aggregation argument leading to long memory is consistent with a wide range of definitions of long...... memory. In a simulation study we seek to quantify Granger's result and find that indeed both the time series and cross-sectional dimensions have to be rather significant to reflect the theoretical asymptotic results. Long memory can result even for moderate T,N dimensions but can vary considerably from...

  9. Variational integrators for the dynamics of thermo-elastic solids with finite speed thermal waves

    International Nuclear Information System (INIS)

    Mata, Pablo; Lew, Adrian J.

    2014-01-01

    This paper formulates variational integrators for finite element discretizations of deformable bodies with heat conduction in the form of finite speed thermal waves. The cornerstone of the construction consists in taking advantage of the fact that the Green–Naghdi theory of type II for thermo-elastic solids has a Hamiltonian structure. Thus, standard techniques to construct variational integrators can be applied to finite element discretizations of the problem. The resulting discrete-in-time trajectories are then consistent with the laws of thermodynamics for these systems: for an isolated system, they exactly conserve the total entropy, and nearly exactly conserve the total energy over exponentially long periods of time. Moreover, linear and angular momenta are also exactly conserved whenever the exact system does. For definiteness, we construct an explicit second-order accurate algorithm for affine tetrahedral elements in two and three dimensions, and demonstrate its performance with numerical examples

  10. Designing Sustainable Public Transportation: Integrated Optimization of Bus Speed and Holding Time in a Connected Vehicle Environment

    Directory of Open Access Journals (Sweden)

    Wei Wu

    2016-11-01

    Full Text Available Developing public transportation and giving priority to buses is a feasible solution for improving the level of public transportation service, which facilitates congestion alleviation and prevention, and contributes to urban development and city sustainability. This paper presents a novel bus operation control strategy including both holding control and speed control to improve the level of service of transit systems within a connected vehicle environment. Most previous work focuses on optimization of signal timing to decrease the bus signal delay by assuming that holding control is not applied; the speed of buses is given as a constant input and the acceleration and deceleration processes of buses can be neglected. This paper explores the benefits of a bus operation control strategy to minimize the total cost, which includes bus signal delay, bus holding delay, bus travel delay, acceleration cost due to frequent stops and intense driving. A set of formulations are developed to explicitly capture the interaction between bus holding control and speed control. Experimental analysisand simulation tests have shown that the proposed integrated operational model outperforms the traditional control, speed control only, or holding control only strategies in terms of reducing the total cost of buses. The sensitivity analysis has further demonstrated the potential effectiveness of the proposed approach to be applied in a real-time bus operation control system under different levels of traffic demand, bus stop locations, and speed limits.

  11. Integrated Optimization of Speed Profiles and Power Split for a Tram with Hybrid Energy Storage Systems on a Signalized Route

    Directory of Open Access Journals (Sweden)

    Zhuang Xiao

    2018-02-01

    Full Text Available A tram with on-board hybrid energy storage systems based on batteries and supercapacitors is a new option for the urban traffic system. This configuration enables the tram to operate in both catenary zones and catenary-free zones, and the storage of regenerative braking energy for later usage. This paper presents a multiple phases integrated optimization (MPIO method for the coordination of speed profiles and power split considering the signal control strategy. The objective is to minimize the equivalent total energy consumption of all the power sources, which includes both the energy from the traction substation and energy storage systems. The constraints contain running time, variable gradients and curves, speed limits, power balance and signal time at some intersections. The integrated optimization problem is formulated as a multiple phases model based on the characters of the signalized route. An integrated calculation framework, using hp-adaptive pseudospectral method, is proposed for the integrated optimization problem. The effectiveness of the method is verified under fixed time signal (FTS control strategy and tram priority signal (TPS control strategy. Illustrative results show that this method can be successfully applied for trams with hybrid energy storage systems to improve their energy efficiency.

  12. Context Memory in Korsakoff's Syndrome

    NARCIS (Netherlands)

    Kessels, R.P.C.; Kopelman, M.D.

    2012-01-01

    Memory for contextual information and target-context integration are crucial for successful episodic memory formation and are impaired in patients with Korsakoff's syndrome. In this paper we review the evidence for the notion that a context memory deficit makes an important contribution to the

  13. Context memory in Korsakoff's syndrome.

    NARCIS (Netherlands)

    Kessels, R.P.C.; Kopelman, M.D.

    2012-01-01

    Memory for contextual information and target-context integration are crucial for successful episodic memory formation and are impaired in patients with Korsakoff's syndrome. In this paper we review the evidence for the notion that a context memory deficit makes an important contribution to the

  14. Stock market integration and the speed of information transmission: the role of data frequency in cointegration and Granger causality tests

    Czech Academy of Sciences Publication Activity Database

    Černý, Alexandr; Koblas, M.

    2004-01-01

    Roč. 1, č. 1 (2004), s. 110-120 ISSN 1544-8037 Institutional research plan: CEZ:AV0Z7085904 Keywords : stock market integration * speed of information transmission * data frequency in cointegration and Granger causality tests Subject RIV: AH - Economics

  15. Low speed phaselock speed control system. [for brushless dc motor

    Science.gov (United States)

    Fulcher, R. W.; Sudey, J. (Inventor)

    1975-01-01

    A motor speed control system for an electronically commutated brushless dc motor is provided which includes a phaselock loop with bidirectional torque control for locking the frequency output of a high density encoder, responsive to actual speed conditions, to a reference frequency signal, corresponding to the desired speed. The system includes a phase comparator, which produces an output in accordance with the difference in phase between the reference and encoder frequency signals, and an integrator-digital-to-analog converter unit, which converts the comparator output into an analog error signal voltage. Compensation circuitry, including a biasing means, is provided to convert the analog error signal voltage to a bidirectional error signal voltage which is utilized by an absolute value amplifier, rotational decoder, power amplifier-commutators, and an arrangement of commutation circuitry.

  16. Four-quadrant speed control circuit of DC servo motor using integrated voltage control method; Den`atsu sekibunchi seigyo wo mochoiita chokuryu dendoki no shishogen sokudo seigyo

    Energy Technology Data Exchange (ETDEWEB)

    Okui, H. [Osaka polytechnic College, Osaka (Japan); Irie, H. [Osaka Electro-Communication Univ., Osaka (Japan)

    1996-08-20

    The Two-Quadrant chopper is constructed by using smoothing reactor in common of the step-down chopper and step-up chopper of the DC chopper. Furthermore, since the circuit connected in bridge type by using these two groups has both of positive and negative voltage from DC source and can supplies the current from positive and negative directions for load, it is called in general as the Four-Quadrant chopper. As the Four-Quadrant chopper may supply and regenerate power, it works as power amplifier with high efficiency. In this paper, the speed control circuit of DC servo motor using Four-Quadrant integrated voltage control circuit is described. The speed control circuit is composed of simple circuits of one adder integrator and four hysteresis comparators. The Four-Quadrant speed control circuit has a DC motor speed feedback loop and a voltage feedback loop which connects with AC, it plays the Four-Quadrant speed control without current inspection. The speed control characteristics with no steady state error over four quadrants may be obtained, changing of the quadrant is smooth and transition response is rapid. 9 refs., 11 figs.

  17. Working Memory and the Revision of Syntactic and Discourse Ambiguities

    Science.gov (United States)

    Evans, William S.; Caplan, David; Ostrowski, Adam; Michaud, Jennifer; Guarino, Anthony; Waters, Gloria

    2015-01-01

    Two hundred participants, 50 in each of four age ranges (19 – 29; 30 – 49, 50 – 69, 70 – 90) were tested for short term working memory, speed of processing and on-line processing of three types of sentences in which an initially assigned syntactic structure and/or semantic interpretation had to be revised. Self-paced reading times were longer for the segments which signaled the need for revision, and there were interactions of age and sentence type and of speed of processing and sentence type, but not of working memory and sentence type, on reading times for these segments. The results provide evidence that working memory does not support the processes that revise the structure and interpretation of sentences and discourse. PMID:25485458

  18. Attention, Working Memory, and Long-Term Memory in Multimedia Learning: An Integrated Perspective Based on Process Models of Working Memory

    Science.gov (United States)

    Schweppe, Judith; Rummer, Ralf

    2014-01-01

    Cognitive models of multimedia learning such as the Cognitive Theory of Multimedia Learning (Mayer 2009) or the Cognitive Load Theory (Sweller 1999) are based on different cognitive models of working memory (e.g., Baddeley 1986) and long-term memory. The current paper describes a working memory model that has recently gained popularity in basic…

  19. About the distinction between working memory and short-term memory

    Directory of Open Access Journals (Sweden)

    Bart eAben

    2012-08-01

    Full Text Available The theoretical concepts short-term memory (STM and working memory (WM have been used to refer to the maintenance and the maintenance plus manipulation of memory, respectively. Although they are conceptually different, the use of the terms STM and WM in literature is not always strict. Short-term memory and WM are different theoretical concepts that are assumed to reflect different cognitive functions. However, correlational studies have not been able to separate both constructs consistently and there is evidence for a large or even complete overlap. The emerging view from neurobiological studies is partly different, although there are conceptual problems troubling the interpretation of findings. In this regard, there is a crucial role for the tasks that are used to measure STM or WM (simple and complex span tasks, respectively and for the cognitive load reflected by factors like attention and processing speed that may covary between and within these tasks. These conceptual issues are discussed based on several abstract models for the relation between STM and WM.

  20. A comparison of three types of autobiographical memories in old-old age: first memories, pivotal memories and traumatic memories.

    Science.gov (United States)

    Cohen-Mansfield, Jiska; Shmotkin, Dov; Eyal, Nitza; Reichental, Yael; Hazan, Haim

    2010-01-01

    Autobiographical memory enables us to construct a personal narrative through which we identify ourselves. Especially important are memories of formative events. This study describes autobiographical memories of people who have reached old-old age (85 years and above), studying 3 types of memories of particular impact on identity and adaptation: first memories, pivotal memories and traumatic memories. In this paper, we examine the content, characteristic themes and environments, and structural characteristics of each of the 3 types of memory. The participants were 26 persons from a larger longitudinal study with an average age of 91 years; half were men and the other half women. The study integrated qualitative and quantitative tools. An open-ended questionnaire included questions about the participants' life story as well as questions about the 3 types of memories. The responses were rated by 3 independent judges on dimensions of central themes and structural characteristics. First memories had a more positive emotional tone, more references to characters from the participant's social circle, a stronger sense of group belonging, and a more narrative style than the other types of memories. Pivotal and traumatic memories were described as more personal than first memories. The 3 types of memories reflect different stages in life development, which together form a sense of identity. They present experiences from the past on select themes, which may assist in the complex task of coping with the difficulties and limitations that advanced old age presents. Future research should examine the functional role of those memories and whether they enable the old-old to support selfhood in the challenging period of last changes and losses. Copyright © 2010 S. Karger AG, Basel.

  1. Mapping the Developmental Constraints on Working Memory Span Performance

    Science.gov (United States)

    Bayliss, Donna M.; Jarrold, Christopher; Baddeley, Alan D.; Gunn, Deborah M.; Leigh, Eleanor

    2004-01-01

    This study investigated the constraints underlying developmental improvements in complex working memory span performance among 120 children of between 6 and 10 years of age. Independent measures of processing efficiency, storage capacity, rehearsal speed, and basic speed of processing were assessed to determine their contribution to age-related…

  2. Long-term memory deficits in schizophrenia : Primary or secondary dysfunction?

    NARCIS (Netherlands)

    Holthausen, EAE; Wiersma, D; Sitskoorn, MM; Dingemans, PM; Schene, AH; van den Bosch, RJ

    2003-01-01

    Long-term memory impairment is often found in schizophrenia. The question remains whether this is caused by other cognitive deficits. One hundred eighteen first-episode patients were compared with 45 control participants on several memory tasks. The role of processing speed and central executive

  3. Long-term memory deficits in schizophrenia: Primary or secondary dysfunction?

    NARCIS (Netherlands)

    Holthausen, Esther A. E.; Wiersma, Durk; Sitskoorn, Margriet M.; Dingemans, Peter M.; Schene, Aart H.; van den Bosch, Robert J.

    2003-01-01

    Long-term memory impairment is often found in schizophrenia. The question remains whether this is caused by other cognitive deficits. One hundred eighteen first-episode patients were compared with 45 control participants on several memory tasks. The role of processing speed and central executive

  4. Memory characteristics of silicon nitride with silicon nanocrystals as a charge trapping layer of nonvolatile memory devices

    International Nuclear Information System (INIS)

    Choi, Sangmoo; Yang, Hyundeok; Chang, Man; Baek, Sungkweon; Hwang, Hyunsang; Jeon, Sanghun; Kim, Juhyung; Kim, Chungwoo

    2005-01-01

    Silicon nitride with silicon nanocrystals formed by low-energy silicon plasma immersion ion implantation has been investigated as a charge trapping layer of a polycrystalline silicon-oxide-nitride-oxide-silicon-type nonvolatile memory device. Compared with the control sample without silicon nanocrystals, silicon nitride with silicon nanocrystals provides excellent memory characteristics, such as larger width of capacitance-voltage hysteresis, higher program/erase speed, and lower charge loss rate at elevated temperature. These improved memory characteristics are derived by incorporation of silicon nanocrystals into the charge trapping layer as additional accessible charge traps with a deeper effective trap energy level

  5. Stress, memory, and the hippocampus.

    Science.gov (United States)

    Wingenfeld, Katja; Wolf, Oliver T

    2014-01-01

    Stress hormones, i.e. cortisol in human and cortisone in rodents, influence a wide range of cognitive functions, including hippocampus-based declarative memory performance. Cortisol enhances memory consolidation, but impairs memory retrieval. In this context glucocorticoid receptor sensitivity and hippocampal integrity play an important role. This review integrates findings on the relationships between the hypothalamus-pituitary-adrenal (HPA) axis, one of the main coordinators of the stress response, hippocampus, and memory. Findings obtained in healthy participants will be compared with selected mental disorders, including major depressive disorder (MDD), posttraumatic stress disorder (PTSD), and borderline personality disorder (BPD). These disorders are characterized by alterations of the HPA axis and hippocampal dysfunctions. Interestingly, the acute effects of stress hormones on memory in psychiatric patients are different from those found in healthy humans. While cortisol administration has failed to affect memory retrieval in patients with MDD, patients with PTSD and BPD have been found to show enhanced rather than impaired memory retrieval after hydrocortisone. This indicates an altered sensitivity to stress hormones in these mental disorders. © 2014 S. Karger AG, Basel

  6. Subjective memory complaint only relates to verbal episodic memory performance in mild cognitive impairment

    Science.gov (United States)

    Gifford, Katherine A.; Liu, Dandan; Damon, Stephen M.; Chapman, William G.; Romano, Raymond R.; Samuels, Lauren R.; Lu, Zengqi; Jefferson, Angela L.

    2015-01-01

    Background A cognitive concern from the patient, informant, or clinician is required for the diagnosis of mild cognitive impairment (MCI); however, the cognitive and neuroanatomical correlates of complaint are poorly understood. Objective We assessed how self-complaint relates to cognitive and neuroimaging measures in older adults with MCI. Method MCI participants were drawn from the Alzheimer’s Disease Neuroimaging Initiative and dichotomized into two groups based on the presence of self-reported memory complaint (no complaint n=191, 77±7 years; complaint n=206, 73±8 years). Cognitive outcomes included episodic memory, executive functioning, information processing speed, and language. Imaging outcomes included regional lobar volumes (frontal, parietal, temporal, cingulate) and specific medial temporal lobe structures (hippocampal volume, entorhinal cortex thickness, parahippocampal gyrus thickness). Results Linear regressions, adjusting for age, gender, race, education, Mini-Mental State Examination score, mood, and apolipoprotein E-4 status, found that cognitive complaint related to immediate (β=−1.07, pmemory performances assessed on a serial list learning task (β=−1.06, p=0.001) but no other cognitive measures or neuroimaging markers. Conclusions Self-reported memory concern was unrelated to structural neuroimaging markers of atrophy and measures of information processing speed, executive functioning, or language. In contrast, subjective memory complaint related to objective verbal episodic learning performance. Future research is warranted to better understand the relation between cognitive complaint and surrogate markers of abnormal brain aging, including Alzheimer’s disease, across the cognitive aging spectrum. PMID:25281602

  7. Stress effects on memory : An update and integration

    NARCIS (Netherlands)

    Schwabe, Lars; Joëls, Marian; Roozendaal, Benno; Wolf, Oliver T.; Oitzl, Melly S.

    It is well known that stressful experiences may affect learning and memory processes. Less clear is the exact nature of these stress effects on memory: both enhancing and impairing effects have been reported. These opposite effects may be explained if the different time courses of stress hormone, in

  8. Stress effects on memory: an update and integration

    NARCIS (Netherlands)

    Schwabe, L.; Joëls, M.; Roozendaal, B.; Wolf, O.T.; Oitzl, M.S.

    2012-01-01

    It is well known that stressful experiences may affect learning and memory processes. Less clear is the exact nature of these stress effects on memory: both enhancing and impairing effects have been reported. These opposite effects may be explained if the different time courses of stress hormone, in

  9. Integrated High-Speed Torque Control System for a Robotic Joint

    Science.gov (United States)

    Davis, Donald R. (Inventor); Radford, Nicolaus A. (Inventor); Permenter, Frank Noble (Inventor); Valvo, Michael C. (Inventor); Askew, R. Scott (Inventor)

    2013-01-01

    A control system for achieving high-speed torque for a joint of a robot includes a printed circuit board assembly (PCBA) having a collocated joint processor and high-speed communication bus. The PCBA may also include a power inverter module (PIM) and local sensor conditioning electronics (SCE) for processing sensor data from one or more motor position sensors. Torque control of a motor of the joint is provided via the PCBA as a high-speed torque loop. Each joint processor may be embedded within or collocated with the robotic joint being controlled. Collocation of the joint processor, PIM, and high-speed bus may increase noise immunity of the control system, and the localized processing of sensor data from the joint motor at the joint level may minimize bus cabling to and from each control node. The joint processor may include a field programmable gate array (FPGA).

  10. Vision for single flux quantum very large scale integrated technology

    International Nuclear Information System (INIS)

    Silver, Arnold; Bunyk, Paul; Kleinsasser, Alan; Spargo, John

    2006-01-01

    Single flux quantum (SFQ) electronics is extremely fast and has very low on-chip power dissipation. SFQ VLSI is an excellent candidate for high-performance computing and other applications requiring extremely high-speed signal processing. Despite this, SFQ technology has generally not been accepted for system implementation. We argue that this is due, at least in part, to the use of outdated tools to produce SFQ circuits and chips. Assuming the use of tools equivalent to those employed in the semiconductor industry, we estimate the density of Josephson junctions, circuit speed, and power dissipation that could be achieved with SFQ technology. Today, CMOS lithography is at 90-65 nm with about 20 layers. Assuming equivalent technology, aggressively increasing the current density above 100 kA cm -2 to achieve junction speeds approximately 1000 GHz, and reducing device footprints by converting device profiles from planar to vertical, one could expect to integrate about 250 M Josephson junctions cm -2 into SFQ digital circuits. This should enable circuit operation with clock frequencies above 200 GHz and place approximately 20 K gates within a radius of one clock period. As a result, complete microprocessors, including integrated memory registers, could be fabricated on a single chip

  11. Vision for single flux quantum very large scale integrated technology

    Energy Technology Data Exchange (ETDEWEB)

    Silver, Arnold [Northrop Grumman Space Technology, One Space Park, Redondo Beach, CA 90278 (United States); Bunyk, Paul [Northrop Grumman Space Technology, One Space Park, Redondo Beach, CA 90278 (United States); Kleinsasser, Alan [Jet Propulsion Laboratory, 4800 Oak Grove Drive, Pasadena, CA 91109-8099 (United States); Spargo, John [Northrop Grumman Space Technology, One Space Park, Redondo Beach, CA 90278 (United States)

    2006-05-15

    Single flux quantum (SFQ) electronics is extremely fast and has very low on-chip power dissipation. SFQ VLSI is an excellent candidate for high-performance computing and other applications requiring extremely high-speed signal processing. Despite this, SFQ technology has generally not been accepted for system implementation. We argue that this is due, at least in part, to the use of outdated tools to produce SFQ circuits and chips. Assuming the use of tools equivalent to those employed in the semiconductor industry, we estimate the density of Josephson junctions, circuit speed, and power dissipation that could be achieved with SFQ technology. Today, CMOS lithography is at 90-65 nm with about 20 layers. Assuming equivalent technology, aggressively increasing the current density above 100 kA cm{sup -2} to achieve junction speeds approximately 1000 GHz, and reducing device footprints by converting device profiles from planar to vertical, one could expect to integrate about 250 M Josephson junctions cm{sup -2} into SFQ digital circuits. This should enable circuit operation with clock frequencies above 200 GHz and place approximately 20 K gates within a radius of one clock period. As a result, complete microprocessors, including integrated memory registers, could be fabricated on a single chip.

  12. A study of selenium nanoparticles as charge storage element for flexible semi-transparent memory devices

    Science.gov (United States)

    Alotaibi, Sattam; Nama Manjunatha, Krishna; Paul, Shashi

    2017-12-01

    Flexible Semi-Transparent electronic memory would be useful in coming years for integrated flexible transparent electronic devices. However, attaining such flexibility and semi-transparency leads to the boundaries in material composition. Thus, impeding processing speed and device performance. In this work, we present the use of inorganic stable selenium nanoparticles (Se-NPs) as a storage element and hydrogenated amorphous carbon (a-C:H) as an insulating layer in two terminal non-volatile physically flexible and semi-transparent capacitive memory devices (2T-NMDs). Furthermore, a-C:H films can be deposited at very low temperature (industrial technique called Plasma Enhanced Chemical Vapour Deposition (PECVD) which is available in many existing fabrication labs. Self-assembled Se-NPs has several unique features including deposition at room temperature by simple vacuum thermal evaporation process without the need for further optimisation. This facilitates the fabrication of memory on a flexible substrate. Moreover, the memory behaviour of the Se-NPs was found to be more distinct than those of the semiconductor and metal nanostructures due to higher work function compared to the commonly used semiconductor and metal species. The memory behaviour was observed from the hysteresis of current-voltage (I-V) measurements while the two distinguishable electrical conductivity states (;0; and "1") were studied by current-time (I-t) measurements.

  13. DESIGN AND IMPLEMENTATION OF CONVEYOR LINE SPEED ...

    African Journals Online (AJOL)

    DESIGN AND IMPLEMENTATION OF CONVEYOR LINE SPEED SYNCHRONISER FOR INDUSTRIAL CONTROL APPLICATIONS: A CASE STUDY OF ... in bottles.Therefore a Proportional Integral Derivative(PID) controller was designed to act as a speed synchronizer in order to eliminate the above mentioned problems.

  14. Experimental Effects of Acute Exercise on Iconic Memory, Short-Term Episodic, and Long-Term Episodic Memory.

    Science.gov (United States)

    Yanes, Danielle; Loprinzi, Paul D

    2018-06-11

    The present experiment evaluated the effects of acute exercise on iconic memory and short- and long-term episodic memory. A two-arm, parallel-group randomized experiment was employed ( n = 20 per group; M age = 21 year). The experimental group engaged in an acute bout of moderate-intensity treadmill exercise for 15 min, while the control group engaged in a seated, time-matched computer task. Afterwards, the participants engaged in a paragraph-level episodic memory task (20 min delay and 24 h delay recall) as well as an iconic memory task, which involved 10 trials (at various speeds from 100 ms to 800 ms) of recalling letters from a 3 × 3 array matrix. For iconic memory, there was a significant main effect for time (F = 42.9, p memory scores at both the baseline (19.22 vs. 17.20) and follow-up (18.15 vs. 15.77), but these results were not statistically significant. These findings provide some suggestive evidence hinting towards an iconic memory and episodic benefit from acute exercise engagement.

  15. Proposal for the development of 3D Vertically Integrated Pattern Recognition Associative Memory (VIPRAM)

    Energy Technology Data Exchange (ETDEWEB)

    Deptuch, Gregory; Hoff, Jim; Kwan, Simon; Lipton, Ron; Liu, Ted; Ramberg, Erik; Todri, Aida; Yarema, Ray; /Fermilab; Demarteua, Marcel,; Drake, Gary; Weerts, Harry; /Argonne /Chicago U. /Padua U. /INFN, Padua

    2010-10-01

    Future particle physics experiments looking for rare processes will have no choice but to address the demanding challenges of fast pattern recognition in triggering as detector hit density becomes significantly higher due to the high luminosity required to produce the rare process. The authors propose to develop a 3D Vertically Integrated Pattern Recognition Associative Memory (VIPRAM) chip for HEP applications, to advance the state-of-the-art for pattern recognition and track reconstruction for fast triggering.

  16. Automatic frame-centered object representation and integration revealed by iconic memory, visual priming, and backward masking.

    Science.gov (United States)

    Lin, Zhicheng; He, Sheng

    2012-10-25

    Object identities ("what") and their spatial locations ("where") are processed in distinct pathways in the visual system, raising the question of how the what and where information is integrated. Because of object motions and eye movements, the retina-based representations are unstable, necessitating nonretinotopic representation and integration. A potential mechanism is to code and update objects according to their reference frames (i.e., frame-centered representation and integration). To isolate frame-centered processes, in a frame-to-frame apparent motion configuration, we (a) presented two preceding or trailing objects on the same frame, equidistant from the target on the other frame, to control for object-based (frame-based) effect and space-based effect, and (b) manipulated the target's relative location within its frame to probe frame-centered effect. We show that iconic memory, visual priming, and backward masking depend on objects' relative frame locations, orthogonal of the retinotopic coordinate. These findings not only reveal that iconic memory, visual priming, and backward masking can be nonretinotopic but also demonstrate that these processes are automatically constrained by contextual frames through a frame-centered mechanism. Thus, object representation is robustly and automatically coupled to its reference frame and continuously being updated through a frame-centered, location-specific mechanism. These findings lead to an object cabinet framework, in which objects ("files") within the reference frame ("cabinet") are orderly coded relative to the frame.

  17. Trinary Associative Memory Would Recognize Machine Parts

    Science.gov (United States)

    Liu, Hua-Kuang; Awwal, Abdul Ahad S.; Karim, Mohammad A.

    1991-01-01

    Trinary associative memory combines merits and overcomes major deficiencies of unipolar and bipolar logics by combining them in three-valued logic that reverts to unipolar or bipolar binary selectively, as needed to perform specific tasks. Advantage of associative memory: one obtains access to all parts of it simultaneously on basis of content, rather than address, of data. Consequently, used to exploit fully parallelism and speed of optical computing.

  18. Characterization of Self-Defining Memories in Individuals with Severe Alcohol Use Disorders After Mid-Term Abstinence: The Impact of the Emotional Valence of Memories.

    Science.gov (United States)

    Nandrino, Jean-Louis; Gandolphe, Marie-Charlotte

    2017-08-01

    Self-defining memories (SDM) are distinguished from other autobiographical memory (AM) processes to delineate those associated with the sense of personal identity and continuity in one's individual history. With chronic alcohol consumption, the construction of such memories may be modified in terms of specificity, valence, meaning-making, and evoked topics. This study sought to characterize SDM in a population of 27 patients with alcohol use disorder (AUD) who had been abstinent for at least 2 months compared with 28 control participants. Besides cognitive and clinical assessment, participants were told to describe verbally and date 5 SDM and their narratives were recorded. For each memory, 5 dimensions were evaluated: level of specificity, emotional valence, integration of meaning, topics, and distance of memory in time. Overall, SDM of participants with AUD were specifically characterized by (i) low specificity, (ii) low integration, (iii) a predominance of memories with negative emotional valence and a low frequency of positive memories, and (iv) a low frequency of topics related to success. When different dimensions of the SDM were crossed, their characteristics depended mainly on the valence of the memory. Negative memories were more frequent, more specific and more integrated, while positive SDM were less frequent, less specific and less integrated. The results underline the construction of a form of SDM with drinking problems that is mainly characterized by the disruption of positive memory and the presence of highly specific and integrated negative experiences. A disruption of the integration process modulated by the valence of memories could have repercussions on maintaining a sense of personal identity, the pursuit of personal goals and on social adaptability, and could constitute one of the main risks associated with persistent drinking problems. These results highlight the relevance of developing AM training programs for patients with AUD. Copyright

  19. In Situ Transmission Electron Microscopy Observation of Nanostructural Changes in Phase-Change Memory

    KAUST Repository

    Meister, Stefan; Kim, SangBum; Cha, Judy J.; Wong, H.-S. Philip; Cui, Yi

    2011-01-01

    Phase-change memory (PCM) has been researched extensively as a promising alternative to flash memory. Important studies have focused on its scalability, switching speed, endurance, and new materials. Still, reliability issues and inconsistent

  20. The effect of strategic memory training in older adults: who benefits most?

    Science.gov (United States)

    Rosi, Alessia; Del Signore, Federica; Canelli, Elisa; Allegri, Nicola; Bottiroli, Sara; Vecchi, Tomaso; Cavallini, Elena

    2017-12-07

    Previous research has suggested that there is a degree of variability among older adults' response to memory training, such that some individuals benefit more than others. The aim of the present study was to identify the profile of older adults who were likely to benefit most from a strategic memory training program that has previously proved to be effective in improving memory in healthy older adults. In total, 44 older adults (60-83 years) participated in a strategic memory training. We examined memory training benefits by measuring changes in memory practiced (word list learning) and non-practiced tasks (grocery list and associative learning). In addition, a battery of cognitive measures was administered in order to assess crystallized and fluid abilities, short-term memory, working memory, and processing speed. Results confirmed the efficacy of the training in improving performance in both practiced and non-practiced memory tasks. For the practiced memory tasks, results showed that memory baseline performance and crystallized ability predicted training gains. For the non-practiced memory tasks, analyses showed that memory baseline performance was a significant predictor of gain in the grocery list learning task. For the associative learning task, the significant predictors were memory baseline performance, processing speed, and marginally the age. Our results indicate that older adults with a higher baseline memory capacity and with more efficient cognitive resources were those who tended to benefit most from the training. The present study provides new avenues in designing personalized intervention according to the older adults' cognitive profile.

  1. The Longitudinal Trajectory of Default Mode Network Connectivity in Healthy Older Adults Varies As a Function of Age and Is Associated with Changes in Episodic Memory and Processing Speed.

    Science.gov (United States)

    Staffaroni, Adam M; Brown, Jesse A; Casaletto, Kaitlin B; Elahi, Fanny M; Deng, Jersey; Neuhaus, John; Cobigo, Yann; Mumford, Paige S; Walters, Samantha; Saloner, Rowan; Karydas, Anna; Coppola, Giovanni; Rosen, Howie J; Miller, Bruce L; Seeley, William W; Kramer, Joel H

    2018-03-14

    The default mode network (DMN) supports memory functioning and may be sensitive to preclinical Alzheimer's pathology. Little is known, however, about the longitudinal trajectory of this network's intrinsic functional connectivity (FC). In this study, we evaluated longitudinal FC in 111 cognitively normal older human adults (ages 49-87, 46 women/65 men), 92 of whom had at least three task-free fMRI scans ( n = 353 total scans). Whole-brain FC and three DMN subnetworks were assessed: (1) within-DMN, (2) between anterior and posterior DMN, and (3) between medial temporal lobe network and posterior DMN. Linear mixed-effects models demonstrated significant baseline age × time interactions, indicating a nonlinear trajectory. There was a trend toward increasing FC between ages 50-66 and significantly accelerating declines after age 74. A similar interaction was observed for whole-brain FC. APOE status did not predict baseline connectivity or change in connectivity. After adjusting for network volume, changes in within-DMN connectivity were specifically associated with changes in episodic memory and processing speed but not working memory or executive functions. The relationship with processing speed was attenuated after covarying for white matter hyperintensities (WMH) and whole-brain FC, whereas within-DMN connectivity remained associated with memory above and beyond WMH and whole-brain FC. Whole-brain and DMN FC exhibit a nonlinear trajectory, with more rapid declines in older age and possibly increases in connectivity early in the aging process. Within-DMN connectivity is a marker of episodic memory performance even among cognitively healthy older adults. SIGNIFICANCE STATEMENT Default mode network and whole-brain connectivity, measured using task-free fMRI, changed nonlinearly as a function of age, with some suggestion of early increases in connectivity. For the first time, longitudinal changes in DMN connectivity were shown to correlate with changes in episodic

  2. Exact solutions of Fisher and Burgers equations with finite transport memory

    International Nuclear Information System (INIS)

    Kar, Sandip; Banik, Suman Kumar; Ray, Deb Shankar

    2003-01-01

    The Fisher and Burgers equations with finite memory transport, describing reaction-diffusion and convection-diffusion processes, respectively have recently attracted a lot of attention in the context of chemical kinetics, mathematical biology and turbulence. We show here that they admit exact solutions. While the speed of the travelling wavefront is dependent on the relaxation time in the Fisher equation, memory effects significantly smoothen out the shock wave nature of the Burgers solution, without any influence on the corresponding wave speed. We numerically analyse the ansatz for the exact solution and show that for the reaction-diffusion system the strength of the reaction term must be moderate enough not to exceed a critical limit to allow a travelling wave solution to exist for appreciable finite memory effect

  3. Exact solutions of Fisher and Burgers equations with finite transport memory

    CERN Document Server

    Kar, S; Ray, D S

    2003-01-01

    The Fisher and Burgers equations with finite memory transport, describing reaction-diffusion and convection-diffusion processes, respectively have recently attracted a lot of attention in the context of chemical kinetics, mathematical biology and turbulence. We show here that they admit exact solutions. While the speed of the travelling wavefront is dependent on the relaxation time in the Fisher equation, memory effects significantly smoothen out the shock wave nature of the Burgers solution, without any influence on the corresponding wave speed. We numerically analyse the ansatz for the exact solution and show that for the reaction-diffusion system the strength of the reaction term must be moderate enough not to exceed a critical limit to allow a travelling wave solution to exist for appreciable finite memory effect.

  4. Study of the effect of wind speed on evaporation from soil through integrated modeling of the atmospheric boundary layer and shallow subsurface.

    Science.gov (United States)

    Davarzani, Hossein; Smits, Kathleen; Tolene, Ryan M; Illangasekare, Tissa

    2014-01-01

    In an effort to develop methods based on integrating the subsurface to the atmospheric boundary layer to estimate evaporation, we developed a model based on the coupling of Navier-Stokes free flow and Darcy flow in porous medium. The model was tested using experimental data to study the effect of wind speed on evaporation. The model consists of the coupled equations of mass conservation for two-phase flow in porous medium with single-phase flow in the free-flow domain under nonisothermal, nonequilibrium phase change conditions. In this model, the evaporation rate and soil surface temperature and relative humidity at the interface come directly from the integrated model output. To experimentally validate numerical results, we developed a unique test system consisting of a wind tunnel interfaced with a soil tank instrumented with a network of sensors to measure soil-water variables. Results demonstrated that, by using this coupling approach, it is possible to predict the different stages of the drying process with good accuracy. Increasing the wind speed increases the first stage evaporation rate and decreases the transition time between two evaporative stages (soil water flow to vapor diffusion controlled) at low velocity values; then, at high wind speeds the evaporation rate becomes less dependent on the wind speed. On the contrary, the impact of wind speed on second stage evaporation (diffusion-dominant stage) is not significant. We found that the thermal and solute dispersion in free-flow systems has a significant influence on drying processes from porous media and should be taken into account.

  5. Integrated Analysis of Alzheimer's Disease and Schizophrenia Dataset Revealed Different Expression Pattern in Learning and Memory.

    Science.gov (United States)

    Li, Wen-Xing; Dai, Shao-Xing; Liu, Jia-Qian; Wang, Qian; Li, Gong-Hua; Huang, Jing-Fei

    2016-01-01

    Alzheimer's disease (AD) and schizophrenia (SZ) are both accompanied by impaired learning and memory functions. This study aims to explore the expression profiles of learning or memory genes between AD and SZ. We downloaded 10 AD and 10 SZ datasets from GEO-NCBI for integrated analysis. These datasets were processed using RMA algorithm and a global renormalization for all studies. Then Empirical Bayes algorithm was used to find the differentially expressed genes between patients and controls. The results showed that most of the differentially expressed genes were related to AD whereas the gene expression profile was little affected in the SZ. Furthermore, in the aspects of the number of differentially expressed genes, the fold change and the brain region, there was a great difference in the expression of learning or memory related genes between AD and SZ. In AD, the CALB1, GABRA5, and TAC1 were significantly downregulated in whole brain, frontal lobe, temporal lobe, and hippocampus. However, in SZ, only two genes CRHBP and CX3CR1 were downregulated in hippocampus, and other brain regions were not affected. The effect of these genes on learning or memory impairment has been widely studied. It was suggested that these genes may play a crucial role in AD or SZ pathogenesis. The different gene expression patterns between AD and SZ on learning and memory functions in different brain regions revealed in our study may help to understand the different mechanism between two diseases.

  6. A High-Speed Design of Montgomery Multiplier

    Science.gov (United States)

    Fan, Yibo; Ikenaga, Takeshi; Goto, Satoshi

    With the increase of key length used in public cryptographic algorithms such as RSA and ECC, the speed of Montgomery multiplication becomes a bottleneck. This paper proposes a high speed design of Montgomery multiplier. Firstly, a modified scalable high-radix Montgomery algorithm is proposed to reduce critical path. Secondly, a high-radix clock-saving dataflow is proposed to support high-radix operation and one clock cycle delay in dataflow. Finally, a hardware-reused architecture is proposed to reduce the hardware cost and a parallel radix-16 design of data path is proposed to accelerate the speed. By using HHNEC 0.25μm standard cell library, the implementation results show that the total cost of Montgomery multiplier is 130 KGates, the clock frequency is 180MHz and the throughput of 1024-bit RSA encryption is 352kbps. This design is suitable to be used in high speed RSA or ECC encryption/decryption. As a scalable design, it supports any key-length encryption/decryption up to the size of on-chip memory.

  7. High-speed test of SFQ-shift register files using PTL wiring

    International Nuclear Information System (INIS)

    Fujiwara, K.; Yamashiro, Y.; Yoshikawa, N.; Hashimoto, Y.; Yorozu, S.; Terai, H.; Fujimaki, A.

    2004-01-01

    We have been developing an SFQ shift register memory, which is one candidate to realize high-throughput and high-density superconductive memories. We have modified our memory architecture in order to adapt it to our SFQ microprocessor, CORE1. The new version of the shift register memory is composed of shift registers with non-destructive readout operation, which have an internal feedback. We have also studied the availability of passive transmission line (PTL) wiring in the memory system at high speed. The tested circuit is a 4-byte shift register file, where four kinds of wiring circuits are used between a decoder and shift registers. We have measured the dependences of the DC bias margin on the operating frequency for all wiring methods, and obtained almost the same dependences, which shows the availability of the PTL wiring in the memory system. We have used the NEC 2.5 kA/cm 2 Nb standard process and the CONNECT cell library

  8. Role of Working Memory in Typically Developing Children's Complex Sentence Comprehension

    Science.gov (United States)

    Montgomery, James W.; Magimairaj, Beula M.; O'Malley, Michelle H.

    2008-01-01

    The influence of three mechanisms of working memory (phonological short-term memory (PSTM capacity), attentional resource control/allocation, and processing speed) on children's complex (and simple) sentence comprehension was investigated. Fifty two children (6-12 years) completed a nonword repetition task (indexing PSTM), concurrent verbal…

  9. Distributed Memory Parallel Computing with SEAWAT

    Science.gov (United States)

    Verkaik, J.; Huizer, S.; van Engelen, J.; Oude Essink, G.; Ram, R.; Vuik, K.

    2017-12-01

    Fresh groundwater reserves in coastal aquifers are threatened by sea-level rise, extreme weather conditions, increasing urbanization and associated groundwater extraction rates. To counteract these threats, accurate high-resolution numerical models are required to optimize the management of these precious reserves. The major model drawbacks are long run times and large memory requirements, limiting the predictive power of these models. Distributed memory parallel computing is an efficient technique for reducing run times and memory requirements, where the problem is divided over multiple processor cores. A new Parallel Krylov Solver (PKS) for SEAWAT is presented. PKS has recently been applied to MODFLOW and includes Conjugate Gradient (CG) and Biconjugate Gradient Stabilized (BiCGSTAB) linear accelerators. Both accelerators are preconditioned by an overlapping additive Schwarz preconditioner in a way that: a) subdomains are partitioned using Recursive Coordinate Bisection (RCB) load balancing, b) each subdomain uses local memory only and communicates with other subdomains by Message Passing Interface (MPI) within the linear accelerator, c) it is fully integrated in SEAWAT. Within SEAWAT, the PKS-CG solver replaces the Preconditioned Conjugate Gradient (PCG) solver for solving the variable-density groundwater flow equation and the PKS-BiCGSTAB solver replaces the Generalized Conjugate Gradient (GCG) solver for solving the advection-diffusion equation. PKS supports the third-order Total Variation Diminishing (TVD) scheme for computing advection. Benchmarks were performed on the Dutch national supercomputer (https://userinfo.surfsara.nl/systems/cartesius) using up to 128 cores, for a synthetic 3D Henry model (100 million cells) and the real-life Sand Engine model ( 10 million cells). The Sand Engine model was used to investigate the potential effect of the long-term morphological evolution of a large sand replenishment and climate change on fresh groundwater resources

  10. Associative Memory computing power and its simulation.

    CERN Document Server

    Volpi, G; The ATLAS collaboration

    2014-01-01

    The associative memory (AM) chip is ASIC device specifically designed to perform ``pattern matching'' at very high speed and with parallel access to memory locations. The most extensive use for such device will be the ATLAS Fast Tracker (FTK) processor, where more than 8000 chips will be installed in 128 VME boards, specifically designed for high throughput in order to exploit the chip's features. Each AM chip will store a database of about 130000 pre-calculated patterns, allowing FTK to use about 1 billion patterns for the whole system, with any data inquiry broadcast to all memory elements simultaneously within the same clock cycle (10 ns), thus data retrieval time is independent of the database size. Speed and size of the system are crucial for real-time High Energy Physics applications, such as the ATLAS FTK processor. Using 80 million channels of the ATLAS tracker, FTK finds tracks within 100 $\\mathrm{\\mu s}$. The simulation of such a parallelized system is an extremely complex task when executed in comm...

  11. Cue integration vs. exemplar-based reasoning in multi-attribute decisions from memory

    Directory of Open Access Journals (Sweden)

    Arndt Broeder

    2010-08-01

    Full Text Available Inferences about target variables can be achieved by deliberate integration of probabilistic cues or by retrieving similar cue-patterns (exemplars from memory. In tasks with cue information presented in on-screen displays, rule-based strategies tend to dominate unless the abstraction of cue-target relations is unfeasible. This dominance has also been demonstrated --- surprisingly --- in experiments that demanded the retrieval of cue values from memory (M. Persson and J. Rieskamp, 2009. In three modified replications involving a fictitious disease, binary cue values were represented either by alternative symptoms (e.g., fever vs. hypothermia or by symptom presence vs. absence (e.g., fever vs. no fever. The former representation might hinder cue abstraction. The cues were predictive of the severity of the disease, and participants had to infer in each trial who of two patients was sicker. Both experiments replicated the rule-dominance with present-absent cues but yielded higher percentages of exemplar-based strategies with alternative cues. The experiments demonstrate that a change in cue representation may induce a dramatic shift from rule-based to exemplar-based reasoning in formally identical tasks.

  12. Developmental gains in visuospatial memory predict gains in mathematics achievement.

    Directory of Open Access Journals (Sweden)

    Yaoran Li

    Full Text Available Visuospatial competencies are related to performance in mathematical domains in adulthood, but are not consistently related to mathematics achievement in children. We confirmed the latter for first graders and demonstrated that children who show above average first-to-fifth grade gains in visuospatial memory have an advantage over other children in mathematics. The study involved the assessment of the mathematics and reading achievement of 177 children in kindergarten to fifth grade, inclusive, and their working memory capacity and processing speed in first and fifth grade. Intelligence was assessed in first grade and their second to fourth grade teachers reported on their in-class attentive behavior. Developmental gains in visuospatial memory span (d = 2.4 were larger than gains in the capacity of the central executive (d = 1.6 that in turn were larger than gains in phonological memory span (d = 1.1. First to fifth grade gains in visuospatial memory and in speed of numeral processing predicted end of fifth grade mathematics achievement, as did first grade central executive scores, intelligence, and in-class attentive behavior. The results suggest there are important individual differences in the rate of growth of visuospatial memory during childhood and that these differences become increasingly important for mathematics learning.

  13. Developmental gains in visuospatial memory predict gains in mathematics achievement.

    Science.gov (United States)

    Li, Yaoran; Geary, David C

    2013-01-01

    Visuospatial competencies are related to performance in mathematical domains in adulthood, but are not consistently related to mathematics achievement in children. We confirmed the latter for first graders and demonstrated that children who show above average first-to-fifth grade gains in visuospatial memory have an advantage over other children in mathematics. The study involved the assessment of the mathematics and reading achievement of 177 children in kindergarten to fifth grade, inclusive, and their working memory capacity and processing speed in first and fifth grade. Intelligence was assessed in first grade and their second to fourth grade teachers reported on their in-class attentive behavior. Developmental gains in visuospatial memory span (d = 2.4) were larger than gains in the capacity of the central executive (d = 1.6) that in turn were larger than gains in phonological memory span (d = 1.1). First to fifth grade gains in visuospatial memory and in speed of numeral processing predicted end of fifth grade mathematics achievement, as did first grade central executive scores, intelligence, and in-class attentive behavior. The results suggest there are important individual differences in the rate of growth of visuospatial memory during childhood and that these differences become increasingly important for mathematics learning.

  14. Developmental Gains in Visuospatial Memory Predict Gains in Mathematics Achievement

    Science.gov (United States)

    Li, Yaoran; Geary, David C.

    2013-01-01

    Visuospatial competencies are related to performance in mathematical domains in adulthood, but are not consistently related to mathematics achievement in children. We confirmed the latter for first graders and demonstrated that children who show above average first-to-fifth grade gains in visuospatial memory have an advantage over other children in mathematics. The study involved the assessment of the mathematics and reading achievement of 177 children in kindergarten to fifth grade, inclusive, and their working memory capacity and processing speed in first and fifth grade. Intelligence was assessed in first grade and their second to fourth grade teachers reported on their in-class attentive behavior. Developmental gains in visuospatial memory span (d = 2.4) were larger than gains in the capacity of the central executive (d = 1.6) that in turn were larger than gains in phonological memory span (d = 1.1). First to fifth grade gains in visuospatial memory and in speed of numeral processing predicted end of fifth grade mathematics achievement, as did first grade central executive scores, intelligence, and in-class attentive behavior. The results suggest there are important individual differences in the rate of growth of visuospatial memory during childhood and that these differences become increasingly important for mathematics learning. PMID:23936154

  15. Impact of continuing scaling on the device performance of 3D cylindrical junction-less charge trapping memory

    International Nuclear Information System (INIS)

    Li Xinkai; Huo Zongliang; Jin Lei; Jiang Dandan; Hong Peizhen; Xu Qiang; Tang Zhaoyun; Li Chunlong; Ye Tianchun

    2015-01-01

    This work presents a comprehensive analysis of 3D cylindrical junction-less charge trapping memory device performance regarding continuous scaling of the structure dimensions. The key device performance, such as program/erase speed, vertical charge loss, and lateral charge migration under high temperature are intensively studied using the Sentaurus 3D device simulator. Although scaling of channel radius is beneficial for operation speed improvement, it leads to a retention challenge due to vertical leakage, especially enhanced charge loss through TPO. Scaling of gate length not only decreases the program/erase speed but also leads to worse lateral charge migration. Scaling of spacer length is critical for the interference of adjacent cells and should be carefully optimized according to specific cell operation conditions. The gate stack shape is also found to be an important factor affecting the lateral charge migration. Our results provide guidance for high density and high reliability 3D CTM integration. (paper)

  16. Theta Neurofeedback Effects on Motor Memory Consolidation and Performance Accuracy: An Apparent Paradox?

    Science.gov (United States)

    Reiner, Miriam; Lev, Dror D; Rosen, Amit

    2018-05-15

    Previous studies have shown that theta neurofeedback enhances motor memory consolidation on an easy-to-learn finger-tapping task. However, the simplicity of the finger-tapping task precludes evaluating the putative effects of elevated theta on performance accuracy. Mastering a motor sequence is classically assumed to entail faster performance with fewer errors. The speed-accuracy tradeoff (SAT) principle states that as action speed increases, motor performance accuracy decreases. The current study investigated whether theta neurofeedback could improve both performance speed and performance accuracy, or would only enhance performance speed at the cost of reduced accuracy. A more complex task was used to study the effects of parietal elevated theta on 45 healthy volunteers The findings confirmed previous results on the effects of theta neurofeedback on memory consolidation. In contrast to the two control groups, in the theta-neurofeedback group the speed-accuracy tradeoff was reversed. The speed-accuracy tradeoff patterns only stabilized after a night's sleep implying enhancement in terms of both speed and accuracy. Copyright © 2017 IBRO. Published by Elsevier Ltd. All rights reserved.

  17. Rotor-bearing system integrated with shape memory alloy springs for ensuring adaptable dynamics and damping enhancement-Theory and experiment

    DEFF Research Database (Denmark)

    Enemark, Søren; Santos, Ilmar F.

    2016-01-01

    nonlinear coupled dynamics of the rotor-bearing system. The nonlinear forces from the thermomechanical shape memory alloy springs and from the passive magnetic bearings are coupled to the rotor and bearing housing dynamics. The equations of motion describing rotor tilt and bearing housing lateral motion......Helical pseudoelastic shape memory alloy (SMA) springs are integrated into a dynamic system consisting of a rigid rotor supported by passive magnetic bearings. The aim is to determine the utility of SMAs for vibration attenuation via their mechanical hysteresis, and for adaptation of the dynamic...

  18. The cognitive effects of listening to background music on older adults: Processing speed improves with upbeat music, while memory seems to benefit from both upbeat and downbeat music.

    OpenAIRE

    Sara eBottiroli; Alessia eRosi; Riccardo eRusso; Riccardo eRusso; Tomaso eVecchi; Tomaso eVecchi; Elena eCavallini

    2014-01-01

    Background music refers to any music played while the listener is performing another activity. Most studies on this effect have been conducted on young adults, while little attention has been paid to the presence of this effect in older adults. Hence, this study aimed to address this imbalance by assessing the impact of different types of background music on cognitive tasks tapping declarative memory and processing speed in older adults. Overall, background music tended to improve performa...

  19. The cognitive effects of listening to background music on older adults: processing speed improves with upbeat music, while memory seems to benefit from both upbeat and downbeat music

    OpenAIRE

    Bottiroli, Sara; Rosi, Alessia; Russo, Riccardo; Vecchi, Tomaso; Cavallini, Elena

    2014-01-01

    Background music refers to any music played while the listener is performing another activity. Most studies on this effect have been conducted on young adults, while little attention has been paid to the presence of this effect in older adults. Hence, this study aimed to address this imbalance by assessing the impact of different types of background music on cognitive tasks tapping declarative memory and processing speed in older adults. Overall, background music tended to improve performance...

  20. Quantum memory for superconducting qubits

    International Nuclear Information System (INIS)

    Pritchett, Emily J.; Geller, Michael R.

    2005-01-01

    Many protocols for quantum computation require a memory element to store qubits. We discuss the speed and accuracy with which quantum states prepared in a superconducting qubit can be stored in and later retrieved from an attached high-Q resonator. The memory fidelity depends on both the qubit-resonator coupling strength and the location of the state on the Bloch sphere. Our results show that a quantum memory demonstration should be possible with existing superconducting qubit designs, which would be an important milestone in solid-state quantum information processing. Although we specifically focus on a large-area, current-biased Josesphson-junction phase qubit coupled to the dilatational mode of a piezoelectric nanoelectromechanical disk resonator, many of our results will apply to other qubit-oscillator models

  1. Memory skills of deaf learners: implications and applications.

    Science.gov (United States)

    Hamilton, Harley

    2011-01-01

    The author reviews research on working memory and short-term memory abilities of deaf individuals, delineating strengths and weaknesses. Among the areas of weakness that are reviewed are sequential recall, processing speed, attention, and memory load. Areas of strengths include free recall, visuospatial recall, imagery, and dual encoding. Phonological encoding and rehearsal appear to be strengths when these strategies are employed. The implications of the strengths and weaknesses for language learning and educational achievement are discussed. Research questions are posed, and remedial and compensatory classroom applications are suggested.

  2. Short-Term Memory Limitations in Children: Capacity or Processing Deficits?

    Science.gov (United States)

    Chi, Michelene T. H.

    1976-01-01

    Evaluates the assertion that short-term memory (STM) capacity increases with age and concludes that the STM capacity limitation in children is due to the deficits in the processing strategies and speeds, which presumably improve with age through cumulative learning. (JM) Available from: Memory and Cognition, Psychonomic Society, 1018 West 34…

  3. Working Memory, Reasoning, and Task Switching Training: Transfer Effects, Limitations, and Great Expectations?

    Science.gov (United States)

    Baniqued, Pauline L; Allen, Courtney M; Kranz, Michael B; Johnson, Kathryn; Sipolins, Aldis; Dickens, Charles; Ward, Nathan; Geyer, Alexandra; Kramer, Arthur F

    2015-01-01

    Although some studies have shown that cognitive training can produce improvements to untrained cognitive domains (far transfer), many others fail to show these effects, especially when it comes to improving fluid intelligence. The current study was designed to overcome several limitations of previous training studies by incorporating training expectancy assessments, an active control group, and "Mind Frontiers," a video game-based mobile program comprised of six adaptive, cognitively demanding training tasks that have been found to lead to increased scores in fluid intelligence (Gf) tests. We hypothesize that such integrated training may lead to broad improvements in cognitive abilities by targeting aspects of working memory, executive function, reasoning, and problem solving. Ninety participants completed 20 hour-and-a-half long training sessions over four to five weeks, 45 of whom played Mind Frontiers and 45 of whom completed visual search and change detection tasks (active control). After training, the Mind Frontiers group improved in working memory n-back tests, a composite measure of perceptual speed, and a composite measure of reaction time in reasoning tests. No training-related improvements were found in reasoning accuracy or other working memory tests, nor in composite measures of episodic memory, selective attention, divided attention, and multi-tasking. Perceived self-improvement in the tested abilities did not differ between groups. A general expectancy difference in problem-solving was observed between groups, but this perceived benefit did not correlate with training-related improvement. In summary, although these findings provide modest evidence regarding the efficacy of an integrated cognitive training program, more research is needed to determine the utility of Mind Frontiers as a cognitive training tool.

  4. Working Memory, Reasoning, and Task Switching Training: Transfer Effects, Limitations, and Great Expectations?

    Science.gov (United States)

    Baniqued, Pauline L.; Ward, Nathan; Geyer, Alexandra; Kramer, Arthur F.

    2015-01-01

    Although some studies have shown that cognitive training can produce improvements to untrained cognitive domains (far transfer), many others fail to show these effects, especially when it comes to improving fluid intelligence. The current study was designed to overcome several limitations of previous training studies by incorporating training expectancy assessments, an active control group, and “Mind Frontiers,” a video game-based mobile program comprised of six adaptive, cognitively demanding training tasks that have been found to lead to increased scores in fluid intelligence (Gf) tests. We hypothesize that such integrated training may lead to broad improvements in cognitive abilities by targeting aspects of working memory, executive function, reasoning, and problem solving. Ninety participants completed 20 hour-and-a-half long training sessions over four to five weeks, 45 of whom played Mind Frontiers and 45 of whom completed visual search and change detection tasks (active control). After training, the Mind Frontiers group improved in working memory n-back tests, a composite measure of perceptual speed, and a composite measure of reaction time in reasoning tests. No training-related improvements were found in reasoning accuracy or other working memory tests, nor in composite measures of episodic memory, selective attention, divided attention, and multi-tasking. Perceived self-improvement in the tested abilities did not differ between groups. A general expectancy difference in problem-solving was observed between groups, but this perceived benefit did not correlate with training-related improvement. In summary, although these findings provide modest evidence regarding the efficacy of an integrated cognitive training program, more research is needed to determine the utility of Mind Frontiers as a cognitive training tool. PMID:26555341

  5. Working Memory, Reasoning, and Task Switching Training: Transfer Effects, Limitations, and Great Expectations?

    Directory of Open Access Journals (Sweden)

    Pauline L Baniqued

    Full Text Available Although some studies have shown that cognitive training can produce improvements to untrained cognitive domains (far transfer, many others fail to show these effects, especially when it comes to improving fluid intelligence. The current study was designed to overcome several limitations of previous training studies by incorporating training expectancy assessments, an active control group, and "Mind Frontiers," a video game-based mobile program comprised of six adaptive, cognitively demanding training tasks that have been found to lead to increased scores in fluid intelligence (Gf tests. We hypothesize that such integrated training may lead to broad improvements in cognitive abilities by targeting aspects of working memory, executive function, reasoning, and problem solving. Ninety participants completed 20 hour-and-a-half long training sessions over four to five weeks, 45 of whom played Mind Frontiers and 45 of whom completed visual search and change detection tasks (active control. After training, the Mind Frontiers group improved in working memory n-back tests, a composite measure of perceptual speed, and a composite measure of reaction time in reasoning tests. No training-related improvements were found in reasoning accuracy or other working memory tests, nor in composite measures of episodic memory, selective attention, divided attention, and multi-tasking. Perceived self-improvement in the tested abilities did not differ between groups. A general expectancy difference in problem-solving was observed between groups, but this perceived benefit did not correlate with training-related improvement. In summary, although these findings provide modest evidence regarding the efficacy of an integrated cognitive training program, more research is needed to determine the utility of Mind Frontiers as a cognitive training tool.

  6. Gray matter volume covariance patterns associated with gait speed in older adults: a multi-cohort MRI study.

    Science.gov (United States)

    Blumen, Helena M; Brown, Lucy L; Habeck, Christian; Allali, Gilles; Ayers, Emmeline; Beauchet, Olivier; Callisaya, Michele; Lipton, Richard B; Mathuranath, P S; Phan, Thanh G; Pradeep Kumar, V G; Srikanth, Velandai; Verghese, Joe

    2018-04-09

    Accelerated gait decline in aging is associated with many adverse outcomes, including an increased risk for falls, cognitive decline, and dementia. Yet, the brain structures associated with gait speed, and how they relate to specific cognitive domains, are not well-understood. We examined structural brain correlates of gait speed, and how they relate to processing speed, executive function, and episodic memory in three non-demented and community-dwelling older adult cohorts (Overall N = 352), using voxel-based morphometry and multivariate covariance-based statistics. In all three cohorts, we identified gray matter volume covariance patterns associated with gait speed that included brain stem, precuneus, fusiform, motor, supplementary motor, and prefrontal (particularly ventrolateral prefrontal) cortex regions. Greater expression of these gray matter volume covariance patterns linked to gait speed were associated with better processing speed in all three cohorts, and with better executive function in one cohort. These gray matter covariance patterns linked to gait speed were not associated with episodic memory in any of the cohorts. These findings suggest that gait speed, processing speed (and to some extent executive functions) rely on shared neural systems that are subject to age-related and dementia-related change. The implications of these findings are discussed within the context of the development of interventions to compensate for age-related gait and cognitive decline.

  7. Language, aging, and cognition: frontal aslant tract and superior longitudinal fasciculus contribute toward working memory performance in older adults.

    Science.gov (United States)

    Rizio, Avery A; Diaz, Michele T

    2016-06-15

    Previous research has documented change in white matter tract integrity with increasing age. Both interhemispheric and intrahemispheric tracts that underlie language processing are susceptible to these age-related changes. The aim of the current study was to explore age and white matter integrity in language-related tracts as predictors of cognitive task performance in younger and older adults. To this end, we carried out principal component analyses of white matter tracts and confirmatory factor analysis of neuropsychological measures. We next carried out a series of regression analyses that used white matter components to predict scores on each of the neuropsychological components. For both younger and older adults, age was a significant predictor of processing speed and working memory. However, white matter integrity did not contribute independently toward these models. In older adults only, both age and a white matter component that included the bilateral frontal aslant tract and left superior longitudinal fasciculus were significant predictors of working memory. Taken together, these results extend our understanding of the contributions of language-related white matter structure to cognitive processing and highlight the effects of age-related differences in both frontal and dorsal tracts.

  8. A semi-floating gate memory based on van der Waals heterostructures for quasi-non-volatile applications.

    Science.gov (United States)

    Liu, Chunsen; Yan, Xiao; Song, Xiongfei; Ding, Shijin; Zhang, David Wei; Zhou, Peng

    2018-04-09

    As conventional circuits based on field-effect transistors are approaching their physical limits due to quantum phenomena, semi-floating gate transistors have emerged as an alternative ultrafast and silicon-compatible technology. Here, we show a quasi-non-volatile memory featuring a semi-floating gate architecture with band-engineered van der Waals heterostructures. This two-dimensional semi-floating gate memory demonstrates 156 times longer refresh time with respect to that of dynamic random access memory and ultrahigh-speed writing operations on nanosecond timescales. The semi-floating gate architecture greatly enhances the writing operation performance and is approximately 10 6 times faster than other memories based on two-dimensional materials. The demonstrated characteristics suggest that the quasi-non-volatile memory has the potential to bridge the gap between volatile and non-volatile memory technologies and decrease the power consumption required for frequent refresh operations, enabling a high-speed and low-power random access memory.

  9. Multisensor satellite data integration for sea surface wind speed and direction determination

    Science.gov (United States)

    Glackin, D. L.; Pihos, G. G.; Wheelock, S. L.

    1984-01-01

    Techniques to integrate meteorological data from various satellite sensors to yield a global measure of sea surface wind speed and direction for input to the Navy's operational weather forecast models were investigated. The sensors were launched or will be launched, specifically the GOES visible and infrared imaging sensor, the Nimbus-7 SMMR, and the DMSP SSM/I instrument. An algorithm for the extrapolation to the sea surface of wind directions as derived from successive GOES cloud images was developed. This wind veering algorithm is relatively simple, accounts for the major physical variables, and seems to represent the best solution that can be found with existing data. An algorithm for the interpolation of the scattered observed data to a common geographical grid was implemented. The algorithm is based on a combination of inverse distance weighting and trend surface fitting, and is suited to combing wind data from disparate sources.

  10. Purchasing power parity: Evidence of long memory processes and fractional integration

    Directory of Open Access Journals (Sweden)

    Nadhem Selmi

    2015-07-01

    Full Text Available The Purchasing Power Parity (PPP theory, which serves as a key to the determination of several models of exchange rates, suggests a long-term relationship between exchange rates and relative prices. It states that the price levels in all the countries are the same when measured in terms of a single currency. The purpose of this study is to model the behavior of the exchange rates of five partner countries of Tunisia, namely, (Germany, the United States, France, Italy, the UK, Morocco and Libya relative to its fundamentals over the period 1990-1999. Beyond the traditional linear cointegration, we use the approaches based on fractional cointegration. We are trying to discriminate between the adjustment dynamics with long memory (but linear and a dynamics of a short memory (nonlinear. Given the important role of the exchange rates in the successful experience of open economies, we are interested, in this work, in analyzing the dynamics of the exchange rates in the long run. The econometric results obtained through the GPH tests, make us consider the PPP as an event in the long run if significant short-term deviations from the PPP cannot exist. Therefore, the analysis of the fractional cointegration makes the deviations, regarding equilibrium, follow a slightly integrated process and therefore capture a much wider group of research parity or mean-reverting behavior.

  11. The neurokinin-3 receptor agonist senktide facilitates the integration of memories for object, place and temporal order into episodic memory.

    Science.gov (United States)

    Chao, Owen Y; Nikolaus, Susanne; Huston, Joseph P; de Souza Silva, Maria A

    2014-10-01

    Senktide, a potent neurokinin-3 receptor (NK3-R) agonist, has been shown to have promnestic effects in adult and aged rodents and to facilitate episodic-like memory (ELM) in mice when administrated before the learning trial. In the present study we assessed the effects of senktide on memory consolidation by administering it post-trial (after the learning trial) in adult rats. We applied an ELM test, based on the integrated memory for object, place and temporal order, which we developed (Kart-Teke, de Souza Silva, Huston, & Dere, 2006). This test involves two learning trials and one test trial. We examined intervals of 1h and 23 h between the learning and test trials (experiment 1) in untreated animals and found that they exhibited intact ELM after a delay of 1 h, but not 23 h. In another test for ELM performed 7 days later, vehicle or senktide (0.2 mg/kg, s.c.) was applied immediately after the second learning trial and the test was conducted 23 h later (experiment 2). Senktide treatment recovered components of ELM (memory for place and object) compared with vehicle-treated animals. After one more week, vehicle or senktide (0.2 mg/kg, s.c.) was applied post-trial and the test conducted 6h later (experiment 3). The senktide-treated group exhibited intact ELM, unlike the vehicle-treated group. Finally, animals received post-trial treatment with either vehicle or SR142801, a selective NK3-R antagonist (6 mg/kg, i.p.), 1 min before senktide injection (0.2 mg/kg, s.c.) in the ELM paradigm and were tested 6h later (experiment 4). The vehicle+senktide group showed intact ELM, while the SR142801+senktide group did not. The results indicate that senktide facilitated the consolidation or the expression of ELM and that the senktide effect was NK3-R dependent. Copyright © 2014 Elsevier Inc. All rights reserved.

  12. Clinical Perspectives on Autobiographical Memory

    DEFF Research Database (Denmark)

    Autobiographical memory plays a key role in psychological well-being, and the field has been investigated from multiple perspectives for more than thirty years. One large body of research has examined the basic mechanisms and characteristics of autobiographical memory during general cognition......, and another body has studied what happens to it during psychological disorders, and how psychological therapies targeting memory disturbances can improve psychological well-being. This edited collection reviews and integrates current theories on autobiographical memory when viewed in a clinical perspective....... It presents an overview of basic applied and clinical approaches to autobiographical memory, covering memory specificity, traumatic memories, involuntary and intrusive memories, and the role of self-identity. The book discusses a wide range of psychological disorders, including depression, posttraumatic...

  13. Memory dynamics under stress.

    Science.gov (United States)

    Quaedflieg, Conny W E M; Schwabe, Lars

    2018-03-01

    Stressful events have a major impact on memory. They modulate memory formation in a time-dependent manner, closely linked to the temporal profile of action of major stress mediators, in particular catecholamines and glucocorticoids. Shortly after stressor onset, rapidly acting catecholamines and fast, non-genomic glucocorticoid actions direct cognitive resources to the processing and consolidation of the ongoing threat. In parallel, control of memory is biased towards rather rigid systems, promoting habitual forms of memory allowing efficient processing under stress, at the expense of "cognitive" systems supporting memory flexibility and specificity. In this review, we discuss the implications of this shift in the balance of multiple memory systems for the dynamics of the memory trace. Specifically, stress appears to hinder the incorporation of contextual details into the memory trace, to impede the integration of new information into existing knowledge structures, to impair the flexible generalisation across past experiences, and to hamper the modification of memories in light of new information. Delayed, genomic glucocorticoid actions might reverse the control of memory, thus restoring homeostasis and "cognitive" control of memory again.

  14. A Walk down Memory Lane: On the Relationship between Autobiographical Memories and Outdoor Activities

    Science.gov (United States)

    Gibson, Joe; Nicholas, Jude

    2018-01-01

    This article highlights a theoretical and practical framework for integrating the neuropsychological concept of autobiographical memory with the experiential learning that takes place in the outdoors. Autobiographical memories, our recollections of specific, personal events, are constructed through a personal narrative process; the way we choose…

  15. High power CO2 laser development with AOM integration for ultra high-speed pulses

    Science.gov (United States)

    Bohrer, Markus; Vaupel, Matthias; Nirnberger, Robert; Weinberger, Bernhard; Jamalieh, Murad

    2017-01-01

    There is a 500 billion USD world market for packaging expected to grow to a trillion in 2030. Austria plays an important role world wide for high speed laser engraving applications — especially when it comes to high end solutions. Such high end solutions are fundamental for the production of print forms for the packaging and decorating industry (e. g. cans). They are additionally used for security applications (e. g. for printing banknotes), for the textile printing industry and for creating embossing forms (e. g. for the production of dashboards in the automotive industry). High speed, high precision laser engraving needs laser resonators with very stable laser beams (400 - 800W) especially in combination with AOMs. Based upon a unique carbon fiber structure - stable within the sub-micrometer range - a new resonator has been developed, accompanied by most recent thermo-mechanical FEM calculations. The resulting beam is evaluated on an automated optical bench using hexapods, allowing to optimize the complete beam path with collimators and AOM. The major steps related to laser engraving of dry offset printing plates during the full workflow from the artists design to the printed result on an aluminum can is presented in this paper as well as laser characteristics, AOM integration and correlative CLSM and SEM investigation of the results.

  16. High-speed Integrated Circuits for electrical/Optical Interfaces

    DEFF Research Database (Denmark)

    Jespersen, Christoffer Felix

    2008-01-01

    This thesis is a continuation of the effort to increase the bandwidth of communicationnetworks. The thesis presents the results of the design of several high-speed electrical ircuits for an electrical/optical interface. These circuits have been a contribution to the ESTA project in collaboration...... circuits at the receiver interface, though VCOs are also found in the transmitter where a multitude of independent sources have to be mutually synchronized before multiplexing. The circuits are based on an InP DHBT process (VIP-2) supplied by Vitesse and made publicly available as MPW. The VIP-2 process...... represents the avant-garde of InP technology, with ft and fmax well above 300 GHz. Principles of high speed design are presented and described as a useful background before proceeding to circuits. A static divider is used as an example to illustrate many of the design principles. Theory and fundamentals...

  17. Attentional selection in visual perception, memory and action: a quest for cross-domain integration.

    Science.gov (United States)

    Schneider, Werner X; Einhäuser, Wolfgang; Horstmann, Gernot

    2013-10-19

    For decades, the cognitive and neural sciences have benefitted greatly from a separation of mind and brain into distinct functional domains. The tremendous success of this approach notwithstanding, it is self-evident that such a view is incomplete. Goal-directed behaviour of an organism requires the joint functioning of perception, memory and sensorimotor control. A prime candidate for achieving integration across these functional domains are attentional processes. Consequently, this Theme Issue brings together studies of attentional selection from many fields, both experimental and theoretical, that are united in their quest to find overreaching integrative principles of attention between perception, memory and action. In all domains, attention is understood as combination of competition and priority control ('bias'), with the task as a decisive driving factor to ensure coherent goal-directed behaviour and cognition. Using vision as the predominant model system for attentional selection, many studies of this Theme Issue focus special emphasis on eye movements as a selection process that is both a fundamental action and serves a key function in perception. The Theme Issue spans a wide range of methods, from measuring human behaviour in the real word to recordings of single neurons in the non-human primate brain. We firmly believe that combining such a breadth in approaches is necessary not only for attentional selection, but also to take the next decisive step in all of the cognitive and neural sciences: to understand cognition and behaviour beyond isolated domains.

  18. Attentional selection in visual perception, memory and action: a quest for cross-domain integration

    Science.gov (United States)

    Schneider, Werner X.; Einhäuser, Wolfgang; Horstmann, Gernot

    2013-01-01

    For decades, the cognitive and neural sciences have benefitted greatly from a separation of mind and brain into distinct functional domains. The tremendous success of this approach notwithstanding, it is self-evident that such a view is incomplete. Goal-directed behaviour of an organism requires the joint functioning of perception, memory and sensorimotor control. A prime candidate for achieving integration across these functional domains are attentional processes. Consequently, this Theme Issue brings together studies of attentional selection from many fields, both experimental and theoretical, that are united in their quest to find overreaching integrative principles of attention between perception, memory and action. In all domains, attention is understood as combination of competition and priority control (‘bias’), with the task as a decisive driving factor to ensure coherent goal-directed behaviour and cognition. Using vision as the predominant model system for attentional selection, many studies of this Theme Issue focus special emphasis on eye movements as a selection process that is both a fundamental action and serves a key function in perception. The Theme Issue spans a wide range of methods, from measuring human behaviour in the real word to recordings of single neurons in the non-human primate brain. We firmly believe that combining such a breadth in approaches is necessary not only for attentional selection, but also to take the next decisive step in all of the cognitive and neural sciences: to understand cognition and behaviour beyond isolated domains. PMID:24018715

  19. Small Acute Benefits of 4 Weeks Processing Speed Training Games on Processing Speed and Inhibition Performance and Depressive Mood in the Healthy Elderly People: Evidence from a Randomized Control Trial.

    Science.gov (United States)

    Nouchi, Rui; Saito, Toshiki; Nouchi, Haruka; Kawashima, Ryuta

    2016-01-01

    Background: Processing speed training using a 1-year intervention period improves cognitive functions and emotional states of elderly people. Nevertheless, it remains unclear whether short-term processing speed training such as 4 weeks can benefit elderly people. This study was designed to investigate effects of 4 weeks of processing speed training on cognitive functions and emotional states of elderly people. Methods: We used a single-blinded randomized control trial (RCT). Seventy-two older adults were assigned randomly to two groups: a processing speed training game (PSTG) group and knowledge quiz training game (KQTG) group, an active control group. In PSTG, participants were asked to play PSTG (12 processing speed games) for 15 min, during five sessions per week, for 4 weeks. In the KQTG group, participants were asked to play KQTG (four knowledge quizzes) for 15 min, during five sessions per week, for 4 weeks. We measured several cognitive functions and emotional states before and after the 4 week intervention period. Results: Our results revealed that PSTG improved performances in processing speed and inhibition compared to KQTG, but did not improve performance in reasoning, shifting, short term/working memory, and episodic memory. Moreover, PSTG reduced the depressive mood score as measured by the Profile of Mood State compared to KQTG during the 4 week intervention period, but did not change other emotional measures. Discussion: This RCT first provided scientific evidence related to small acute benefits of 4 week PSTG on processing speed, inhibition, and depressive mood in healthy elderly people. We discuss possible mechanisms for improvements in processing speed and inhibition and reduction of the depressive mood. Trial registration: This trial was registered in The University Hospital Medical Information Network Clinical Trials Registry (UMIN000022250).

  20. Tailor-made memory: natural differences in associative olfactory learning in two closely related wasp species

    NARCIS (Netherlands)

    Berg, van den M.

    2009-01-01

    Learning and memory formation are often seen as traits that are purely beneficial, but they are associated with metabolic costs as well. Since costs and gains of learning and memory are expected to vary between species, the ease and speed with which stable (consolidated) long-term memory (LTM) is

  1. Neuroimaging markers associated with maintenance of optimal memory performance in late-life.

    Science.gov (United States)

    Dekhtyar, Maria; Papp, Kathryn V; Buckley, Rachel; Jacobs, Heidi I L; Schultz, Aaron P; Johnson, Keith A; Sperling, Reisa A; Rentz, Dorene M

    2017-06-01

    Age-related memory decline has been well-documented; however, some individuals reach their 8th-10th decade while maintaining strong memory performance. To determine which demographic and biomarker factors differentiated top memory performers (aged 75+, top 20% for memory) from their peers and whether top memory performance was maintained over 3 years. Clinically normal adults (n=125, CDR=0; age: 79.5±3.57 years) from the Harvard Aging Brain Study underwent cognitive testing and neuroimaging (amyloid PET, MRI) at baseline and 3-year follow-up. Participants were grouped into Optimal (n=25) vs. Typical (n=100) performers using performance on 3 challenging memory measures. Non-parametric tests were used to compare groups. There were no differences in age, sex, or education between Optimal vs. Typical performers. The Optimal group performed better in Processing Speed (p=0.016) and Executive Functioning (pmemory performance while 7 declined. Non-Maintainers additionally declined in Executive Functioning but not Processing Speed. Longitudinally, there were no hippocampal volume differences between Maintainers and Non-Maintainers, however Non-Maintainers exhibited higher amyloid burden at baseline in contrast with Maintainers (p=0.008). Excellent memory performance in late life does not guarantee protection against cognitive decline. Those who maintain an optimal memory into the 8th and 9th decades may have lower levels of AD pathology. Copyright © 2017. Published by Elsevier Ltd.

  2. Human Learning and Memory

    Science.gov (United States)

    Lieberman, David A.

    2012-01-01

    This innovative textbook is the first to integrate learning and memory, behaviour, and cognition. It focuses on fascinating human research in both memory and learning (while also bringing in important animal studies) and brings the reader up to date with the latest developments in the subject. Students are encouraged to think critically: key…

  3. Hierarchical process memory: memory as an integral component of information processing

    Science.gov (United States)

    Hasson, Uri; Chen, Janice; Honey, Christopher J.

    2015-01-01

    Models of working memory commonly focus on how information is encoded into and retrieved from storage at specific moments. However, in the majority of real-life processes, past information is used continuously to process incoming information across multiple timescales. Considering single unit, electrocorticography, and functional imaging data, we argue that (i) virtually all cortical circuits can accumulate information over time, and (ii) the timescales of accumulation vary hierarchically, from early sensory areas with short processing timescales (tens to hundreds of milliseconds) to higher-order areas with long processing timescales (many seconds to minutes). In this hierarchical systems perspective, memory is not restricted to a few localized stores, but is intrinsic to information processing that unfolds throughout the brain on multiple timescales. “The present contains nothing more than the past, and what is found in the effect was already in the cause.”Henri L Bergson PMID:25980649

  4. Acute antidepressant drug administration and autobiographical memory recall

    DEFF Research Database (Denmark)

    Papadatou-Pastou, Marietta; Miskowiak, Kamilla W; Williams, J Mark G

    2012-01-01

    Antidepressants affect memory and neural responses to emotionally valenced stimuli in healthy volunteers. However, it is unclear whether this extends to autobiographical memory for personally experienced events. The current study investigated the effects of acute administration of the antidepress...... of reboxetine on emotional memory extends to recall of personally experienced events. Such effects may be relevant to the cognitive improvements found with recovery from depression and with the mechanism of action of contemporary antidepressant drugs.......Antidepressants affect memory and neural responses to emotionally valenced stimuli in healthy volunteers. However, it is unclear whether this extends to autobiographical memory for personally experienced events. The current study investigated the effects of acute administration...... in the processing of positive versus negative memories was reduced following reboxetine compared with placebo in the left frontal lobe (extending into the insula) and the right superior temporal gyrus. This was paired with increased memory speed in volunteers given reboxetine versus placebo. The effect...

  5. Memory rehabilitation for the working memory of patients with multiple sclerosis (MS).

    Science.gov (United States)

    Mousavi, Shokoufeh; Zare, Hossein; Etemadifar, Masoud; Taher Neshatdoost, Hamid

    2018-05-01

    The main cognitive impairments in multiple sclerosis (MS) affect the working memory, processing speed, and performances that are in close interaction with one another. Cognitive problems in MS are influenced to a lesser degree by disease recovery medications or treatments,but cognitive rehabilitation is considered one of the promising methods for cure. There is evidence regarding the effectiveness of cognitive rehabilitation for MS patients in various stages of the disease. Since the impairment in working memory is one of the main MS deficits, a particular training that affects this cognitive domain can be of a great value. This study aims to determine the effectiveness of memory rehabilitation on the working memory performance of MS patients. Sixty MS patients with cognitive impairment and similar in terms of demographic characteristics, duration of disease, neurological problems, and mental health were randomly assigned to three groups: namely, experimental, placebo, and control. Patients' cognitive evaluation incorporated baseline assessments immediately post-intervention and 5 weeks post-intervention. The experimental group received a cognitive rehabilitation program in one-hour sessions on a weekly basis for 8 weeks. The placebo group received relaxation techniques on a weekly basis; the control group received no intervention. The results of this study showed that the cognitive rehabilitation program had a positive effect on the working memory performance of patients with MS in the experimental group. These results were achieved in immediate evaluation (post-test) and follow-up 5 weeks after intervention. There was no significant difference in working memory performance between the placebo group and the control group. According to the study, there is evidence for the effectiveness of a memory rehabilitation program for the working memory of patients with MS. Cognitive rehabilitation can improve working memory disorders and have a positive effect on the

  6. Cognitive Processes Supporting Episodic Memory Formation in Childhood: The Role of Source Memory, Binding, and Executive Functioning

    Science.gov (United States)

    Raj, Vinaya; Bell, Martha Ann

    2010-01-01

    Episodic memories contain various forms of contextual detail (e.g., perceptual, emotional, cognitive details) that need to become integrated. Each of these contextual features can be used to attribute a memory episode to its source, or origin of information. Memory for source information is one critical component in the formation of episodic…

  7. Brain network segregation and integration during an epoch-related working memory fMRI experiment.

    Science.gov (United States)

    Fransson, Peter; Schiffler, Björn C; Thompson, William Hedley

    2018-05-17

    The characterization of brain subnetwork segregation and integration has previously focused on changes that are detectable at the level of entire sessions or epochs of imaging data. In this study, we applied time-varying functional connectivity analysis together with temporal network theory to calculate point-by-point estimates in subnetwork segregation and integration during an epoch-based (2-back, 0-back, baseline) working memory fMRI experiment as well as during resting-state. This approach allowed us to follow task-related changes in subnetwork segregation and integration at a high temporal resolution. At a global level, the cognitively more taxing 2-back epochs elicited an overall stronger response of integration between subnetworks compared to the 0-back epochs. Moreover, the visual, sensorimotor and fronto-parietal subnetworks displayed characteristic and distinct temporal profiles of segregation and integration during the 0- and 2-back epochs. During the interspersed epochs of baseline, several subnetworks, including the visual, fronto-parietal, cingulo-opercular and dorsal attention subnetworks showed pronounced increases in segregation. Using a drift diffusion model we show that the response time for the 2-back trials are correlated with integration for the fronto-parietal subnetwork and correlated with segregation for the visual subnetwork. Our results elucidate the fast-evolving events with regard to subnetwork integration and segregation that occur in an epoch-related task fMRI experiment. Our findings suggest that minute changes in subnetwork integration are of importance for task performance. Copyright © 2018 The Authors. Published by Elsevier Inc. All rights reserved.

  8. Thermal effects on human performance in office environment measured by integrating task speed and accuracy

    DEFF Research Database (Denmark)

    Lan, Li; Wargocki, Pawel; Lian, Zhiwei

    2014-01-01

    We have proposed a method in which the speed and accuracy can be integrated into one metric of human performance. This was achieved by designing a performance task in which the subjects receive feedback on their performance by informing them whether they have committed errors, and if did, they can......, 12 subjects performed tasks under two thermal conditions (neutral & warm) repeatedly. The tasks were presented with and without feedback on errors committed, as outlined above. The results indicate that there was a greater decrease in task performance due to thermal discomfort when feedback was given......, compared to the performance of tasks presented without feedback....

  9. Optimizing main-memory join on modern hardware

    OpenAIRE

    Boncz, Peter; Manegold, Stefan; Kersten, Martin

    2002-01-01

    textabstractIn the past decade, the exponential growth in commodity CPUs speed has far outpaced advances in memory latency. A second trend is that CPU performance advances are not only brought by increased clock rate, but also by increasing parallelism inside the CPU. Current database systems have not yet adapted to these trends, and show poor utilization of both CPU and memory resources on current hardware. In this article, we show how these resources can be optimized for large joins and tra...

  10. Study of surface integrity AISI 4140 as result of hard, dry and high speed machining using CBN

    Science.gov (United States)

    Ginting, B.; Sembiring, R. W.; Manurung, N.

    2017-09-01

    The concept of hard, dry and high speed machining can be combined, to produce high productivity, with lower production costs in manufacturing industry. Hard lathe process can be a solution to reduce production time. In lathe hard alloy steels reported problems relating to the integrity of such surface roughness, residual stress, the white layer and the surface integrity. AISI 4140 material is used for high reliable hydraulic system components. This material includes in cold work tool steel. Consideration election is because this material is able to be hardened up to 55 HRC. In this research, the experimental design using CCD model fit with three factors, each factor is composed of two levels, and six central point, experiments were conducted with 1 replications. The experimental design research using CCD model fit.

  11. Galvanic vestibular stimulation speeds visual memory recall.

    Science.gov (United States)

    Wilkinson, David; Nicholls, Sophie; Pattenden, Charlotte; Kilduff, Patrick; Milberg, William

    2008-08-01

    The experiments of Alessandro Volta were amongst the first to indicate that visuo-spatial function can be altered by stimulating the vestibular nerves with galvanic current. Until recently, the beneficial effects of the procedure were masked by the high levels of electrical current applied, which induced nystagmus-related gaze deviation and spatial disorientation. However, several neuropsychological studies have shown that much weaker, imperceptible currents that do not elicit unpleasant side-effects can help overcome visual loss after stroke. Here, we show that visual processing in neurologically healthy individuals can also benefit from galvanic vestibular stimulation. Participants first learnt the names of eight unfamiliar faces and then after a short delay, answered questions from memory about how pairs of these faces differed. Mean correct reaction times were significantly shorter when sub-sensory, noise-enhanced anodal stimulation was administered to the left mastoid, compared to when no stimulation was administered at all. This advantage occurred with no loss in response accuracy, and raises the possibility that the procedure may constitute a more general form of cognitive enhancement.

  12. Whatever the cost? Information integration in memory-based inferences depends on cognitive effort.

    Science.gov (United States)

    Hilbig, Benjamin E; Michalkiewicz, Martha; Castela, Marta; Pohl, Rüdiger F; Erdfelder, Edgar

    2015-05-01

    One of the most prominent models of probabilistic inferences from memory is the simple recognition heuristic (RH). The RH theory assumes that judgments are based on recognition in isolation, such that other information is ignored. However, some prior research has shown that available knowledge is not generally ignored. In line with the notion of adaptive strategy selection--and, thus, a trade-off between accuracy and effort--we hypothesized that information integration crucially depends on how easily accessible information beyond recognition is, how much confidence decision makers have in this information, and how (cognitively) costly it is to acquire it. In three experiments, we thus manipulated (a) the availability of information beyond recognition, (b) the subjective usefulness of this information, and (c) the cognitive costs associated with acquiring this information. In line with the predictions, we found that RH use decreased substantially, the more easily and confidently information beyond recognition could be integrated, and increased substantially with increasing cognitive costs.

  13. Fractional-order leaky integrate-and-fire model with long-term memory and power law dynamics.

    Science.gov (United States)

    Teka, Wondimu W; Upadhyay, Ranjit Kumar; Mondal, Argha

    2017-09-01

    Pyramidal neurons produce different spiking patterns to process information, communicate with each other and transform information. These spiking patterns have complex and multiple time scale dynamics that have been described with the fractional-order leaky integrate-and-Fire (FLIF) model. Models with fractional (non-integer) order differentiation that generalize power law dynamics can be used to describe complex temporal voltage dynamics. The main characteristic of FLIF model is that it depends on all past values of the voltage that causes long-term memory. The model produces spikes with high interspike interval variability and displays several spiking properties such as upward spike-frequency adaptation and long spike latency in response to a constant stimulus. We show that the subthreshold voltage and the firing rate of the fractional-order model make transitions from exponential to power law dynamics when the fractional order α decreases from 1 to smaller values. The firing rate displays different types of spike timing adaptation caused by changes on initial values. We also show that the voltage-memory trace and fractional coefficient are the causes of these different types of spiking properties. The voltage-memory trace that represents the long-term memory has a feedback regulatory mechanism and affects spiking activity. The results suggest that fractional-order models might be appropriate for understanding multiple time scale neuronal dynamics. Overall, a neuron with fractional dynamics displays history dependent activities that might be very useful and powerful for effective information processing. Copyright © 2017 Elsevier Ltd. All rights reserved.

  14. Power system integration and control of variable speed wind turbines

    Energy Technology Data Exchange (ETDEWEB)

    Eek, Jarle

    2009-12-15

    A wind power plant is a highly dynamic system that dependent on the type of technology requires a number of automatic control loops. This research deals with modelling, control and analysis related to power system integration of variable speed, pitch controlled wind turbines. All turbine components have been modelled and implemented in the power system simulation program SIMPOW, and a description of the modelling approach for each component is given. The level of model detail relates to the classical modelling of power system components for power system stability studies, where low frequency oscillations are of special importance. The wind turbine model includes a simplified representation of the developed rotor torque and the thrust force based on C{sub p-} and C{sub t} characteristic curves. The mechanical system model represents the fundamental torsional mode and the first mode of blades and tower movements. Two generator technologies have been investigated. The doubly fed induction generator (DFIG) and the stator converter interfaced permanent magnet synchronous generator (PMSG). A simplified model of a 2 level voltage source converter is used for both machine types. The generator converter controllers have been given special attention. All model components are linearized for the purpose of control system design and power system interaction related to small signal stability analysis. Different control strategies discussed in the literature have been investigated with regard to power system interaction aspects. All control parameters are identified using the internal model control approach. The analysis is focused on three main areas: 1. Identification of low damped oscillatory modes. This is carried out by the establishment and discussion of wind turbine modelling. 2. Interaction between control loops. A systematic approach is presented in order to analyse the influence of control loops used in variable speed wind turbines. 3.Impact on power system performance

  15. Highly Stretchable Non-volatile Nylon Thread Memory

    Science.gov (United States)

    Kang, Ting-Kuo

    2016-04-01

    Integration of electronic elements into textiles, to afford e-textiles, can provide an ideal platform for the development of lightweight, thin, flexible, and stretchable e-textiles. This approach will enable us to meet the demands of the rapidly growing market of wearable-electronics on arbitrary non-conventional substrates. However the actual integration of the e-textiles that undergo mechanical deformations during both assembly and daily wear or satisfy the requirements of the low-end applications, remains a challenge. Resistive memory elements can also be fabricated onto a nylon thread (NT) for e-textile applications. In this study, a simple dip-and-dry process using graphene-PEDOT:PSS (poly(3,4-ethylenedioxythiophene) polystyrene sulfonate) ink is proposed for the fabrication of a highly stretchable non-volatile NT memory. The NT memory appears to have typical write-once-read-many-times characteristics. The results show that an ON/OFF ratio of approximately 103 is maintained for a retention time of 106 s. Furthermore, a highly stretchable strain and a long-term digital-storage capability of the ON-OFF-ON states are demonstrated in the NT memory. The actual integration of the knitted NT memories into textiles will enable new design possibilities for low-cost and large-area e-textile memory applications.

  16. Thrust Augmentation by Airframe-Integrated Linear-Spike Nozzle Concept for High-Speed Aircraft

    Directory of Open Access Journals (Sweden)

    Hidemi Takahashi

    2018-02-01

    Full Text Available The airframe-integrated linear-spike nozzle concept applied to an external nozzle for high-speed aircraft was evaluated with regard to the thrust augmentation capability and the trim balance. The main focus was on the vehicle aftbody. The baseline airframe geometry was first premised to be a hypersonic waverider design. The baseline aftbody case had an external nozzle comprised of a simple divergent nozzle and was hypothetically replaced with linear-spike external nozzle configurations. Performance evaluation was mainly conducted by considering the nozzle thrust generated by the pressure distribution on the external nozzle surface at the aftbody portion calculated by computer simulation at a given cruise condition with zero angle of attack. The thrust performance showed that the proposed linear-spike external nozzle concept was beneficial in thrust enhancement compared to the baseline geometry because the design of the proposed concept had a compression wall for the exhaust flow, which resulted in increasing the wall pressure. The configuration with the boattail and the angled inner nozzle exhibited further improvement in thrust performance. The trim balance evaluation showed that the aerodynamic center location appeared as acceptable. Thus, benefits were obtained by employing the airframe-integrated linear-spike external nozzle concept.

  17. Alternating Dynamics of Segregation and Integration in Human EEG Functional Networks During Working-memory Task.

    Science.gov (United States)

    Zippo, Antonio G; Della Rosa, Pasquale A; Castiglioni, Isabella; Biella, Gabriele E M

    2018-02-10

    Brain functional networks show high variability in short time windows but mechanisms governing these transient dynamics remain unknown. In this work, we studied the temporal evolution of functional brain networks involved in a working memory (WM) task while recording high-density electroencephalography (EEG) in human normal subjects. We found that functional brain networks showed an initial phase characterized by an increase of the functional segregation index followed by a second phase where the functional segregation faded after the prevailing the functional integration. Notably, wrong trials were associated with different or disrupted sequences of the segregation-integration profiles and measures of network centrality and modularity were able to identify crucial aspects of the oscillatory network dynamics. Additionally, computational investigations further supported the experimental results. The brain functional organization may respond to the information processing demand of a WM task following a 2-step atomic scheme wherein segregation and integration alternately dominate the functional configurations. Copyright © 2017 The Author(s). Published by Elsevier Ltd.. All rights reserved.

  18. Cognitive impairment and memory loss associated with histoplasmosis: a case study.

    Science.gov (United States)

    Loughan, Ashlee R; Perna, Robert; Hertza, Jeremy

    2014-01-01

    Histoplasmosis is a rare disease caused by inhalation of the fungus Histoplasma capsulatum. It can spread via cerebral circulation to the central nervous system as a manifestation of a disseminated infection; particularly in patients with immune suppression, which can result in isolated ring-enhancing lesions and inflammation in the brain. Of the reported disseminated histoplasmosis cases (approximately 1 in 2000 per year), only 5-20% have evidence of central nervous system involvement. This paper reviews a single case study of a 57-year-old female diagnosed with disseminated CNS histoplasmosis. Patient's complaints included reduced short-term memory, word-finding problems, and difficulty organizing, making decisions, getting lost while driving, recalling names, retaining information while reading, and slowed processing speed. There was also a history of mild depression and anxiety. Direct testing revealed deficits in multiple cognitive domains including complex attention, processing speed, semantic fluency, visual scanning, motor speed, set-shifting, naming, nonverbal memory, and verbal memory. Neuropsychological deficits suggest cortical and subcortical brain dysfunction, including anterior, temporal, and mesial-temporal regions. This case illustrates the need for neuropsychologists to understand histoplasmosis, the related pathophysiology, and the neuropsychological impact; particularly with the potential for delayed progression.

  19. Sensory processing patterns predict the integration of information held in visual working memory.

    Science.gov (United States)

    Lowe, Matthew X; Stevenson, Ryan A; Wilson, Kristin E; Ouslis, Natasha E; Barense, Morgan D; Cant, Jonathan S; Ferber, Susanne

    2016-02-01

    Given the limited resources of visual working memory, multiple items may be remembered as an averaged group or ensemble. As a result, local information may be ill-defined, but these ensemble representations provide accurate diagnostics of the natural world by combining gist information with item-level information held in visual working memory. Some neurodevelopmental disorders are characterized by sensory processing profiles that predispose individuals to avoid or seek-out sensory stimulation, fundamentally altering their perceptual experience. Here, we report such processing styles will affect the computation of ensemble statistics in the general population. We identified stable adult sensory processing patterns to demonstrate that individuals with low sensory thresholds who show a greater proclivity to engage in active response strategies to prevent sensory overstimulation are less likely to integrate mean size information across a set of similar items and are therefore more likely to be biased away from the mean size representation of an ensemble display. We therefore propose the study of ensemble processing should extend beyond the statistics of the display, and should also consider the statistics of the observer. (PsycINFO Database Record (c) 2016 APA, all rights reserved).

  20. Four-channel high speed synchronized acquisition multiple trigger storage measurement system

    International Nuclear Information System (INIS)

    Guo Jian; Wang Wenlian; Zhang Zhijie

    2010-01-01

    A new storage measurement system based on the CPLD, MCU and FLASH (large-capacity flash memory) is proposed. The large capacity storage characteristic of the FLASH MEMORY is used to realize multi channel synchronized acquisition and the function of multiple records and read once. The function of multi channel synchronization, high speed data acquisition, the triggering several times, and the adjustability of working parameters expands the application of storage measurement system. The storage measurement system can be used in a variety of pressure and temperature test in explosion field. (authors)

  1. Glutamate mechanisms underlying opiate memories

    NARCIS (Netherlands)

    Peters, J.; de Vries, T.J.

    2012-01-01

    As the major excitatory neurotransmitter in the brain, glutamate plays an undisputable integral role in opiate addiction. This relates, in part, to the fact that addiction is a disorder of learning and memory, and glutamate is required for most types of memory formation. As opiate addiction

  2. Determinants to trigger memory reconsolidation: The role of retrieval and updating information.

    Science.gov (United States)

    Rodriguez-Ortiz, Carlos J; Bermúdez-Rattoni, Federico

    2017-07-01

    Long-term memories can undergo destabilization/restabilization processes, collectively called reconsolidation. However, the parameters that trigger memory reconsolidation are poorly understood and are a matter of intense investigation. Particularly, memory retrieval is widely held as requisite to initiate reconsolidation. This assumption makes sense since only relevant cues will induce reconsolidation of a specific memory. However, recent studies show that pharmacological inhibition of retrieval does not avoid memory from undergoing reconsolidation, indicating that memory reconsolidation occurs through a process that can be dissociated from retrieval. We propose that retrieval is not a unitary process but has two dissociable components; one leading to the expression of memory and the other to reconsolidation, referred herein as executer and integrator respectively. The executer would lead to the behavioral expression of the memory. This component would be the one disrupted on the studies that show reconsolidation independence from retrieval. The integrator would deal with reconsolidation. This component of retrieval would lead to long-term memory destabilization when specific conditions are met. We think that an important number of reports are consistent with the hypothesis that reconsolidation is only initiated when updating information is acquired. We suggest that the integrator would initiate reconsolidation to integrate updating information into long-term memory. Copyright © 2016 Elsevier Inc. All rights reserved.

  3. Direct Writing of Three-Dimensional Macroporous Photonic Crystals on Pressure-Responsive Shape Memory Polymers.

    Science.gov (United States)

    Fang, Yin; Ni, Yongliang; Leo, Sin-Yen; Wang, Bingchen; Basile, Vito; Taylor, Curtis; Jiang, Peng

    2015-10-28

    Here we report a single-step direct writing technology for making three-dimensional (3D) macroporous photonic crystal patterns on a new type of pressure-responsive shape memory polymer (SMP). This approach integrates two disparate fields that do not typically intersect: the well-established templating nanofabrication and shape memory materials. Periodic arrays of polymer macropores templated from self-assembled colloidal crystals are squeezed into disordered arrays in an unusual shape memory "cold" programming process. The recovery of the original macroporous photonic crystal lattices can be triggered by direct writing at ambient conditions using both macroscopic and nanoscopic tools, like a pencil or a nanoindenter. Interestingly, this shape memory disorder-order transition is reversible and the photonic crystal patterns can be erased and regenerated hundreds of times, promising the making of reconfigurable/rewritable nanooptical devices. Quantitative insights into the shape memory recovery of collapsed macropores induced by the lateral shear stresses in direct writing are gained through fundamental investigations on important process parameters, including the tip material, the critical pressure and writing speed for triggering the recovery of the deformed macropores, and the minimal feature size that can be directly written on the SMP membranes. Besides straightforward applications in photonic crystal devices, these smart mechanochromic SMPs that are sensitive to various mechanical stresses could render important technological applications ranging from chromogenic stress and impact sensors to rewritable high-density optical data storage media.

  4. Future Trend of Non-Volatile Semiconductor Memory and Feasibility Study of BiCS Type Stacked Structure

    OpenAIRE

    渡辺, 重佳

    2009-01-01

    Future trend of non-volatile semiconductor memory—FeRAM, MRAM, PRAM, ReRAM—compared with NAND typeflash memory has been described based on its history, application and performance. In the realistic point of view,FeRAM and MRAM are suitable for embedded memory and main memory, and PRAM and ReRAM are promising candidatesfor main memory and mass-storage memory for multimedia. Furthermore, the feasibility study of aggressiveultra-low-cost high-speed universal non-volatile semiconductor memory has...

  5. Maglev vehicles and superconductor technology: Integration of high-speed ground transportation into the air travel system

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, L.R.; Rote, D.M.; Hull, J.R.; Coffey, H.T.; Daley, J.G.; Giese, R.F.

    1989-04-01

    This study was undertaken to (1) evaluate the potential contribution of high-temperature superconductors (HTSCs) to the technical and economic feasibility of magnetically levitated (maglev) vehicles, (2) determine the status of maglev transportation research in the United States and abroad, (3) identify the likelihood of a significant transportation market for high-speed maglev vehicles, and (4) provide a preliminary assessment of the potential energy and economic benefits of maglev systems. HTSCs should be considered as an enhancing, rather than an enabling, development for maglev transportation because they should improve reliability and reduce energy and maintenance costs. Superconducting maglev transportation technologies were developed in the United States in the late 1960s and early 1970s. Federal support was withdrawn in 1975, but major maglev transportation programs were continued in Japan and West Germany, where full-scale prototypes now carry passengers at speeds of 250 mi/h in demonstration runs. Maglev systems are generally viewed as very-high-speed train systems, but this study shows that the potential market for maglev technology as a train system, e.g., from one downtown to another, is limited. Rather, aircraft and maglev vehicles should be seen as complementing rather than competing transportation systems. If maglev systems were integrated into major hub airport operations, they could become economical in many relatively high-density US corridors. Air traffic congestion and associated noise and pollutant emissions around airports would also be reduced. 68 refs., 26 figs., 16 tabs.

  6. Improving performance of single-path code through a time-predictable memory hierarchy

    DEFF Research Database (Denmark)

    Cilku, Bekim; Puffitsch, Wolfgang; Prokesch, Daniel

    2017-01-01

    -predictable memory hierarchy with a prefetcher that exploits the predictability of execution traces in single-path code to speed up code execution. The new memory hierarchy reduces both the cache-miss penalty time and the cache-miss rate on the instruction cache. The benefit of the approach is demonstrated through...

  7. Static Computer Memory Integrity Testing (SCMIT): An experiment flown on STS-40 as part of GAS payload G-616

    Science.gov (United States)

    Hancock, Thomas

    1993-01-01

    This experiment investigated the integrity of static computer memory (floppy disk media) when exposed to the environment of low earth orbit. The experiment attempted to record soft-event upsets (bit-flips) in static computer memory. Typical conditions that exist in low earth orbit that may cause soft-event upsets include: cosmic rays, low level background radiation, charged fields, static charges, and the earth's magnetic field. Over the years several spacecraft have been affected by soft-event upsets (bit-flips), and these events have caused a loss of data or affected spacecraft guidance and control. This paper describes a commercial spin-off that is being developed from the experiment.

  8. Myelination Is Associated with Processing Speed in Early Childhood: Preliminary Insights.

    Directory of Open Access Journals (Sweden)

    Nicolas Chevalier

    Full Text Available Processing speed is an important contributor to working memory performance and fluid intelligence in young children. Myelinated white matter plays a central role in brain messaging, and likely mediates processing speed, but little is known about the relationship between myelination and processing speed in young children. In the present study, processing speed was measured through inspection times, and myelin volume fraction (VFM was quantified using a multicomponent magnetic resonance imaging (MRI approach in 2- to 5-years of age. Both inspection times and VFM were found to increase with age. Greater VFM in the right and left occipital lobes, the body of the corpus callosum, and the right cerebellum was significantly associated with shorter inspection times, after controlling for age. A hierarchical regression showed that VFM in the left occipital lobe predicted inspection times over and beyond the effects of age and the VFM in the other brain regions. These findings are consistent with the hypothesis that myelin supports processing speed in early childhood.

  9. Versatile real-time interferometer phase-detection system using high-speed digital techniques

    International Nuclear Information System (INIS)

    Mendell, D.S.; Willett, G.W.

    1977-01-01

    This paper describes the basic design and philosophy of a versatile real-time interferometer phase-detection system to be used on the 2XIIB and TMX magnetic-fusion experiments at Lawrence Livermore Laboratory. This diagnostics system is a satellite to a host computer and uses high-speed emitter-coupled logic techniques to derive data on real-time phase relationships. The system's input signals can be derived from interferometer outputs over a wide range of reference frequencies. An LSI-11 microcomputer is the interface between the high-speed phase-detection logic, buffer memory, human interaction, and host computer. Phase data on a storage CRT is immediately displayed after each experimental fusion shot. An operator can interrogate this phase data more closely from an interactive control panel, and the host computer can be simultaneously examining the system's buffer memory or arming the system for the next shot

  10. COMBINING LONG MEMORY AND NONLINEAR MODEL OUTPUTS FOR INFLATION FORECAST

    OpenAIRE

    Heri Kuswanto; Irhamah Alimuhajin; Laylia Afidah

    2014-01-01

    Long memory and nonlinearity have been proven as two models that are easily to be mistaken. In other words, nonlinearity is a strong candidate of spurious long memory by introducing a certain degree of fractional integration that lies in the region of long memory. Indeed, nonlinear process belongs to short memory with zero integration order. The idea of the forecast is to obtain the future condition with minimum error. Some researches argued that no matter what the model is, the important thi...

  11. Parallel algorithms for quantum chemistry. I. Integral transformations on a hypercube multiprocessor

    International Nuclear Information System (INIS)

    Whiteside, R.A.; Binkley, J.S.; Colvin, M.E.; Schaefer, H.F. III

    1987-01-01

    For many years it has been recognized that fundamental physical constraints such as the speed of light will limit the ultimate speed of single processor computers to less than about three billion floating point operations per second (3 GFLOPS). This limitation is becoming increasingly restrictive as commercially available machines are now within an order of magnitude of this asymptotic limit. A natural way to avoid this limit is to harness together many processors to work on a single computational problem. In principle, these parallel processing computers have speeds limited only by the number of processors one chooses to acquire. The usefulness of potentially unlimited processing speed to a computationally intensive field such as quantum chemistry is obvious. If these methods are to be applied to significantly larger chemical systems, parallel schemes will have to be employed. For this reason we have developed distributed-memory algorithms for a number of standard quantum chemical methods. We are currently implementing these on a 32 processor Intel hypercube. In this paper we present our algorithm and benchmark results for one of the bottleneck steps in quantum chemical calculations: the four index integral transformation

  12. Flexible high-speed FASTBUS master for data read-out and preprocessing

    International Nuclear Information System (INIS)

    Wurz, A.; Manner, R.

    1990-01-01

    This paper describes a single slot FASTBUS master module. It can be used for read-out and preprocessing of data that are read out from FASTBUS modules, e.g., and ADC system. The module consists of a 25 MHz, 32-bit processor MC 68030 with cache memory and memory management, a floating point coprocessor MC68882, 4 MBytes of main memory, and FASTBUS master and slave interfaces. In addition, a DMA controller for read-out of FASTBUS data is provided. The processor allows I/O via serial ports, a 16-bit parallel port, and a transputer link. Additional interfaces are planned. The main memory is multi-ported and can be accessed directly by the CPU, the FASTBUS, and external masters via the high-speed local bus that is accessible by way of a connector. The FASTBUS interface supports most of the standard operations in master and slave mode

  13. An ultrafast programmable electrical tester for enabling time-resolved, sub-nanosecond switching dynamics and programming of nanoscale memory devices

    Science.gov (United States)

    Shukla, Krishna Dayal; Saxena, Nishant; Manivannan, Anbarasu

    2017-12-01

    Recent advancements in commercialization of high-speed non-volatile electronic memories including phase change memory (PCM) have shown potential not only for advanced data storage but also for novel computing concepts. However, an in-depth understanding on ultrafast electrical switching dynamics is a key challenge for defining the ultimate speed of nanoscale memory devices that demands for an unconventional electrical setup, specifically capable of handling extremely fast electrical pulses. In the present work, an ultrafast programmable electrical tester (PET) setup has been developed exceptionally for unravelling time-resolved electrical switching dynamics and programming characteristics of nanoscale memory devices at the picosecond (ps) time scale. This setup consists of novel high-frequency contact-boards carefully designed to capture extremely fast switching transient characteristics within 200 ± 25 ps using time-resolved current-voltage measurements. All the instruments in the system are synchronized using LabVIEW, which helps to achieve various programming characteristics such as voltage-dependent transient parameters, read/write operations, and endurance test of memory devices systematically using short voltage pulses having pulse parameters varied from 1 ns rise/fall time and 1.5 ns pulse width (full width half maximum). Furthermore, the setup has successfully demonstrated strikingly one order faster switching characteristics of Ag5In5Sb60Te30 (AIST) PCM devices within 250 ps. Hence, this novel electrical setup would be immensely helpful for realizing the ultimate speed limits of various high-speed memory technologies for future computing.

  14. Active vortex generator deployed on demand by size independent actuation of shape memory alloy wires integrated in fiber reinforced polymers

    Science.gov (United States)

    Hübler, M.; Nissle, S.; Gurka, M.; Wassenaar, J.

    2016-04-01

    Static vortex generators (VGs) are installed on different aircraft types. They generate vortices and interfuse the slow boundary layer with the fast moving air above. Due to this energizing, a flow separation of the boundary layer can be suppressed at high angles of attack. However the VGs cause a permanently increased drag over the whole flight cycle reducing the cruise efficiency. This drawback is currently limiting the use of VGs. New active VGs, deployed only on demand at low speed, can help to overcome this contradiction. Active hybrid structures, combining the actuation of shape memory alloys (SMA) with fiber reinforced polymers (FRP) on the materials level, provide an actuation principle with high lightweight potential and minimum space requirements. Being one of the first applications of active hybrid structures from SMA and FRP, these active vortex generators help to demonstrate the advantages of this new technology. A new design approach and experimental results of active VGs are presented based on the application of unique design tools and advanced manufacturing approaches for these active hybrid structures. The experimental investigation of the actuation focuses on the deflection potential and the dynamic response. Benchmark performance data such as a weight of 1.5g and a maximum thickness of only 1.8mm per vortex generator finally ensure a simple integration in the wing structure.

  15. Conditional Dispersive Readout of a CMOS Single-Electron Memory Cell

    Science.gov (United States)

    Schaal, S.; Barraud, S.; Morton, J. J. L.; Gonzalez-Zalba, M. F.

    2018-05-01

    Quantum computers require interfaces with classical electronics for efficient qubit control, measurement, and fast data processing. Fabricating the qubit and the classical control layer using the same technology is appealing because it will facilitate the integration process, improving feedback speeds and offering potential solutions to wiring and layout challenges. Integrating classical and quantum devices monolithically, using complementary metal-oxide-semiconductor (CMOS) processes, enables the processor to profit from the most mature industrial technology for the fabrication of large-scale circuits. We demonstrate a CMOS single-electron memory cell composed of a single quantum dot and a transistor that locks charge on the quantum-dot gate. The single-electron memory cell is conditionally read out by gate-based dispersive sensing using a lumped-element L C resonator. The control field-effect transistor (FET) and quantum dot are fabricated on the same chip using fully depleted silicon-on-insulator technology. We obtain a charge sensitivity of δ q =95 ×10-6e Hz-1 /2 when the quantum-dot readout is enabled by the control FET, comparable to results without the control FET. Additionally, we observe a single-electron retention time on the order of a second when storing a single-electron charge on the quantum dot at millikelvin temperatures. These results demonstrate first steps towards time-based multiplexing of gate-based dispersive readout in CMOS quantum devices opening the path for the development of an all-silicon quantum-classical processor.

  16. Aspects of GPU perfomance in algorithms with random memory access

    Science.gov (United States)

    Kashkovsky, Alexander V.; Shershnev, Anton A.; Vashchenkov, Pavel V.

    2017-10-01

    The numerical code for solving the Boltzmann equation on the hybrid computational cluster using the Direct Simulation Monte Carlo (DSMC) method showed that on Tesla K40 accelerators computational performance drops dramatically with increase of percentage of occupied GPU memory. Testing revealed that memory access time increases tens of times after certain critical percentage of memory is occupied. Moreover, it seems to be the common problem of all NVidia's GPUs arising from its architecture. Few modifications of the numerical algorithm were suggested to overcome this problem. One of them, based on the splitting the memory into "virtual" blocks, resulted in 2.5 times speed up.

  17. Quantum dissipation from power-law memory

    International Nuclear Information System (INIS)

    Tarasov, Vasily E.

    2012-01-01

    A new quantum dissipation model based on memory mechanism is suggested. Dynamics of open and closed quantum systems with power-law memory is considered. The processes with power-law memory are described by using integration and differentiation of non-integer orders, by methods of fractional calculus. An example of quantum oscillator with linear friction and power-law memory is considered. - Highlights: ► A new quantum dissipation model based on memory mechanism is suggested. ► The generalization of Lindblad equation is considered. ► An exact solution of generalized Lindblad equation for quantum oscillator with linear friction and power-law memory is derived.

  18. Adjunctive raloxifene treatment improves attention and memory in men and women with schizophrenia.

    Science.gov (United States)

    Weickert, T W; Weinberg, D; Lenroot, R; Catts, S V; Wells, R; Vercammen, A; O'Donnell, M; Galletly, C; Liu, D; Balzan, R; Short, B; Pellen, D; Curtis, J; Carr, V J; Kulkarni, J; Schofield, P R; Weickert, C S

    2015-06-01

    There is increasing clinical and molecular evidence for the role of hormones and specifically estrogen and its receptor in schizophrenia. A selective estrogen receptor modulator, raloxifene, stimulates estrogen-like activity in brain and can improve cognition in older adults. The present study tested the extent to which adjunctive raloxifene treatment improved cognition and reduced symptoms in young to middle-age men and women with schizophrenia. Ninety-eight patients with a diagnosis of schizophrenia or schizoaffective disorder were recruited into a dual-site, thirteen-week, randomized, double-blind, placebo-controlled, crossover trial of adjunctive raloxifene treatment in addition to their usual antipsychotic medications. Symptom severity and cognition in the domains of working memory, attention/processing speed, language and verbal memory were assessed at baseline, 6 and 13 weeks. Analyses of the initial 6-week phase of the study using a parallel groups design (with 39 patients receiving placebo and 40 receiving raloxifene) revealed that participants receiving adjunctive raloxifene treatment showed significant improvement relative to placebo in memory and attention/processing speed. There was no reduction in symptom severity with treatment compared with placebo. There were significant carryover effects, suggesting some cognitive benefits are sustained even after raloxifene withdrawal. Analysis of the 13-week crossover data revealed significant improvement with raloxifene only in attention/processing speed. This is the first study to show that daily, oral adjunctive raloxifene treatment at 120 mg per day has beneficial effects on attention/processing speed and memory for both men and women with schizophrenia. Thus, raloxifene may be useful as an adjunctive treatment for cognitive deficits associated with schizophrenia.

  19. Improvement and speed optimization of numerical tsunami modelling program using OpenMP technology

    Science.gov (United States)

    Chernov, A.; Zaytsev, A.; Yalciner, A.; Kurkin, A.

    2009-04-01

    Currently, the basic problem of tsunami modeling is low speed of calculations which is unacceptable for services of the operative notification. Existing algorithms of numerical modeling of hydrodynamic processes of tsunami waves are developed without taking the opportunities of modern computer facilities. There is an opportunity to have considerable acceleration of process of calculations by using parallel algorithms. We discuss here new approach to parallelization tsunami modeling code using OpenMP Technology (for multiprocessing systems with the general memory). Nowadays, multiprocessing systems are easily accessible for everyone. The cost of the use of such systems becomes much lower comparing to the costs of clusters. This opportunity also benefits all programmers to apply multithreading algorithms on desktop computers of researchers. Other important advantage of the given approach is the mechanism of the general memory - there is no necessity to send data on slow networks (for example Ethernet). All memory is the common for all computing processes; it causes almost linear scalability of the program and processes. In the new version of NAMI DANCE using OpenMP technology and multi-threading algorithm provide 80% gain in speed in comparison with the one-thread version for dual-processor unit. The speed increased and 320% gain was attained for four core processor unit of PCs. Thus, it was possible to reduce considerably time of performance of calculations on the scientific workstations (desktops) without complete change of the program and user interfaces. The further modernization of algorithms of preparation of initial data and processing of results using OpenMP looks reasonable. The final version of NAMI DANCE with the increased computational speed can be used not only for research purposes but also in real time Tsunami Warning Systems.

  20. Si-based optical I/O for optical memory interface

    Science.gov (United States)

    Ha, Kyoungho; Shin, Dongjae; Byun, Hyunil; Cho, Kwansik; Na, Kyoungwon; Ji, Hochul; Pyo, Junghyung; Hong, Seokyong; Lee, Kwanghyun; Lee, Beomseok; Shin, Yong-hwack; Kim, Junghye; Kim, Seong-gu; Joe, Insung; Suh, Sungdong; Choi, Sanghoon; Han, Sangdeok; Park, Yoondong; Choi, Hanmei; Kuh, Bongjin; Kim, Kichul; Choi, Jinwoo; Park, Sujin; Kim, Hyeunsu; Kim, Kiho; Choi, Jinyong; Lee, Hyunjoo; Yang, Sujin; Park, Sungho; Lee, Minwoo; Cho, Minchang; Kim, Saebyeol; Jeong, Taejin; Hyun, Seokhun; Cho, Cheongryong; Kim, Jeong-kyoum; Yoon, Hong-gu; Nam, Jeongsik; Kwon, Hyukjoon; Lee, Hocheol; Choi, Junghwan; Jang, Sungjin; Choi, Joosun; Chung, Chilhee

    2012-01-01

    Optical interconnects may provide solutions to the capacity-bandwidth trade-off of recent memory interface systems. For cost-effective optical memory interfaces, Samsung Electronics has been developing silicon photonics platforms on memory-compatible bulk-Si 300-mm wafers. The waveguide of 0.6 dB/mm propagation loss, vertical grating coupler of 2.7 dB coupling loss, modulator of 10 Gbps speed, and Ge/Si photodiode of 12.5 Gbps bandwidth have been achieved on the bulk-Si platform. 2x6.4 Gbps electrical driver circuits have been also fabricated using a CMOS process.

  1. Integration of adaptive process control with computational simulation for spin-forming

    International Nuclear Information System (INIS)

    Raboin, P. J. LLNL

    1998-01-01

    Improvements in spin-forming capabilities through upgrades to a metrology and machine control system and advances in numerical simulation techniques were studied in a two year project funded by Laboratory Directed Research and Development (LDRD) at Lawrence Livermore National Laboratory. Numerical analyses were benchmarked with spin-forming experiments and computational speeds increased sufficiently to now permit actual part forming simulations. Extensive modeling activities examined the simulation speeds and capabilities of several metal forming computer codes for modeling flat plate and cylindrical spin-forming geometries. Shape memory research created the first numerical model to describe this highly unusual deformation behavior in Uranium alloys. A spin-forming metrology assessment led to sensor and data acquisition improvements that will facilitate future process accuracy enhancements, such as a metrology frame. Finally, software improvements (SmartCAM) to the manufacturing process numerically integrate the part models to the spin-forming process and to computational simulations

  2. Mechanisms of Age-Related Decline in Memory Search across the Adult Life Span

    Science.gov (United States)

    Hills, Thomas T.; Mata, Rui; Wilke, Andreas; Samanez-Larkin, Gregory R.

    2013-01-01

    Three alternative mechanisms for age-related decline in memory search have been proposed, which result from either reduced processing speed (global slowing hypothesis), overpersistence on categories (cluster-switching hypothesis), or the inability to maintain focus on local cues related to a decline in working memory (cue-maintenance hypothesis).…

  3. The mysteries of remote memory

    Science.gov (United States)

    2018-01-01

    Long-lasting memories form the basis of our identity as individuals and lie central in shaping future behaviours that guide survival. Surprisingly, however, our current knowledge of how such memories are stored in the brain and retrieved, as well as the dynamics of the circuits involved, remains scarce despite seminal technical and experimental breakthroughs in recent years. Traditionally, it has been proposed that, over time, information initially learnt in the hippocampus is stored in distributed cortical networks. This process—the standard theory of memory consolidation—would stabilize the newly encoded information into a lasting memory, become independent of the hippocampus, and remain essentially unmodifiable throughout the lifetime of the individual. In recent years, several pieces of evidence have started to challenge this view and indicate that long-lasting memories might already ab ovo be encoded, and subsequently stored in distributed cortical networks, akin to the multiple trace theory of memory consolidation. In this review, we summarize these recent findings and attempt to identify the biologically plausible mechanisms based on which a contextual memory becomes remote by integrating different levels of analysis: from neural circuits to cell ensembles across synaptic remodelling and epigenetic modifications. From these studies, remote memory formation and maintenance appear to occur through a multi-trace, dynamic and integrative cellular process ranging from the synapse to the nucleus, and represent an exciting field of research primed to change quickly as new experimental evidence emerges. This article is part of a discussion meeting issue ‘Of mice and mental health: facilitating dialogue between basic and clinical neuroscientists’. PMID:29352028

  4. Individual differences in algebraic cognition: Relation to the approximate number and semantic memory systems.

    Science.gov (United States)

    Geary, David C; Hoard, Mary K; Nugent, Lara; Rouder, Jeffrey N

    2015-12-01

    The relation between performance on measures of algebraic cognition and acuity of the approximate number system (ANS) and memory for addition facts was assessed for 171 ninth graders (92 girls) while controlling for parental education, sex, reading achievement, speed of numeral processing, fluency of symbolic number processing, intelligence, and the central executive component of working memory. The algebraic tasks assessed accuracy in placing x,y pairs in the coordinate plane, speed and accuracy of expression evaluation, and schema memory for algebra equations. ANS acuity was related to accuracy of placements in the coordinate plane and expression evaluation but not to schema memory. Frequency of fact retrieval errors was related to schema memory but not to coordinate plane or expression evaluation accuracy. The results suggest that the ANS may contribute to or be influenced by spatial-numerical and numerical-only quantity judgments in algebraic contexts, whereas difficulties in committing addition facts to long-term memory may presage slow formation of memories for the basic structure of algebra equations. More generally, the results suggest that different brain and cognitive systems are engaged during the learning of different components of algebraic competence while controlling for demographic and domain general abilities. Copyright © 2015 Elsevier Inc. All rights reserved.

  5. Optimizing main-memory join on modern hardware

    NARCIS (Netherlands)

    P.A. Boncz (Peter); S. Manegold (Stefan); M.L. Kersten (Martin)

    2002-01-01

    textabstractIn the past decade, the exponential growth in commodity CPUs speed has far outpaced advances in memory latency. A second trend is that CPU performance advances are not only brought by increased clock rate, but also by increasing parallelism inside the CPU. Current database systems have

  6. Optimizing main-memory join on modern hardware

    NARCIS (Netherlands)

    S. Manegold (Stefan); P.A. Boncz (Peter); M.L. Kersten (Martin)

    1999-01-01

    textabstractIn the past decade, the exponential growth in commodity CPUs speed has far outpaced advances in memory latency. A second trend is that CPU performance advances are not only brought by increased clock rate, but also by increasing parallelism inside the CPU. Current database systems have

  7. An Airbreathing Launch Vehicle Design with Turbine-Based Low-Speed Propulsion and Dual Mode Scramjet High-Speed Propulsion

    Science.gov (United States)

    Moses, P. L.; Bouchard, K. A.; Vause, R. F.; Pinckney, S. Z.; Ferlemann, S. M.; Leonard, C. P.; Taylor, L. W., III; Robinson, J. S.; Martin, J. G.; Petley, D. H.

    1999-01-01

    Airbreathing launch vehicles continue to be a subject of great interest in the space access community. In particular, horizontal takeoff and horizontal landing vehicles are attractive with their airplane-like benefits and flexibility for future space launch requirements. The most promising of these concepts involve airframe integrated propulsion systems, in which the external undersurface of the vehicle forms part of the propulsion flowpath. Combining of airframe and engine functions in this manner involves all of the design disciplines interacting at once. Design and optimization of these configurations is a most difficult activity, requiring a multi-discipline process to analytically resolve the numerous interactions among the design variables. This paper describes the design and optimization of one configuration in this vehicle class, a lifting body with turbine-based low-speed propulsion. The integration of propulsion and airframe, both from an aero-propulsive and mechanical perspective are addressed. This paper primarily focuses on the design details of the preferred configuration and the analyses performed to assess its performance. The integration of both low-speed and high-speed propulsion is covered. Structural and mechanical designs are described along with materials and technologies used. Propellant and systems packaging are shown and the mission-sized vehicle weights are disclosed.

  8. Why chunking should be considered as an explanation for developmental change before short-term memory capacity and processing speed

    Directory of Open Access Journals (Sweden)

    Gary eJones

    2012-06-01

    Full Text Available The chunking hypothesis suggests that during the repeated exposure of stimulus material, information is organized into increasingly larger chunks. Many researchers have not considered the full power of the chunking hypothesis as both a learning mechanism and as an explanation of human behavior. Indeed, in developmental psychology there is relatively little mention of chunking and yet it can be the underlying cause of some of the mechanisms of development that have been proposed. This paper illustrates the chunking hypothesis in the domain of nonword repetition, a task that is a strong predictor of a child’s language learning. A computer simulation of nonword repetition that instantiates the chunking mechanism shows that: (1 chunking causes task behavior to improve over time, consistent with children’s performance; and (2 chunking causes perceived changes in areas such as short-term memory capacity and processing speed that are often cited as mechanisms of child development. Researchers should be cautious when considering explanations of developmental data, since chunking may be able to explain differences in performance without the need for additional mechanisms of development.

  9. Empirical study of the metal-nitride-oxide-semiconductor device characteristics deduced from a microscopic model of memory traps

    International Nuclear Information System (INIS)

    Ngai, K.L.; Hsia, Y.

    1982-01-01

    A graded-nitride gate dielectric metal-nitride-oxide-semiconductor (MNOS) memory transistor exhibiting superior device characteristics is presented and analyzed based on a qualitative microscopic model of the memory traps. The model is further reviewed to interpret some generic properties of the MNOS memory transistors including memory window, erase-write speed, and the retention-endurance characteristic features

  10. Carbon nanomaterials for non-volatile memories

    Science.gov (United States)

    Ahn, Ethan C.; Wong, H.-S. Philip; Pop, Eric

    2018-03-01

    Carbon can create various low-dimensional nanostructures with remarkable electronic, optical, mechanical and thermal properties. These features make carbon nanomaterials especially interesting for next-generation memory and storage devices, such as resistive random access memory, phase-change memory, spin-transfer-torque magnetic random access memory and ferroelectric random access memory. Non-volatile memories greatly benefit from the use of carbon nanomaterials in terms of bit density and energy efficiency. In this Review, we discuss sp2-hybridized carbon-based low-dimensional nanostructures, such as fullerene, carbon nanotubes and graphene, in the context of non-volatile memory devices and architectures. Applications of carbon nanomaterials as memory electrodes, interfacial engineering layers, resistive-switching media, and scalable, high-performance memory selectors are investigated. Finally, we compare the different memory technologies in terms of writing energy and time, and highlight major challenges in the manufacturing, integration and understanding of the physical mechanisms and material properties.

  11. Real time data compactor (sparsifier) and 8 megabyte high speed FIFO for HEP

    International Nuclear Information System (INIS)

    Baumbaugh, A.E.; Knickerbocker, K.L.; Wegner, C.R.; Baumbaugh, B.W.; Ruchti, R.

    1985-10-01

    A Video-Data-Acquisition-System (VDAS) has been developed to record image data from a scintillating glass fiber-optic target developed for High Energy Physics. The major components of the VDAS are a flash ADC, a ''real time'' high speed data compactor, and high speed 8 megabyte FIFO memory. The data rates through the system are in excess of 30 megabytes/second. The compactor is capable of reducing the amount of data needed to reconstruct typical images by as much as a factor of 20. The FIFO uses only standard NMOS DRAMS and TTL components to achieve its large size and high speed at relatively low power and cost

  12. Crested Tunnel Barriers for Fast, Scalable, Nonvolatile Semiconductor Memories (Theme 3)

    National Research Council Canada - National Science Library

    Likharev, Konstantin K; Ma, Tso-Ping

    2006-01-01

    .... If demonstrated in silicon-compatible materials with sufficient endurance under electric stress, this effect may enable high-density, high-speed nonvolatile memories that may potentially replace DRAM...

  13. Clock-frequency and temperature margins of a high-temperature superconductor delay-line memory

    International Nuclear Information System (INIS)

    Hattori, W.; Tahara, S.

    1999-01-01

    We have developed a 10 GHz 32-bit delay-line memory, using a semiconductor crossbar switch and a YBa 2 Cu 3 O 7-δ coplanar delay line. For use in the high-speed (≥10 GHz) cell-buffer storage of large-throughput (≥1 Tbit/s) asynchronous transfer mode (ATM) switching systems, this memory must be fairly reliable. To evaluate the reliability of the operation, therefore, we measured the clock-frequency and temperature margins and the temperature dependence of the bit-error rate. At 64 K, this memory has a capacity of 32 bits with a clock frequency of 9.89±0.11 GHz. In general, clock frequencies of communication systems are strictly managed so that the margins are less than 10 -6 . Therefore, the frequency margin of this memory (∼2x10 -2 )) is wide enough for use in communication systems. The temperature margin was 71.5±4.3 K at 10 GHz and 33 bits. This memory offered error-free operation (BER -13 ) at 71.5 ±3.5 K. These temperature margins are wide enough to be controlled by a cryocooler. These results show that the memory offers reliability and that it can be applied to high-speed ATM cell-buffer storage. (author)

  14. Experimental Effects of Acute Exercise on Iconic Memory, Short-Term Episodic, and Long-Term Episodic Memory

    Directory of Open Access Journals (Sweden)

    Danielle Yanes

    2018-06-01

    Full Text Available The present experiment evaluated the effects of acute exercise on iconic memory and short- and long-term episodic memory. A two-arm, parallel-group randomized experiment was employed (n = 20 per group; Mage = 21 year. The experimental group engaged in an acute bout of moderate-intensity treadmill exercise for 15 min, while the control group engaged in a seated, time-matched computer task. Afterwards, the participants engaged in a paragraph-level episodic memory task (20 min delay and 24 h delay recall as well as an iconic memory task, which involved 10 trials (at various speeds from 100 ms to 800 ms of recalling letters from a 3 × 3 array matrix. For iconic memory, there was a significant main effect for time (F = 42.9, p < 0.001, η2p = 0.53 and a trend towards a group × time interaction (F = 2.90, p = 0.09, η2p = 0.07, but no main effect for group (F = 0.82, p = 0.37, η2p = 0.02. The experimental group had higher episodic memory scores at both the baseline (19.22 vs. 17.20 and follow-up (18.15 vs. 15.77, but these results were not statistically significant. These findings provide some suggestive evidence hinting towards an iconic memory and episodic benefit from acute exercise engagement.

  15. Determination of the thermodynamic properties of water from the speed of sound

    International Nuclear Information System (INIS)

    Trusler, J.P. Martin; Lemmon, Eric W.

    2017-01-01

    Highlights: • We analyse error propagation in thermodynamic integration of fluid-phase sound speed data. • A new correlation of the speed of sound in liquid water is derived. • Thermodynamic integration is carried out for pure water. • Derived properties considered include density, isobaric expansivity and isobaric specific heat capacity. - Abstract: Thermodynamic properties of compressed liquids may be obtained from measurements of the speed of sound by means of thermodynamic integration subject to initial values of density and isobaric specific heat capacity along a single low-pressure isobar. In this paper, we present an analysis of the errors in the derived properties arising from perturbations in both the speed-of-sound surface and the initial values. These errors are described in first order by a pair of partial differential equations that we integrate for the example case of water with various scenarios for the errors in the sound speed and the initial values. The analysis shows that errors in either the speed of sound or the initial values of density that are rapidly oscillating functions of temperature have a disproportionately large influence on the derived properties, especially at low temperatures. In view of this, we have obtained a more accurate empirical representation of the recent experimental speed-of-sound data for water [Lin and Trusler, J. Chem. Phys. 136, (2012) 094511] and use this in a new thermodynamic integration to obtain derived properties including density, isobaric heat capacity and isobaric thermal expansivity at temperatures between (253.15 and 473.15) K at pressures up to 400 MPa. The densities obtained in this way are in very close agreement with those reported by Lin and Trusler, but the isobaric specific heat capacity and the isobaric expansivity both differ significantly in the extremes of low temperatures and high pressures.

  16. The Effects of Arts-Integrated Instruction on Students' Memory for Science Content: Results from a Randomized Control Trial Study

    Science.gov (United States)

    Hardiman, Mariale; JohnBull, Ranjini Mahinda; Carran, Deborah

    2017-01-01

    Strong correlational evidence suggests that involvement in the arts improves students' academic outcomes and memory of learning events (e.g., Peppler et al., 2014; Robinson, 2013; Scripps & Paradis, 2014). It is unclear, however, whether the improved outcomes are the result of general exposure to the arts, arts integrated into content…

  17. Towards Memory-Aware Services and Browsing through Lifelogging Sensing

    Directory of Open Access Journals (Sweden)

    Carlos Cetina

    2013-11-01

    Full Text Available Every day we receive lots of information through our senses that is lost forever, because it lacked the strength or the repetition needed to generate a lasting memory. Combining the emerging Internet of Things and lifelogging sensors, we believe it is possible to build up a Digital Memory (Dig-Mem in order to complement the fallible memory of people. This work shows how to realize the Dig-Mem in terms of interactions, affinities, activities, goals and protocols. We also complement this Dig-Mem with memory-aware services and a Dig-Mem browser. Furthermore, we propose a RFID Tag-Sharing technique to speed up the adoption of Dig-Mem. Experimentation reveals an improvement of the user understanding of Dig-Mem as time passes, compared to natural memories where the level of detail decreases over time.

  18. Word-length effect in verbal short-term memory in individuals with Down's syndrome.

    Science.gov (United States)

    Kanno, K; Ikeda, Y

    2002-11-01

    Many studies have indicated that individuals with Down's syndrome (DS) show a specific deficit in short-term memory for verbal information. The aim of the present study was to investigate the influence of the length of words on verbal short-term memory in individuals with DS. Twenty-eight children with DS and 10 control participants matched for memory span were tested on verbal serial recall and speech rate, which are thought to involve rehearsal and output speed. Although a significant word-length effect was observed in both groups for the recall of a larger number of items with a shorter spoken duration than for those with a longer spoken duration, the number of correct recalls in the group with DS was reduced compared to the control subjects. The results demonstrating poor short-term memory in children with DS were irrelevant to speech rate. In addition, the proportion of repetition-gained errors in serial recall was higher in children with DS than in control subjects. The present findings suggest that poor access to long-term lexical knowledge, rather than overt articulation speed, constrains verbal short-term memory functions in individuals with DS.

  19. Multiple running speed signals in medial entorhinal cortex

    Science.gov (United States)

    Hinman, James R.; Brandon, Mark P.; Climer, Jason R.; Chapman, G. William; Hasselmo, Michael E.

    2016-01-01

    Grid cells in medial entorhinal cortex (MEC) can be modeled using oscillatory interference or attractor dynamic mechanisms that perform path integration, a computation requiring information about running direction and speed. The two classes of computational models often use either an oscillatory frequency or a firing rate that increases as a function of running speed. Yet it is currently not known whether these are two manifestations of the same speed signal or dissociable signals with potentially different anatomical substrates. We examined coding of running speed in MEC and identified these two speed signals to be independent of each other within individual neurons. The medial septum (MS) is strongly linked to locomotor behavior and removal of MS input resulted in strengthening of the firing rate speed signal, while decreasing the strength of the oscillatory speed signal. Thus two speed signals are present in MEC that are differentially affected by disrupted MS input. PMID:27427460

  20. Hippocampal-medial prefrontal circuit supports memory updating during learning and post-encoding rest

    Science.gov (United States)

    Schlichting, Margaret L.; Preston, Alison R.

    2015-01-01

    Learning occurs in the context of existing memories. Encountering new information that relates to prior knowledge may trigger integration, whereby established memories are updated to incorporate new content. Here, we provide a critical test of recent theories suggesting hippocampal (HPC) and medial prefrontal (MPFC) involvement in integration, both during and immediately following encoding. Human participants with established memories for a set of initial (AB) associations underwent fMRI scanning during passive rest and encoding of new related (BC) and unrelated (XY) pairs. We show that HPC-MPFC functional coupling during learning was more predictive of trial-by-trial memory for associations related to prior knowledge relative to unrelated associations. Moreover, the degree to which HPC-MPFC functional coupling was enhanced following overlapping encoding was related to memory integration behavior across participants. We observed a dissociation between anterior and posterior MPFC, with integration signatures during post-encoding rest specifically in the posterior subregion. These results highlight the persistence of integration signatures into post-encoding periods, indicating continued processing of interrelated memories during rest. We also interrogated the coherence of white matter tracts to assess the hypothesis that integration behavior would be related to the integrity of the underlying anatomical pathways. Consistent with our predictions, more coherent HPC-MPFC white matter structure was associated with better performance across participants. This HPC-MPFC circuit also interacted with content-sensitive visual cortex during learning and rest, consistent with reinstatement of prior knowledge to enable updating. These results show that the HPC-MPFC circuit supports on- and offline integration of new content into memory. PMID:26608407

  1. High-speed cryptography and cryptanalysis

    NARCIS (Netherlands)

    Schwabe, P.

    2011-01-01

    Modern digital communication relies heavily on cryptographic protection to ensure data integrity and privacy. In order to deploy state-of-the art cryptographic primitives and protocols in real-world scenarios, one needs to highly optimize software for both speed and security. This requires careful

  2. High speed USB data logger for position sensitive detector data acquisition

    International Nuclear Information System (INIS)

    Poudel, S.K.; Kulkarni, V.B.; Kumar, Santosh; Chandak, R.M.; Krishna, P.S.R.; Mukhopadhyay, R.

    2010-01-01

    Ratio ADC (RDC) module used in neutron Position Sensitive Detector (PSD) data acquisition, gives digital code signifying the position of neutron event. A High Speed USB based RDC Data Logger card has been made for logging data from multiple RDCs to PC. A CPLD on the card continuously polls the RDCs for data, and fills it in the FIFO memory of a high speed USB microcontroller. A VC++ program for neutron scattering experiments reads event codes from FIFO of microcontroller and builds spectrum on PC. This program sweeps physical parameters of sample and collects PSD data for pre-determined monitor counts. (author)

  3. Remembering Memories about Students with Disabilities

    Science.gov (United States)

    Miller, Maury; Gresham, Pamela; Fouts, Bonnia

    2011-01-01

    Preservice general education classroom teachers in an inclusion course were asked to describe their own earliest memories of students with disabilities in school. Substantial literature links early memories to subsequent thoughts and attitudes. Subjects also completed the Opinions Relative to Integration of Students with Disabilities attitude…

  4. Confabulation and memory impairments following frontal lobe lesions

    OpenAIRE

    Turner, Martha

    2005-01-01

    Neuroimaging studies have provided considerable evidence for frontal lobe involvement in memory processing. Memory impairments arc also frequently reported in patients with frontal lobe lesions. However detailed anatomical localisation is rare, making integration of lesion and imaging findings difficult. An investigation of the functional and anatomical contributions of the frontal lobes to memory was conducted in 42 patients with frontal lobe lesions, examining memory processes identified in...

  5. The cognitive effects of listening to background music on older adults: processing speed improves with upbeat music, while memory seems to benefit from both upbeat and downbeat music.

    Science.gov (United States)

    Bottiroli, Sara; Rosi, Alessia; Russo, Riccardo; Vecchi, Tomaso; Cavallini, Elena

    2014-01-01

    Background music refers to any music played while the listener is performing another activity. Most studies on this effect have been conducted on young adults, while little attention has been paid to the presence of this effect in older adults. Hence, this study aimed to address this imbalance by assessing the impact of different types of background music on cognitive tasks tapping declarative memory and processing speed in older adults. Overall, background music tended to improve performance over no music and white noise, but not always in the same manner. The theoretical and practical implications of the empirical findings are discussed.

  6. Novel Organic Phototransistor-Based Nonvolatile Memory Integrated with UV-Sensing/Green-Emissive Aggregation Enhanced Emission (AEE)-Active Aromatic Polyamide Electret Layer.

    Science.gov (United States)

    Cheng, Shun-Wen; Han, Ting; Huang, Teng-Yung; Chang Chien, Yu-Hsin; Liu, Cheng-Liang; Tang, Ben Zhong; Liou, Guey-Sheng

    2018-05-30

    A novel aggregation enhanced emission (AEE)-active polyamide TPA-CN-TPE with a high photoluminesence characteristic was successfully synthesized by the direct polymerization of 4-cyanotriphenyl diamine (TPA-CN) and tetraphenylethene (TPE)-containing dicarboxylic acid. The obtained luminescent polyamide plays a significant role as the polymer electret layer in organic field-effect transistors (OFETs)-type memory. The strong green emission of TPA-CN-TPE under ultraviolet (UV) irradiation can be directly absorbed by the pentacene channel, displaying a light-induced programming and voltage-driven erasing organic phototransistor-based nonvolatile memory. Memory window can be effectively manipulated between the programming and erasing states by applying UV light illumination and electrical field, respectively. The photoinduced memory behavior can be maintained for over 10 4 s between these two states with an on/off ratio of 10 4 , and the memory switching can be steadily operated for many cycles. With high photoresponsivity ( R) and photosensitivity ( S), this organic phototransistor integrated with AEE-active polyamide electret layer could serve as an excellent candidate for UV photodetectors in optical applications. For comparison, an AEE-inactive aromatic polyimide TPA-PIS electret with much weaker solid-state emission was also applied in the same OFETs device architecture, but this device did not show any UV-sensitive and UV-induced memory characteristics, which further confirmed the significance of the light-emitting capability of the electret layer.

  7. The Auditory-Visual Speech Benefit on Working Memory in Older Adults with Hearing Impairment

    Directory of Open Access Journals (Sweden)

    Jana B. Frtusova

    2016-04-01

    Full Text Available This study examined the effect of auditory-visual (AV speech stimuli on working memory in hearing impaired participants (HIP in comparison to age- and education-matched normal elderly controls (NEC. Participants completed a working memory n-back task (0- to 2-back in which sequences of digits were presented in visual-only (i.e., speech-reading, auditory-only (A-only, and AV conditions. Auditory event-related potentials (ERP were collected to assess the relationship between perceptual and working memory processing. The behavioural results showed that both groups were faster in the AV condition in comparison to the unisensory conditions. The ERP data showed perceptual facilitation in the AV condition, in the form of reduced amplitudes and latencies of the auditory N1 and/or P1 components, in the HIP group. Furthermore, a working memory ERP component, the P3, peaked earlier for both groups in the AV condition compared to the A-only condition. In general, the HIP group showed a more robust AV benefit; however, the NECs showed a dose-response relationship between perceptual facilitation and working memory improvement, especially for facilitation of processing speed. Two measures, reaction time and P3 amplitude, suggested that the presence of visual speech cues may have helped the HIP to counteract the demanding auditory processing, to the level that no group differences were evident during the AV modality despite lower performance during the A-only condition. Overall, this study provides support for the theory of an integrated perceptual-cognitive system. The practical significance of these findings is also discussed.

  8. Do testing effects change over time? Insights from immediate and delayed retrieval speed

    NARCIS (Netherlands)

    Broek, G.S.E. van den; Segers, P.C.J.; Takashima, A.; Verhoeven, L.T.W.

    2014-01-01

    Retrieving information from memory improves recall accuracy more than continued studying, but this testing effect often only becomes visible over time. In contrast, the present study documents testing effects on recall speed both immediately after practice and after a delay. A total of 40

  9. Integrating Micro-computers with a Centralized DBMS: ORACLE, SEED AND INGRES

    Science.gov (United States)

    Hoerger, J.

    1984-01-01

    Users of ADABAS, a relational-like data base management system (ADABAS) with its data base programming language (NATURAL) are acquiring microcomputers with hopes of solving their individual word processing, office automation, decision support, and simple data processing problems. As processor speeds, memory sizes, and disk storage capacities increase, individual departments begin to maintain "their own" data base on "their own" micro-computer. This situation can adversely affect several of the primary goals set for implementing a centralized DBMS. In order to avoid this potential problem, these micro-computers must be integrated with the centralized DBMS. An easy to use and flexible means for transferring logic data base files between the central data base machine and micro-computers must be provided. Some of the problems encounted in an effort to accomplish this integration and possible solutions are discussed.

  10. Copper oxide resistive switching memory for e-textile

    Directory of Open Access Journals (Sweden)

    Jin-Woo Han

    2011-09-01

    Full Text Available A resistive switching memory suitable for integration into textiles is demonstrated on a copper wire network. Starting from copper wires, a Cu/CuxO/Pt sandwich structure is fabricated. The active oxide film is produced by simple thermal oxidation of Cu in atmospheric ambient. The devices display a resistance switching ratio of 102 between the high and low resistance states. The memory states are reversible and retained over 107 seconds, with the states remaining nondestructive after multiple read operations. The presented device on the wire network can potentially offer a memory for integration into smart textile.

  11. Introduction to magnetic random-access memory

    CERN Document Server

    Dieny, Bernard; Lee, Kyung-Jin

    2017-01-01

    Magnetic random-access memory (MRAM) is poised to replace traditional computer memory based on complementary metal-oxide semiconductors (CMOS). MRAM will surpass all other types of memory devices in terms of nonvolatility, low energy dissipation, fast switching speed, radiation hardness, and durability. Although toggle-MRAM is currently a commercial product, it is clear that future developments in MRAM will be based on spin-transfer torque, which makes use of electrons’ spin angular momentum instead of their charge. MRAM will require an amalgamation of magnetics and microelectronics technologies. However, researchers and developers in magnetics and in microelectronics attend different technical conferences, publish in different journals, use different tools, and have different backgrounds in condensed-matter physics, electrical engineering, and materials science. This book is an introduction to MRAM for microelectronics engineers written by specialists in magnetic mat rials and devices. It presents the bas...

  12. Passive Double-Sensory Evoked Coherence Correlates with Long-Term Memory Capacity.

    Science.gov (United States)

    Horwitz, Anna; Mortensen, Erik L; Osler, Merete; Fagerlund, Birgitte; Lauritzen, Martin; Benedek, Krisztina

    2017-01-01

    HIGHLIGHTS Memory correlates with the difference between single and double-sensory evoked steady-state coherence in the gamma range (Δ C ).The correlation is most pronounced for the anterior brain region (Δ C A ).The correlation is not driven by birth size, education, speed of processing, or intelligence.The sensitivity of Δ C A for detecting low memory capacity is 90%. Cerebral rhythmic activity and oscillations are important pathways of communication between cortical cell assemblies and may be key factors in memory. We asked whether memory performance is related to gamma coherence in a non-task sensory steady-state stimulation. We investigated 40 healthy males born in 1953 who were part of a Danish birth cohort study. Coherence was measured in the gamma range in response to a single-sensory visual stimulation (36 Hz) and a double-sensory combined audiovisual stimulation (auditive: 40 Hz; visual: 36 Hz). The individual difference in coherence (Δ C ) between the bimodal and monomodal stimulation was calculated for each subject and used as the main explanatory variable. Δ C in total brain were significantly negatively correlated with long-term verbal recall. This correlation was pronounced for the anterior region. In addition, the correlation between Δ C and long-term memory was robust when controlling for working memory, as well as a wide range of potentially confounding factors, including intelligence, length of education, speed of processing, visual attention and executive function. Moreover, we found that the difference in anterior coherence (Δ C A ) is a better predictor of memory than power in multivariate models. The sensitivity of Δ C A for detecting low memory capacity is 92%. Finally, Δ C A was also associated with other types of memory: verbal learning, visual recognition, and spatial memory, and these additional correlations were also robust enough to control for a range of potentially confounding factors. Thus, the Δ C is a predictor of memory

  13. Binding in haptics: integration of "what" and "where" information in working memory for active touch.

    Directory of Open Access Journals (Sweden)

    Franco Delogu

    Full Text Available Information about the identity and the location of perceptual objects can be automatically integrated in perception and working memory (WM. Contrasting results in visual and auditory WM studies indicate that the characteristics of feature-to-location binding can vary according to the sensory modality of the input. The present study provides first evidence of binding between "what" and "where" information in WM for haptic stimuli. In an old-new recognition task, blindfolded participants were presented in their peripersonal space with sequences of three haptic stimuli varying in texture and location. They were then required to judge if a single probe stimulus was previously included in the sequence. Recall was measured both in a condition in which both texture and location were relevant for the task (Experiment 1 and in two conditions where only one feature had to be recalled (Experiment 2. Results showed that when both features were task-relevant, even if the association of location and texture was neither necessary nor required to perform the task, participants exhibited a recall advantage in conditions in which the location and the texture of the target probe was kept unaltered between encoding and recall. By contrast, when only one feature was task-relevant, the concurrent feature did not influence the recall of the target feature. We conclude that attention to feature binding is not necessary for the emergence of feature integration in haptic WM. For binding to take place, however, it is necessary to encode and maintain in memory both the identity and the location of items.

  14. Tactile search for change has less memory than visual search for change.

    Science.gov (United States)

    Yoshida, Takako; Yamaguchi, Ayumi; Tsutsui, Hideomi; Wake, Tenji

    2015-05-01

    Haptic perception of a 2D image is thought to make heavy demands on working memory. During active exploration, humans need to store the latest local sensory information and integrate it with kinesthetic information from hand and finger locations in order to generate a coherent perception. This tactile integration has not been studied as extensively as visual shape integration. In the current study, we compared working-memory capacity for tactile exploration to that of visual exploration as measured in change-detection tasks. We found smaller memory capacity during tactile exploration (approximately 1 item) compared with visual exploration (2-10 items). These differences generalized to position memory and could not be attributed to insufficient stimulus-exposure durations, acuity differences between modalities, or uncertainty over the position of items. This low capacity for tactile memory suggests that the haptic system is almost amnesic when outside the fingertips and that there is little or no cross-position integration.

  15. A VXI-based high speed x-ray CCD detector

    International Nuclear Information System (INIS)

    Huang, Qiang; Hopf, R.; Rodricks, B.

    1993-01-01

    For time-resolved x-ray scattering, one ideally wants a high speed detector that also is capable of giving position sensitive information. Charge Coupled Devices (CCDS) have been used successfully as x-ray detectors. Unfortunately, they are inherently slow because of the serial readout EEV has developed a CCD that has eight channels of parallel readout, thus increasing the speed eight fold. Using state-of-the-art VXI electronics, we have developed a readout system that could read the entire array in 2.5 ms using a 20-MHz readout clock. For testing and characterization the device was clocked at a significantly slower speed of 30 kHz. The data is preamplified and all eight channels of output are simultaneously digitized to 12 bits and stored in buffer memory. The system is controlled by a 486-based PC through an MXI bus and VXI controller using commercially available software. The system is also capable of real-time image display and manipulation

  16. Prospective memory, retrospective memory, and individual differences in cognitive abilities, personality, and psychopathology.

    Science.gov (United States)

    Uttl, Bob; White, Carmela A; Cnudde, Kelsey; Grant, Laura M

    2018-01-01

    Although individual differences in processing speed, working memory, intelligence, and other cognitive functions were found to explain individual differences in retrospective memory (RetM), much less is known about their relationship with prospective memory (ProM). Moreover, the studies that investigated the relationship between ProM and cognitive functions arrived to contradictory conclusions. The relationship between ProM, personality, and psychopathology is similarly unsettled. Meta-analytic reviews of the relationships of ProM with aging and personality suggest that the contradictory findings may be due to widespread methodological problems plaguing ProM research including the prevalent use of inefficient, unreliable binary measures; widespread ceiling effects; failure to distinguish between various ProM subdomains (e.g., episodic ProM versus vigilance/monitoring); various confounds; and, importantly, small sample sizes, resulting in insufficient statistical power. Accordingly, in a large scale study with nearly 1,200 participants, we investigated the relationship between episodic event-cued ProM, episodic RetM, and fundamental cognitive functions including intelligence, personality, and psychopathology, using reliable continuous measures of episodic event-cued ProM. Our findings show that (a) continuous measures of episodic event-cued ProM were much more reliable than binary measures, (b) episodic event-cued ProM was associated with measures of processing speed, working memory, crystallized and fluid intelligence, as well as RetM, and that such associations were similar for ProM and RetM, (c) personality factors did not improve prediction of neither ProM nor RetM beyond the variance predicted by cognitive ability, (d) symptoms of psychopathology did not improve the prediction of ProM although they slightly improved the prediction of RetM, and (e) participants' sex was not associated with ProM but showed small correlations with RetM. In addition to advancing

  17. Integration of egocentric and allocentric information during memory-guided reaching to images of a natural environment

    Directory of Open Access Journals (Sweden)

    Katja eFiehler

    2014-08-01

    Full Text Available When interacting with our environment we generally make use of egocentric and allocentric object information by coding object positions relative to the observer or relative to the environment, respectively. Bayesian theories suggest that the brain integrates both sources of information optimally for perception and action. However, experimental evidence for egocentric and allocentric integration is sparse and has only been studied using abstract stimuli lacking ecological relevance. Here, we investigated the use of egocentric and allocentric information during memory-guided reaching to images of naturalistic scenes. Participants encoded a breakfast scene containing six objects on a table (local objects and three objects in the environment (global objects. After a 2s delay, a visual test scene reappeared for 1s in which one local object was missing (=target and of the remaining, one, three or five local objects or one of the global objects were shifted to the left or to the right. The offset of the test scene prompted participants to reach to the target as precisely as possible. Only local objects served as potential reach targets and thus were task-relevant. When shifting objects we predicted accurate reaching if participants only used egocentric coding of object position and systematic shifts of reach endpoints if allocentric information were used for movement planning. We found that reaching movements were largely affected by allocentric shifts showing an increase in endpoint errors in the direction of object shifts with the number of local objects shifted. No effect occurred when one local or one global object was shifted. Our findings suggest that allocentric cues are indeed used by the brain for memory-guided reaching towards targets in naturalistic visual scenes. Moreover, the integration of egocentric and allocentric object information seems to depend on the extent of changes in the scene.

  18. Memory of occasional events in rats: individual episodic memory profiles, flexibility, and neural substrate.

    Science.gov (United States)

    Veyrac, Alexandra; Allerborn, Marina; Gros, Alexandra; Michon, Frederic; Raguet, Louise; Kenney, Jana; Godinot, Florette; Thevenet, Marc; Garcia, Samuel; Messaoudi, Belkacem; Laroche, Serge; Ravel, Nadine

    2015-05-13

    In search for the mechanisms underlying complex forms of human memory, such as episodic recollection, a primary challenge is to develop adequate animal models amenable to neurobiological investigation. Here, we proposed a novel framework and paradigm that provides means to quantitatively evaluate the ability of rats to form and recollect a combined knowledge of what happened, where it happened, and when or in which context it happened (referred to as episodic-like memory) after a few specific episodes in situations as close as possible to a paradigm we recently developed to study episodic memory in humans. In this task, rats have to remember two odor-drink associations (what happened) encountered in distinct locations (where it happened) within two different multisensory enriched environments (in which context/occasion it happened), each characterized by a particular combination of odors and places. By analyzing licking behavior on each drinking port, we characterized quantitatively individual recollection profiles and showed that rats are able to incidentally form and recollect an accurate, long-term integrated episodic-like memory that can last ≥ 24 d after limited exposure to the episodes. Placing rats in a contextually challenging recollection situation at recall reveals the ability for flexible use of episodic memory as described in humans. We further report that reversible inactivation of the dorsal hippocampus during recall disrupts the animal's capacity to recollect the complete episodic memory. Cellular imaging of c-Fos and Zif268 brain activation reveals that episodic memory recollection recruits a specific, distributed network of hippocampal-prefrontal cortex structures that correlates with the accuracy of the integrated recollection performance. Copyright © 2015 the authors 0270-6474/15/337575-12$15.00/0.

  19. Multisensory Integration Affects Visuo-Spatial Working Memory

    Science.gov (United States)

    Botta, Fabiano; Santangelo, Valerio; Raffone, Antonino; Sanabria, Daniel; Lupianez, Juan; Belardinelli, Marta Olivetti

    2011-01-01

    In the present study, we investigate how spatial attention, driven by unisensory and multisensory cues, can bias the access of information into visuo-spatial working memory (VSWM). In a series of four experiments, we compared the effectiveness of spatially-nonpredictive visual, auditory, or audiovisual cues in capturing participants' spatial…

  20. Tactile Working Memory Outside our Hands

    Directory of Open Access Journals (Sweden)

    Takako Yoshida

    2011-10-01

    Full Text Available The haptic perception of 2D images is believed to make heavy demands on working memory. During active exploration, we need to store not only the current sensory information, but also to integrate this with kinesthetic information of the hand and fingers in order to generate a coherent percept. The question that arises is how much tactile memory we have for tactile stimuli that are no longer in contact with the skin during active touch? We examined working memory using a tactile change detection task with active exploration. Each trial contained two stimulation arrays. Participants engaged in unconstrained active tactile exploration of an array of vibrotactile stimulators. In half of the trials, one of the vibrating tactors that was active in the first stimulation turned off and another started vibrating in the second stimulation. Participants had to report whether the arrays were the same or different. Performance was near-perfect when up to two tactors were used and dropped linearly as the number of the vibrating tactors increased. These results suggest that the tactile working memory off the hand is limited and there is little or no memory integration across hand movements.

  1. No Spearman’s Law of Diminishing Returns for the working memory and intelligence relationship

    Directory of Open Access Journals (Sweden)

    Kroczek Bartłomiej

    2016-04-01

    Full Text Available Spearman’s Law of Diminishing Returns (SLODR holds that correlation between general (g/fluid (Gf intelligence factor and other cognitive abilities weakens with increasing ability level. Thus, cognitive processing in low ability people is most strongly saturated by g/Gf, whereas processing in high ability people depends less on g/Gf. Numerous studies demonstrated that low g is more strongly correlated with crystallized intelligence/creativity/processing speed than is high g, however no study tested an analogous effect in the case of working memory (WM. Our aim was to investigate SLODR for the relationship between Gf and WM capacity, using a large data set from our own previous studies. We tested alternative regression models separately for three types of WM tasks that tapped short-term memory storage, attention control, and relational integration, respectively. No significant SLODR effect was found for any of these tasks. Each task shared with Gf virtually the same amount of variance in the case of low- and high-ability people. This result suggests that Gf and WM rely on one and the same (neurocognitive mechanism.

  2. Virus-Clip: a fast and memory-efficient viral integration site detection tool at single-base resolution with annotation capability.

    Science.gov (United States)

    Ho, Daniel W H; Sze, Karen M F; Ng, Irene O L

    2015-08-28

    Viral integration into the human genome upon infection is an important risk factor for various human malignancies. We developed viral integration site detection tool called Virus-Clip, which makes use of information extracted from soft-clipped sequencing reads to identify exact positions of human and virus breakpoints of integration events. With initial read alignment to virus reference genome and streamlined procedures, Virus-Clip delivers a simple, fast and memory-efficient solution to viral integration site detection. Moreover, it can also automatically annotate the integration events with the corresponding affected human genes. Virus-Clip has been verified using whole-transcriptome sequencing data and its detection was validated to have satisfactory sensitivity and specificity. Marked advancement in performance was detected, compared to existing tools. It is applicable to versatile types of data including whole-genome sequencing, whole-transcriptome sequencing, and targeted sequencing. Virus-Clip is available at http://web.hku.hk/~dwhho/Virus-Clip.zip.

  3. Multi-Speed Transmission For Commercial Delivery Medium Duty PEDVs

    Energy Technology Data Exchange (ETDEWEB)

    Chavdar, Bulent [Eaton Corp., Menomonee Falls, WI (United States)

    2017-12-31

    Successful completion of the proposed project will set a course for improving quality of life by overcoming key challenges in the gearbox for commercial-delivery, medium-duty, plug-in electric drive vehicles: It will reduce US dependency on foreign oil through the use of electric driven propulsion instead of fuel driven. It will reduce health risks by replacing tailpipe emissions in densely populated city centers. Finally, it will improve the performance-cost basis to meet or exceed the expectations of the targeted medium duty vehicle fleet owners and the independent customers. The proposed multi-speed transmission will narrow motor operation to the peak efficiency region, thereby increasing the electric powertrain efficiency to help close the range gap. Further, it will enhance customer satisfaction by improving vehicle acceleration, top speed and gradeability over the baseline. The project was conducted in three budget periods: In BP1: Technology Development, High-level vehicle powertrain models were used to optimize candidate transmission architectures and ratios along with a variety of traction motor characteristics for concept selection. The detailed driveline designs and component dynamics were investigated to meet medium-duty EV requirements; In BP2: Technology Development and Prototype Demonstration, The modeling and simulations with multi-speed transmissions were extended to other MD and HD EV platforms. Clean sheet design of a compact, lightweight, flexible, and modular, four-speed transmission was completed. Development of novel shifting and controls strategies were started and procurement of the prototype transmission and the controller hardware was begun; In BP3: Technology Integration, Testing, and Demonstration, Prototyping the four-speed automated mechanical transmission was completed. The transmission controls system and software development and preliminary gearbox dyno tests were done at Eaton. ORNL conducted integrated powertrain HIL tests. One

  4. Virtual Prototyping and Performance Analysis of Two Memory Architectures

    Directory of Open Access Journals (Sweden)

    Huda S. Muhammad

    2009-01-01

    Full Text Available The gap between CPU and memory speed has always been a critical concern that motivated researchers to study and analyze the performance of memory hierarchical architectures. In the early stages of the design cycle, performance evaluation methodologies can be used to leverage exploration at the architectural level and assist in making early design tradeoffs. In this paper, we use simulation platforms developed using the VisualSim tool to compare the performance of two memory architectures, namely, the Direct Connect architecture of the Opteron, and the Shared Bus of the Xeon multicore processors. Key variations exist between the two memory architectures and both design approaches provide rich platforms that call for the early use of virtual system prototyping and simulation techniques to assess performance at an early stage in the design cycle.

  5. The neural architecture of music-evoked autobiographical memories

    OpenAIRE

    Janata, P

    2009-01-01

    The medial prefrontal cortex (MPFC) is regarded as a region of the brain that supports self-referential processes, including the integration of sensory information with self-knowledge and the retrieval of autobiographical information. I used functional magnetic resonance imaging and a novel procedure for eliciting autobiographical memories with excerpts of popular music dating to one's extended childhood to test the hypothesis that music and autobiographical memories are integrated in the MPF...

  6. Implementation of Parallel Dynamic Simulation on Shared-Memory vs. Distributed-Memory Environments

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Shuangshuang; Chen, Yousu; Wu, Di; Diao, Ruisheng; Huang, Zhenyu

    2015-12-09

    Power system dynamic simulation computes the system response to a sequence of large disturbance, such as sudden changes in generation or load, or a network short circuit followed by protective branch switching operation. It consists of a large set of differential and algebraic equations, which is computational intensive and challenging to solve using single-processor based dynamic simulation solution. High-performance computing (HPC) based parallel computing is a very promising technology to speed up the computation and facilitate the simulation process. This paper presents two different parallel implementations of power grid dynamic simulation using Open Multi-processing (OpenMP) on shared-memory platform, and Message Passing Interface (MPI) on distributed-memory clusters, respectively. The difference of the parallel simulation algorithms and architectures of the two HPC technologies are illustrated, and their performances for running parallel dynamic simulation are compared and demonstrated.

  7. Transistor memory devices with large memory windows, using multi-stacking of densely packed, hydrophobic charge trapping metal nanoparticle array

    International Nuclear Information System (INIS)

    Cho, Ikjun; Cho, Jinhan; Kim, Beom Joon; Cho, Jeong Ho; Ryu, Sook Won

    2014-01-01

    Organic field-effect transistor (OFET) memories have rapidly evolved from low-cost and flexible electronics with relatively low-memory capacities to memory devices that require high-capacity memory such as smart memory cards or solid-state hard drives. Here, we report the high-capacity OFET memories based on the multilayer stacking of densely packed hydrophobic metal NP layers in place of the traditional transistor memory systems based on a single charge trapping layer. We demonstrated that the memory performances of devices could be significantly enhanced by controlling the adsorption isotherm behavior, multilayer stacking structure and hydrophobicity of the metal NPs. For this study, tetraoctylammonium (TOA)-stabilized Au nanoparticles (TOA-Au NPs ) were consecutively layer-by-layer (LbL) assembled with an amine-functionalized poly(amidoamine) dendrimer (PAD). The formed (PAD/TOA-Au NP ) n films were used as a multilayer stacked charge trapping layer at the interface between the tunneling dielectric layer and the SiO 2 gate dielectric layer. For a single Au NP layer (i.e. PAD/TOA-Au NP ) 1 ) with a number density of 1.82 × 10 12 cm −2 , the memory window of the OFET memory device was measured to be approximately 97 V. The multilayer stacked OFET memory devices prepared with four Au NP layers exhibited excellent programmable memory properties (i.e. a large memory window (ΔV th ) exceeding 145 V, a fast switching speed (1 μs), a high program/erase (P/E) current ratio (greater than 10 6 ) and good electrical reliability) during writing and erasing over a relatively short time scale under an operation voltage of 100 V applied at the gate. (paper)

  8. Integration of Distinct Objects in Visual Working Memory Depends on Strong Objecthood Cues Even for Different-Dimension Conjunctions.

    Science.gov (United States)

    Balaban, Halely; Luria, Roy

    2016-05-01

    What makes an integrated object in visual working memory (WM)? Past evidence suggested that WM holds all features of multidimensional objects together, but struggles to integrate color-color conjunctions. This difficulty was previously attributed to a challenge in same-dimension integration, but here we argue that it arises from the integration of 2 distinct objects. To test this, we examined the integration of distinct different-dimension features (a colored square and a tilted bar). We monitored the contralateral delay activity, an event-related potential component sensitive to the number of objects in WM. The results indicated that color and orientation belonging to distinct objects in a shared location were not integrated in WM (Experiment 1), even following a common fate Gestalt cue (Experiment 2). These conjunctions were better integrated in a less demanding task (Experiment 3), and in the original WM task, but with a less individuating version of the original stimuli (Experiment 4). Our results identify the critical factor in WM integration at same- versus separate-objects, rather than at same- versus different-dimensions. Compared with the perfect integration of an object's features, the integration of several objects is demanding, and depends on an interaction between the grouping cues and task demands, among other factors. © The Author 2015. Published by Oxford University Press. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  9. A Test of the Integrity of the Components of Baddeley's Model of Working Memory in Attention-Deficit/Hyperactivity Disorder (ADHD)

    Science.gov (United States)

    Karatekin, Canan

    2004-01-01

    Background: The integrity of working memory in attention-deficit/hyperactivity disorder (ADHD) was tested within the framework of Baddeley's model. Methods-1: Buffers and rehearsal mechanisms were assessed by presenting children with or without ADHD (ages 8 to 15) with 1-7 target letters and a probe after 2-10 s. They decided if the probe was the…

  10. False Recall Is Reduced by Damage to the Ventromedial Prefrontal Cortex: Implications for Understanding the Neural Correlates of Schematic Memory

    OpenAIRE

    Warren, David E.; Jones, Samuel H.; Duff, Melissa C.; Tranel, Daniel

    2014-01-01

    Schematic memory, or contextual knowledge derived from experience (Bartlett, 1932), benefits memory function by enhancing retention and speeding learning of related information (Bransford and Johnson, 1972; Tse et al., 2007). However, schematic memory can also promote memory errors, producing false memories. One demonstration is the “false memory effect” of the Deese–Roediger–McDermott (DRM) paradigm (Roediger and McDermott, 1995): studying words that fit a common schema (e.g., cold, blizzard...

  11. Models of Working Memory

    National Research Council Canada - National Science Library

    Miyake, Akira

    1997-01-01

    Working memory is a basic cognitive mechanism (or set of mechanisms) that is responsible for keeping track of multiple task related goals and subgoals, or integrating multiple sources of information...

  12. Episodic memory impairment in Addison's disease: results from a telephonic cognitive assessment.

    Science.gov (United States)

    Henry, Michelle; Thomas, Kevin G F; Ross, Ian L

    2014-06-01

    Patients with Addison's disease frequently self-report memory and attention difficulties, even when on standard replacement therapy. However, few published studies examine, using objective measures and assessing across multiple domains, the cognitive functioning of Addison's disease patients relative to healthy controls. The primary aim of this study was to investigate whether the previously reported subjective cognitive deficits in Addison's disease are confirmed by objective measures. Conducting comprehensive neuropsychological assessments of patients with relatively rare clinical disorders, such as Addison's disease, is challenging because access to those patients is often limited, and because their medical condition might prevent extended testing sessions. Brief telephonic cognitive assessments are a useful tool in such circumstances. Hence, we administered the Brief Test of Adult Cognition by Telephone to 27 Addison's disease patients and 27 matched healthy controls. The instrument provides objective assessment of episodic memory, working memory, executive functioning, reasoning, and speed of processing. Statistical analyses confirmed that, as expected, patients performed significantly more poorly than controls on the episodic memory subtest. There were, however, no significant between-group differences on the attention, executive functioning, reasoning, and speed of processing subtests. Furthermore, patients with a longer duration of illness performed more poorly across all domains of cognition. We conclude that, for Addison's disease patients, previously reported subjective cognitive deficits are matched by objective impairment, but only in the domain of episodic memory. Future research might investigate (a) whether these memory deficits are material-specific (i.e., whether non-verbal memory is also affected), and (b) the neurobiological mechanisms underlying these deficits.

  13. Speed Biases With Real-Life Video Clips

    Directory of Open Access Journals (Sweden)

    Federica Rossi

    2018-03-01

    Full Text Available We live almost literally immersed in an artificial visual world, especially motion pictures. In this exploratory study, we asked whether the best speed for reproducing a video is its original, shooting speed. By using adjustment and double staircase methods, we examined speed biases in viewing real-life video clips in three experiments, and assessed their robustness by manipulating visual and auditory factors. With the tested stimuli (short clips of human motion, mixed human-physical motion, physical motion and ego-motion, speed underestimation was the rule rather than the exception, although it depended largely on clip content, ranging on average from 2% (ego-motion to 32% (physical motion. Manipulating display size or adding arbitrary soundtracks did not modify these speed biases. Estimated speed was not correlated with estimated duration of these same video clips. These results indicate that the sense of speed for real-life video clips can be systematically biased, independently of the impression of elapsed time. Measuring subjective visual tempo may integrate traditional methods that assess time perception: speed biases may be exploited to develop a simple, objective test of reality flow, to be used for example in clinical and developmental contexts. From the perspective of video media, measuring speed biases may help to optimize video reproduction speed and validate “natural” video compression techniques based on sub-threshold temporal squeezing.

  14. Real-time interferometer phase detection using an LSI-11 microcomputer and high-speed digital techniques

    International Nuclear Information System (INIS)

    Mendell, D.S.

    1978-01-01

    This paper describes the basic design and philosophy of a real-time, interferometer phase-detection system used on the 2XIIB and TMX magnetic-fusion experiments at the Lawrence Livermore Laboratory. This diagnostics system is now a satellite to a host computer and uses high-speed, emitter-coupled logic techniques to derive data on real-time phase relationships. The system's input signals can be derived from interferometer outputs over a wide range of reference frequencies. An LSI-11 microcomputer is the interface between the high-speed phase-detection logic, buffer memory, human interaction, and host computer. Phase data on a storage CRT is immediately displayed after each experimental fusion shot. An operator can interrogate this phase data more closely from an interactive control panel, while the host computer is simultaneously examining the system's buffer memory or arming the system for the next shot

  15. A wireless high-speed data acquisition system for geotechnical centrifuge model testing

    Science.gov (United States)

    Gaudin, C.; White, D. J.; Boylan, N.; Breen, J.; Brown, T.; DeCatania, S.; Hortin, P.

    2009-09-01

    This paper describes a novel high-speed wireless data acquisition system (WDAS) developed at the University of Western Australia for operation onboard a geotechnical centrifuge, in an enhanced gravitational field of up to 300 times Earth's gravity. The WDAS system consists of up to eight separate miniature units distributed around the circumference of a 0.8 m diameter drum centrifuge, communicating with the control room via wireless Ethernet. Each unit is capable of powering and monitoring eight instrument channels at a sampling rate of up to 1 MHz at 16-bit resolution. The data are stored within the logging unit in solid-state memory, but may also be streamed in real-time at low frequency (up to 10 Hz) to the centrifuge control room, via wireless transmission. The high-speed logging runs continuously within a circular memory (buffer), allowing for storage of a pre-trigger segment of data prior to an event. To suit typical geotechnical modelling applications, the system can record low-speed data continuously, until a burst of high-speed acquisition is triggered when an experimental event occurs, after which the system reverts back to low-speed acquisition to monitor the aftermath of the event. Unlike PC-based data acquisition solutions, this system performs the full sequence of amplification, conditioning, digitization and storage on a single circuit board via an independent micro-controller allocated to each pair of instrumented channels. This arrangement is efficient, compact and physically robust to suit the centrifuge environment. This paper details the design specification of the WDAS along with the software interface developed to control the units. Results from a centrifuge test of a submarine landslide are used to illustrate the performance of the new WDAS.

  16. A wireless high-speed data acquisition system for geotechnical centrifuge model testing

    International Nuclear Information System (INIS)

    Gaudin, C; White, D J; Boylan, N; Breen, J; Brown, T; De Catania, S; Hortin, P

    2009-01-01

    This paper describes a novel high-speed wireless data acquisition system (WDAS) developed at the University of Western Australia for operation onboard a geotechnical centrifuge, in an enhanced gravitational field of up to 300 times Earth's gravity. The WDAS system consists of up to eight separate miniature units distributed around the circumference of a 0.8 m diameter drum centrifuge, communicating with the control room via wireless Ethernet. Each unit is capable of powering and monitoring eight instrument channels at a sampling rate of up to 1 MHz at 16-bit resolution. The data are stored within the logging unit in solid-state memory, but may also be streamed in real-time at low frequency (up to 10 Hz) to the centrifuge control room, via wireless transmission. The high-speed logging runs continuously within a circular memory (buffer), allowing for storage of a pre-trigger segment of data prior to an event. To suit typical geotechnical modelling applications, the system can record low-speed data continuously, until a burst of high-speed acquisition is triggered when an experimental event occurs, after which the system reverts back to low-speed acquisition to monitor the aftermath of the event. Unlike PC-based data acquisition solutions, this system performs the full sequence of amplification, conditioning, digitization and storage on a single circuit board via an independent micro-controller allocated to each pair of instrumented channels. This arrangement is efficient, compact and physically robust to suit the centrifuge environment. This paper details the design specification of the WDAS along with the software interface developed to control the units. Results from a centrifuge test of a submarine landslide are used to illustrate the performance of the new WDAS

  17. Passive Double-Sensory Evoked Coherence Correlates with Long-Term Memory Capacity

    Directory of Open Access Journals (Sweden)

    Anna Horwitz

    2017-12-01

    Full Text Available HIGHLIGHTSMemory correlates with the difference between single and double-sensory evoked steady-state coherence in the gamma range (ΔC.The correlation is most pronounced for the anterior brain region (ΔCA.The correlation is not driven by birth size, education, speed of processing, or intelligence.The sensitivity of ΔCA for detecting low memory capacity is 90%.Cerebral rhythmic activity and oscillations are important pathways of communication between cortical cell assemblies and may be key factors in memory. We asked whether memory performance is related to gamma coherence in a non-task sensory steady-state stimulation. We investigated 40 healthy males born in 1953 who were part of a Danish birth cohort study. Coherence was measured in the gamma range in response to a single-sensory visual stimulation (36 Hz and a double-sensory combined audiovisual stimulation (auditive: 40 Hz; visual: 36 Hz. The individual difference in coherence (ΔC between the bimodal and monomodal stimulation was calculated for each subject and used as the main explanatory variable. ΔC in total brain were significantly negatively correlated with long-term verbal recall. This correlation was pronounced for the anterior region. In addition, the correlation between ΔC and long-term memory was robust when controlling for working memory, as well as a wide range of potentially confounding factors, including intelligence, length of education, speed of processing, visual attention and executive function. Moreover, we found that the difference in anterior coherence (ΔCA is a better predictor of memory than power in multivariate models. The sensitivity of ΔCA for detecting low memory capacity is 92%. Finally, ΔCA was also associated with other types of memory: verbal learning, visual recognition, and spatial memory, and these additional correlations were also robust enough to control for a range of potentially confounding factors. Thus, the ΔC is a predictor of memory

  18. Working memory studies among individuals with intellectual disability: An integrative research review.

    Science.gov (United States)

    Lifshitz, Hefziba; Kilberg, Esther; Vakil, Eli

    2016-12-01

    Integrative research review infers generalizations about a substantive subject, summarizes the accumulated knowledge that research has left unresolved and generates a new framework on these issues. Due to methodological issues emerging from working memory (WM) studies in the population with non-specific intellectual disability (NSID) (N=64) between 1990-2014, it is difficult to conclude on WM performance in this population. This integrative research review aimed to resolve literature conflicts on WM performance among individuals with NSID and to identify the conditions/moderators that govern their WM performance compared to controls with Typical development. We used the six stages of integrative research review: problem formulation, data collection, evaluation, data analysis, results, interpretation and discussion. The findings indicate two types of moderators that determine WM performance in the population with NSID: Participants' moderators (criteria for matching the ID and TD groups, CA and MA), and task moderators [the three WM components of Baddeley and Hitch's (1974) model and task load]. Only an interaction between the two moderators determines WM performance in this population. The findings indicate a hierarchy (from more to less preserved) in WM performance of individuals with NSID: The visuospatial tasks, then some of the executive functions tasks, and the phonological loop tasks being less preserved. Furthermore, at a low level of control, the performance of participants with NSID was preserved beyond the modality and vice versa. Modality and MA/intelligence determine WM performance of individuals with ID. Educators should prepare intervention programs take the impact of the two moderators into account. Copyright © 2016 Elsevier Ltd. All rights reserved.

  19. The influence of object relative size on priming and explicit memory.

    Science.gov (United States)

    Uttl, Bob; Graf, Peter; Siegenthaler, Amy L

    2008-09-01

    We investigated the effects of object relative size on priming and explicit memory for color photos of common objects. Participants were presented with color photos of pairs of objects displayed in either appropriate or inappropriate relative sizes. Implicit memory was assessed by speed of object size ratings whereas explicit memory was assessed by an old/new recognition test. Study-to-test changes in relative size reduced both priming and explicit memory and had large effects for objects displayed in large vs. small size at test. Our findings of substantial size-specific influences on priming with common objects under some but not other conditions are consistent with instance views of object perception and priming but inconsistent with structural description views.

  20. White Matter Integrity Dissociates Verbal Memory and Auditory Attention Span in Emerging Adults with Congenital Heart Disease.

    Science.gov (United States)

    Brewster, Ryan C; King, Tricia Z; Burns, Thomas G; Drossner, David M; Mahle, William T

    2015-01-01

    White matter disruptions have been identified in individuals with congenital heart disease (CHD). However, no specific theory-driven relationships between microstructural white matter disruptions and cognition have been established in CHD. We conducted a two-part study. First, we identified significant differences in fractional anisotropy (FA) of emerging adults with CHD using Tract-Based Spatial Statistics (TBSS). TBSS analyses between 22 participants with CHD and 18 demographically similar controls identified five regions of normal appearing white matter with significantly lower FA in CHD, and two higher. Next, two regions of lower FA in CHD were selected to examine theory-driven differential relationships with cognition: voxels along the left uncinate fasciculus (UF; a tract theorized to contribute to verbal memory) and voxels along the right middle cerebellar peduncle (MCP; a tract previously linked to attention). In CHD, a significant positive correlation between UF FA and memory was found, r(20)=.42, p=.049 (uncorrected). There was no correlation between UF and auditory attention span. A positive correlation between MCP FA and auditory attention span was found, r(20)=.47, p=.027 (uncorrected). There was no correlation between MCP and memory. In controls, no significant relationships were identified. These results are consistent with previous literature demonstrating lower FA in younger CHD samples, and provide novel evidence for disrupted white matter integrity in emerging adults with CHD. Furthermore, a correlational double dissociation established distinct white matter circuitry (UF and MCP) and differential cognitive correlates (memory and attention span, respectively) in young adults with CHD.