WorldWideScience

Sample records for insulating ge layers

  1. Capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator

    International Nuclear Information System (INIS)

    Kim, Tae-Hyun; Park, Jea-Gun

    2013-01-01

    We investigated the combined effect of the strained Si channel and hole confinement on the memory margin enhancement for a capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator (ε-Si SGOI). The memory margin for the ε-Si SGOI capacitor-less memory cell was higher than that of the memory cell fabricated on an unstrained Si-on-insulator (SOI) and increased with increasing Ge concentration of the relaxed SiGe layer; i.e. the memory margin for the ε-Si SGOI capacitor-less memory cell (138.6 µA) at a 32 at% Ge concentration was 3.3 times higher than the SOI capacitor-less memory cell (43 µA). (paper)

  2. Waveguide-integrated vertical pin photodiodes of Ge fabricated on p+ and n+ Si-on-insulator layers

    Science.gov (United States)

    Ito, Kazuki; Hiraki, Tatsurou; Tsuchizawa, Tai; Ishikawa, Yasuhiko

    2017-04-01

    Vertical pin structures of Ge photodiodes (PDs) integrated with Si optical waveguides are fabricated by depositing Ge epitaxial layers on Si-on-insulator (SOI) layers, and the performances of n+-Ge/i-Ge/p+-SOI PDs are compared with those of p+-Ge/i-Ge/n+-SOI PDs. Both types of PDs show responsivities as high as 1.0 A/W at 1.55 µm, while the dark leakage current is different, which is consistent with previous reports on free-space PDs formed on bulk Si wafers. The dark current of the p+-Ge/i-Ge/n+-SOI PDs is higher by more than one order of magnitude. Taking into account the activation energies for dark current as well as the dependence on PD area, the dark current of the n+-Ge/i-Ge/p+-SOI PDs is dominated by the thermal generation of carriers via mid-gap defect levels in Ge, while for the p+-Ge/i-Ge/n+-SOI PDs, the dark current is ascribed to not only thermal generation but also other mechanisms such as locally formed conduction paths.

  3. Fabrication of Ge-on-insulator wafers by Smart-CutTM with thermal management for undamaged donor Ge wafers

    Science.gov (United States)

    Kim, Munho; Cho, Sang June; Jayeshbhai Dave, Yash; Mi, Hongyi; Mikael, Solomon; Seo, Jung-Hun; Yoon, Jung U.; Ma, Zhenqiang

    2018-01-01

    Newly engineered substrates consisting of semiconductor-on-insulator are gaining much attention as starting materials for the subsequent transfer of semiconductor nanomembranes via selective etching of the insulating layer. Germanium-on-insulator (GeOI) substrates are critically important because of the versatile applications of Ge nanomembranes (Ge NMs) toward electronic and optoelectronic devices. Among various fabrication techniques, the Smart-CutTM technique is more attractive than other methods because a high temperature annealing process can be avoided. Another advantage of Smart-CutTM is the reusability of the donor Ge wafer. However, it is very difficult to realize an undamaged Ge wafer because there exists a large mismatch in the coefficient of thermal expansion among the layers. Although an undamaged donor Ge wafer is a prerequisite for its reuse, research related to this issue has not yet been reported. Here we report the fabrication of 4-inch GeOI substrates using the direct wafer bonding and Smart-CutTM process with a low thermal budget. In addition, a thermo-mechanical simulation of GeOI was performed by COMSOL to analyze induced thermal stress in each layer of GeOI. Crack-free donor Ge wafers were obtained by annealing at 250 °C for 10 h. Raman spectroscopy and x-ray diffraction (XRD) indicated similarly favorable crystalline quality of the Ge layer in GeOI compared to that of bulk Ge. In addition, Ge p-n diodes using transferred Ge NM indicate a clear rectifying behavior with an on and off current ratio of 500 at ±1 V. This demonstration offers great promise for high performance transferrable Ge NM-based device applications.

  4. Structural and electrical properties of Ge(111) films grown on Si(111) substrates and application to Ge(111)-on-Insulator

    Energy Technology Data Exchange (ETDEWEB)

    Sawano, K., E-mail: sawano@tcu.ac.jp [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Hoshi, Y.; Kubo, S. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan); Arimoto, K.; Yamanaka, J.; Nakagawa, K. [Center for Crystal Science and Technology, University of Yamanashi, 7 Miyamae-cho, Kofu (Japan); Hamaya, K. [Graduate School of Engineering Science, Osaka University, Toyonaka, Osaka (Japan); Miyao, M. [Department of Electronics, Kyushu University, 744 Motooka, Fukuoka (Japan); Shiraki, Y. [Advanced Research Laboratories, Tokyo City University, 8-15-1 Todoroki, Setagaya-ku, Tokyo (Japan)

    2016-08-31

    Structural and electrical properties of a Ge(111) layer directly grown on a Si(111) substrate are studied. Via optimized two-step growth manner, we form a high-quality relaxed Ge layer, where strain-relieving dislocations are confined close to a Ge/Si interface. Consequently, a density of holes, which unintentionally come from crystal defects, is highly suppressed below 4 × 10{sup 16} cm{sup −3}, which leads to significantly high hole Hall mobility exceeding 1500 cm{sup 2}/Vs at room temperature. By layer transfer of the grown Ge layer, we also fabricate a Ge(111)-on-Insulator, which is a promising template for high-performance Ge-based electronic and photonic devices. - Highlights: • A high-quality Ge layer is epitaxially grown on a Si(111) by two-step growth manner. • Growth conditions, such as growth temperatures, are optimized. • Very high hole mobility is obtained from Ge(111) grown on Si(111). • High-quality thin Ge-on-Insulator with (111) orientation is obtained.

  5. 70 °C synthesis of high-Sn content (25%) GeSn on insulator by Sn-induced crystallization of amorphous Ge

    Energy Technology Data Exchange (ETDEWEB)

    Toko, K., E-mail: toko@bk.tsukuba.ac.jp; Oya, N.; Suemasu, T. [Institute of Applied Physics, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Saitoh, N.; Yoshizawa, N. [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan)

    2015-02-23

    Polycrystalline GeSn thin films are fabricated on insulating substrates at low temperatures by using Sn-induced crystallization of amorphous Ge (a-Ge). The Sn layer stacked on the a-Ge layer (100-nm thickness each) had two roles: lowering the crystallization temperature of a-Ge and composing GeSn. Slow annealing at an extremely low temperature of 70 °C allowed for a large-grained (350 nm) GeSn layer with a lattice constant of 0.590 nm, corresponding to a Sn composition exceeding 25%. The present investigation paves the way for advanced electronic optical devices integrated on a flexible plastic substrate as well as on a Si platform.

  6. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Yongwei; Zhang, Miao [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Deng, Chuang; Men, Chuanling [School of Energy and Power Engineering, University of Shanghai for Science and Technology, Shanghai 200093 (China); Chen, Da [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); School of Physical Science and Technology, Lanzhou University, Lanzhou 730000 (China); Zhu, Lei; Yu, Wenjie; Wei, Xing [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China)

    2015-08-15

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10{sup 17} cm{sup −2}, the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10{sup 17} cm{sup −2}. • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10{sup 17} cm{sup −2}, the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10{sup 17} cm{sup −2} H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF{sub 6} plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era.

  7. Fabrication of high quality GaAs-on-insulator via ion-cut of epitaxial GaAs/Ge heterostructure

    International Nuclear Information System (INIS)

    Chang, Yongwei; Zhang, Miao; Deng, Chuang; Men, Chuanling; Chen, Da; Zhu, Lei; Yu, Wenjie; Wei, Xing; Di, Zengfeng; Wang, Xi

    2015-01-01

    Highlights: • GaAs-on-insulator has been achieved by integrating of epitaxy, ion-cut and selective chemical etching. • Superior to the direct ion-cut of bulk GaAs layer with the H implantation fluence 2.0 × 10 17 cm −2 , the fabrication of GaAs-on-insulator by the transfer of GaAs/Ge heterostructure only needs H implantation fluence as low as 0.8 × 10 17 cm −2 . • The crystalline quality of the top GaAs layer of the final GaAs-on-insulator wafer is not affected by the implantation process and comparable to the as-grown status. - Abstract: Due to the extraordinary electron mobility, III–V compounds are considered as the ideal candidate channel materials for future electronic devices. In this study, a novel approach for the fabrication of high-crystalline quality GaAs-on-insulator has been proposed by integrating of ion-cut and selective chemical etching. GaAs layer with good crystalline quality has been epitaxially grown on Ge by molecular beam epitaxy (MBE). With H implantation and wafer bonding process, the GaAs/Ge heterostructure is transferred onto silicon dioxide wafer after the proper thermal treatment. Superior to the direct ion-cut of GaAs layer, which requires the H implantation fluence as high as 2.0 × 10 17 cm −2 , the transfer of GaAs/Ge heterostructure in the present study only needs the implantation of 0.8 × 10 17 cm −2 H ions. GaAs-on-insulator structure was successfully achieved by the selective chemical etching of defective Ge layer using SF 6 plasma. As the GaAs/Ge heterostructure can be easily epitaxy grown on silicon platform, the proposed approach for GaAs-on-insulator manufacturing is rather compatible with mature Si integrated circuits (ICs) technology and thus can be integrated to push the microelectronic technology to post-Si era

  8. GeSn-on-insulator substrate formed by direct wafer bonding

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Dian; Wang, Wei; Gong, Xiao, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org; Yeo, Yee-Chia, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Lee, Kwang Hong; Wang, Bing [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); Bao, Shuyu [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Tan, Chuan Seng [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2016-07-11

    GeSn-on-insulator (GeSnOI) on Silicon (Si) substrate was realized using direct wafer bonding technique. This process involves the growth of Ge{sub 1-x}Sn{sub x} layer on a first Si (001) substrate (donor wafer) followed by the deposition of SiO{sub 2} on Ge{sub 1-x}Sn{sub x}, the bonding of the donor wafer to a second Si (001) substrate (handle wafer), and removal of the Si donor wafer. The GeSnOI material quality is investigated using high-resolution transmission electron microscopy, high-resolution X-ray diffraction (HRXRD), atomic-force microscopy, Raman spectroscopy, and spectroscopic ellipsometry. The Ge{sub 1-x}Sn{sub x} layer on GeSnOI substrate has a surface roughness of 1.90 nm, which is higher than that of the original Ge{sub 1-x}Sn{sub x} epilayer before transfer (surface roughness is 0.528 nm). The compressive strain of the Ge{sub 1-x}Sn{sub x} film in the GeSnOI is as low as 0.10% as confirmed using HRXRD and Raman spectroscopy.

  9. Extended deep level defects in Ge-condensed SiGe-on-Insulator structures fabricated using proton and helium implantations

    International Nuclear Information System (INIS)

    Kwak, D.W.; Lee, D.W.; Oh, J.S.; Lee, Y.H.; Cho, H.Y.

    2012-01-01

    SiGe-on-Insulator (SGOI) structures were created using the Ge condensation method, where an oxidation process is performed on the SiGe/Si structure. This method involves rapid thermal chemical vapor deposition and H + /He + ion-implantations. Deep level defects in these structures were investigated using deep level transient spectroscopy (DLTS) by varying the pulse injection time. According to the DLTS measurements, a deep level defect induced during the Ge condensation process was found at 0.28 eV above the valence band with a capture cross section of 2.67 × 10 −17 cm 2 , two extended deep levels were also found at 0.54 eV and 0.42 eV above the valence band with capture cross sections of 3.17 × 10 −14 cm 2 and 0.96 × 10 −15 cm 2 , respectively. In the SGOI samples with ion-implantation, the densities of the newly generated defects as well as the existing defects were decreased effectively. Furthermore, the Coulomb barrier heights of the extended deep level defects were drastically reduced. Thus, we suggest that the Ge condensation method with H + ion implantation could reduce deep level defects generated from the condensation and control the electrical properties of the condensed SiGe layers. - Highlights: ► We have fabricated low-defective SiGe-on-Insulator (SGOI) with implantation method. ► H + and He + -ions are used for ion-implantation method. ► We have investigated the deep level defects of SGOI layers. ► Ge condensation method using H + ion implantation could reduce extended defects. ► They could enhance electrical properties.

  10. Pressure-induced spin reorientation transition in layered ferromagnetic insulator Cr2Ge2Te6

    Science.gov (United States)

    Lin, Zhisheng; Lohmann, Mark; Ali, Zulfikhar A.; Tang, Chi; Li, Junxue; Xing, Wenyu; Zhong, Jiangnan; Jia, Shuang; Han, Wei; Coh, Sinisa; Beyermann, Ward; Shi, Jing

    2018-05-01

    The anisotropic magnetoresistance (AMR) of Cr2Ge2Te6 (CGT), a layered ferromagnetic insulator, is investigated under an applied hydrostatic pressure up to 2 GPa. The easy-axis direction of the magnetization is inferred from the AMR saturation feature in the presence and absence of an applied pressure. At zero applied pressure, the easy axis is along the c direction or perpendicular to the layer. Upon application of a hydrostatic pressure > 1 GPa, the uniaxial anisotropy switches to easy-plane anisotropy which drives the equilibrium magnetization from the c axis to the a b plane at zero magnetic field, which amounts to a giant magnetic anisotropy energy change (> 100%). As the temperature is increased across the Curie temperature, the characteristic AMR effect gradually decreases and disappears. Our first-principles calculations confirm the giant magnetic anisotropy energy change with moderate pressure and assign its origin to the increased off-site spin-orbit interaction of Te atoms due to a shorter Cr-Te distance. Such a pressure-induced spin reorientation transition is very rare in three-dimensional ferromagnets, but it may be common to other layered ferromagnets with similar crystal structures to CGT, and therefore offers a unique way to control magnetic anisotropy.

  11. Estimation of thermal insulation performance in multi-layer insulator for liquid helium pipe

    International Nuclear Information System (INIS)

    Shibanuma, Kiyoshi; Kuriyama, Masaaki; Shibata, Takemasa

    1991-01-01

    For a multi-layer insulator around the liquid helium pipes for cryopumps of JT-60 NBI, a multi-layer insulator composed of 10 layers, which can be wound around the pipe at the same time and in which the respective layers are in concentric circles by shifting them in arrangement, has been developed and tested. As the result, it was shown that the newly developed multi-layer insulator has better thermal insulation performance than the existing one, i.e. the heat load of the newly developed insulator composed of 10 layers was reduced to 1/3 the heat load of the existing insulator, and the heat leak at the joint of the insulator in longitudinal direction of the pipe was negligible. In order to clarify thermal characteristics of the multi-layer insulator, the heat transfer through the insulator has been analyzed considering the radiation heat transfer by the netting spacer between the reflectors, and the temperature dependence on the emissivities and the heat transmission coefficients of these two components of the insulator. The analytical results were in good agreements with the experimental ones, so that the analytical method was shown to be valid. Concerning the influence of the number of layers and the layer density on the insulation performance of the insulator, analytical results showed that the multi-layer insulator with the number of layer about N = 20 and the layer density below 2.0 layer/mm was the most effective for the liquid helium pipe of a JT-60 cryopump. (author)

  12. Structural and electrical evaluation for strained Si/SiGe on insulator

    International Nuclear Information System (INIS)

    Wang Dong; Ii, Seiichiro; Ikeda, Ken-ichi; Nakashima, Hideharu; Ninomiya, Masaharu; Nakamae, Masahiko; Nakashima, Hiroshi

    2006-01-01

    Three strained Si/SiGe on insulator wafers having different Ge fractions were evaluated using dual-metal-oxide-semiconductor (dual-MOS) deep level transient spectroscopy (DLTS) and transmission electron microscopy (TEM) methods. The interface of SiGe/buried oxide (BOX) shows roughness less than 1 nm by high resolution TEM observation. The interface states densities (D it ) of SiGe/BOX are approximately 1 x 10 12 cm -2 eV -1 , which is approximately one order of magnitude higher than that of Si/BOX in a Si on insulator wafer measured as reference by the same method of dual-MOS DLTS. The high D it of SiGe/BOX is not due to interface roughness but due to Ge atoms. The threading dislocations were also clearly observed by TEM and were analyzed

  13. Photoluminescence and electroluminescence from Ge/strained GeSn/Ge quantum wells

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Chung-Yi; Chang, Chih-Chiang [Department of Electrical Engineering, Graduate Institute of Photonics and Optoelectronics, National Taiwan University, Taipei 10617, Taiwan (China); Huang, Chih-Hsiung; Huang, Shih-Hsien [Department of Electrical Engineering, Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 10617, Taiwan (China); Liu, C. W., E-mail: chee@cc.ee.ntu.edu.tw [Department of Electrical Engineering, Graduate Institute of Photonics and Optoelectronics, National Taiwan University, Taipei 10617, Taiwan (China); Department of Electrical Engineering, Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 10617, Taiwan (China); National Nano Device Labs, Hsinchu 30077, Taiwan (China); Huang, Yi-Chiau; Chung, Hua; Chang, Chorng-Ping [Applied Materials Inc., Sunnyvale, California 94085 (United States)

    2016-08-29

    Ge/strained GeSn/Ge quantum wells are grown on a 300 mm Si substrate by chemical vapor deposition. The direct bandgap emission from strained GeSn is observed in the photoluminescence spectra and is enhanced by Al{sub 2}O{sub 3}/SiO{sub 2} passivation due to the field effect. The electroluminescence of the direct bandgap emission of strained GeSn is also observed from the Ni/Al{sub 2}O{sub 3}/GeSn metal-insulator-semiconductor tunneling diodes. Electroluminescence is a good indicator of GeSn material quality, since defects in GeSn layers degrade the electroluminescence intensity significantly. At the accumulation bias, the holes in the Ni gate electrode tunnel to the strained n-type GeSn layer through the ultrathin Al{sub 2}O{sub 3} and recombine radiatively with electrons. The emission wavelength of photoluminescence and electroluminescence can be tuned by the Sn content.

  14. Ge/graded-SiGe multiplication layers for low-voltage and low-noise Ge avalanche photodiodes on Si

    Science.gov (United States)

    Miyasaka, Yuji; Hiraki, Tatsurou; Okazaki, Kota; Takeda, Kotaro; Tsuchizawa, Tai; Yamada, Koji; Wada, Kazumi; Ishikawa, Yasuhiko

    2016-04-01

    A new structure is examined for low-voltage and low-noise Ge-based avalanche photodiodes (APDs) on Si, where a Ge/graded-SiGe heterostructure is used as the multiplication layer of a separate-absorption-carrier-multiplication structure. The Ge/SiGe heterojunction multiplication layer is theoretically shown to be useful for preferentially enhancing impact ionization for photogenerated holes injected from the Ge optical-absorption layer via the graded SiGe, reflecting the valence band discontinuity at the Ge/SiGe interface. This property is effective not only for the reduction of operation voltage/electric field strength in Ge-based APDs but also for the reduction of excess noise resulting from the ratio of the ionization coefficients between electrons and holes being far from unity. Such Ge/graded-SiGe heterostructures are successfully fabricated by ultrahigh-vacuum chemical vapor deposition. Preliminary pin diodes having a Ge/graded-SiGe multiplication layer act reasonably as photodetectors, showing a multiplication gain larger than those for diodes without the Ge/SiGe heterojunction.

  15. Influence of germanium on thermal dewetting and agglomeration of the silicon template layer in thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Zhang, P P; Yang, B; Rugheimer, P P; Roberts, M M; Savage, D E; Lagally, M G; Liu Feng

    2009-01-01

    We investigate the influence of heteroepitaxially grown Ge on the thermal dewetting and agglomeration of the Si(0 0 1) template layer in ultrathin silicon-on-insulator (SOI). We show that increasing Ge coverage gradually destroys the long-range ordering of 3D nanocrystals along the (1 3 0) directions and the 3D nanocrystal shape anisotropy that are observed in the dewetting and agglomeration of pure SOI(0 0 1). The results are qualitatively explained by Ge-induced bond weakening and decreased surface energy anisotropy. Ge lowers the dewetting and agglomeration temperature to as low as 700 0 C.

  16. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  17. The Role of Ge Wetting Layer and Ge Islands in Si MSM Photodetectors

    International Nuclear Information System (INIS)

    Mahmodi, H.; Hashim, M. R.

    2010-01-01

    In this work, Ge thin films were deposited on silicon substrates by radio frequency magnetron sputtering to form Ge islands from Ge layer on Si substrate during post-growth rapid thermal annealing (RTA). The size of the islands decreases from 0.6 to 0.1 as the rapid thermal annealing time increases from 30 s to 60 s at 900 deg. C. Not only that the annealing produces Ge islands but also wetting layer. Energy Dispersive X-ray Spectroscopy (EDX) and Scanning Electron Microscopy (SEM) were employed for structural analysis of Ge islands. Metal-Semiconductor-Metal photodetectors (MSM PDs) were fabricated on Ge islands (and wetting layer)/Si. The Ge islands and wetting layer between the contacts of the fabricated devices are etched in order to see their effects on the device. The performance of the Ge islands MSM-PD was evaluated by dark and photo current-voltage (I-V) measurements at room temperature (RT). It was found that the device with island and wetting layer significantly enhance the current gain (ratio of photo current to dark current) of the device.

  18. Pseudomorphic GeSiSn, SiSn and Ge layers in strained heterostructures

    Science.gov (United States)

    Timofeev, V. A.; Nikiforov, A. I.; Tuktamyshev, A. R.; Mashanov, V. I.; Loshkarev, I. D.; Bloshkin, A. A.; Gutakovskii, A. K.

    2018-04-01

    The GeSiSn, SiSn layer growth mechanisms on Si(100) were investigated and the kinetic diagrams of the morphological GeSiSn, SiSn film states in the temperature range of 150 °C-450 °C at the tin content from 0% to 35% were built. The phase diagram of the superstructural change on the surface of Sn grown on Si(100) in the annealing temperature range of 0 °C-850 °C was established. The specular beam oscillations were first obtained during the SiSn film growth from 150 °C to 300 °C at the Sn content up to 35%. The transmission electron microscopy and x-ray diffractometry data confirm the crystal perfection and the pseudomorphic GeSiSn, SiSn film state, and also the presence of smooth heterointerfaces between GeSiSn or SiSn and Si. The photoluminescence for the multilayer periodic GeSiSn/Si structures in the range of 0.6-0.8 eV was detected. The blue shift with the excitation power increase is observed suggesting the presence of a type II heterostructure. The creation of tensile strained Ge films, which are pseudomorphic to the underlying GeSn layer, is confirmed by the results of the formation and analysis of the reciprocal space map in the x-ray diffractometry. The tensile strain in the Ge films reached the value in the range of 0.86%-1.5%. The GeSn buffer layer growth in the Sn content range from 8% to 12% was studied. The band structure of heterosystems based on pseudomorphic GeSiSn, SiSn and Ge layers was calculated and the valence and conduction band subband position dependences on the Sn content were built. Based on the calculation, the Sn content range in the GeSiSn, SiSn, and GeSn layers, which corresponds to the direct bandgap GeSiSn, SiSn, and Ge material, was obtained.

  19. Thermally-insulating layer for nuclear reactors

    International Nuclear Information System (INIS)

    1975-01-01

    The thermally-insulating layer has been designed both for insulating surfaces within the core of a nuclear reactor and transmitting loads such as the core-weight. Said layer comprises a layer of bricks and a layer of tiles with smaller clearance between the tiles than between the bricks, the latter having a reduced cross-section against the tiles so as to be surrounded by relatively large interconnected ducts forming a continuous chamber behind the tile-layer in order to induce a substantial decreases in the transverse flow of the reactor-core coolant. The core preferably comprises hexagonal columns supported by rhomb-shaped plates, with channels distributed so as to mix the coolant of twelve columns. The plates are separated from support-tiles by means of pillars [fr

  20. Insulator layer formation in MgB2 SIS junctions

    International Nuclear Information System (INIS)

    Shimakage, H.; Tsujimoto, K.; Wang, Z.; Tonouchi, M.

    2005-01-01

    The dependence of current-voltage characteristics on thin film deposition conditions was investigated using MgB 2 /AlN/NbN SIS junctions. By increasing the substrate temperature in AlN insulator deposition, the current density decreased and the normal resistance increased. The results indicated that an additional insulator layer between the MgB 2 and AlN formed, either before or during the AlN deposition. The thickness of the additional insulator layer was increased with an increase in the AlN deposition temperature. From the dependence of current density on the thickness of AlN in low temperature depositions, the thickness of the additional insulator layer was estimated to be 1-1.5 nm when the AlN insulator was deposited from 0.14 to 0.7 nm. Moreover, with the current density of MgB 2 /AlN/MgB 2 SIS junctions, further insulator layer formation was confirmed

  1. Novel Quantum Dot Gate FETs and Nonvolatile Memories Using Lattice-Matched II-VI Gate Insulators

    Science.gov (United States)

    Jain, F. C.; Suarez, E.; Gogna, M.; Alamoody, F.; Butkiewicus, D.; Hohner, R.; Liaskas, T.; Karmakar, S.; Chan, P.-Y.; Miller, B.; Chandy, J.; Heller, E.

    2009-08-01

    This paper presents the successful use of ZnS/ZnMgS and other II-VI layers (lattice-matched or pseudomorphic) as high- k gate dielectrics in the fabrication of quantum dot (QD) gate Si field-effect transistors (FETs) and nonvolatile memory structures. Quantum dot gate FETs and nonvolatile memories have been fabricated in two basic configurations: (1) monodispersed cladded Ge nanocrystals (e.g., GeO x -cladded-Ge quantum dots) site-specifically self-assembled over the lattice-matched ZnMgS gate insulator in the channel region, and (2) ZnTe-ZnMgTe quantum dots formed by self-organization, using metalorganic chemical vapor-phase deposition (MOCVD), on ZnS-ZnMgS gate insulator layers grown epitaxially on Si substrates. Self-assembled GeO x -cladded Ge QD gate FETs, exhibiting three-state behavior, are also described. Preliminary results on InGaAs-on-InP FETs, using ZnMgSeTe/ZnSe gate insulator layers, are presented.

  2. Atomic layer-by-layer oxidation of Ge (100) and (111) surfaces by plasma post oxidation of Al2O3/Ge structures

    International Nuclear Information System (INIS)

    Zhang, Rui; Huang, Po-Chin; Lin, Ju-Chin; Takenaka, Mitsuru; Takagi, Shinichi

    2013-01-01

    The ultrathin GeO x /Ge interfaces formed on Ge (100) and (111) surfaces by applying plasma post oxidation to thin Al 2 O 3 /Ge structures are characterized in detail using X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy. It is found that the XPS signals assigned to Ge 1+ and the 2+ states in the GeO x layers by post plasma oxidation have oscillating behaviors on Ge (100) surfaces in a period of ∼0.3 nm with an increase in the GeO x thickness. Additionally, the oscillations of the signals assigned to Ge 1+ and 2+ states show opposite phase to each other. The similar oscillation behaviors are also confirmed on Ge (111) surfaces for Ge 1+ and 3+ states in a period of ∼0.5 nm. These phenomena can be strongly regarded as an evidence of the atomic layer-by-layer oxidation of GeO x /Ge interfaces on Ge (100) and (111) surfaces.

  3. Analysis of tensile strain enhancement in Ge nano-belts on an insulator surrounded by dielectrics

    International Nuclear Information System (INIS)

    Lu Wei-Fang; Li Cheng; Huang Shi-Hao; Lin Guang-Yang; Wang Chen; Yan Guang-Ming; Huang Wei; Lai Hong-Kai; Chen Song-Yan

    2013-01-01

    Ge nano-belts with large tensile strain are considered as one of the promising materials for high carrier mobility metal—oxide—semiconductor transistors and efficient photonic devices. In this paper, we design the Ge nano-belts on an insulator surrounded by Si 3 N 4 or SiO 2 for improving their tensile strain and simulate the strain profiles by using the finite difference time domain (FDTD) method. The width and thickness parameters of Ge nano-belts on an insulator, which have great effects on the strain profile, are optimized. A large uniaxial tensile strain of 1.16% in 50-nm width and 12-nm thickness Ge nano-belts with the sidewalls protected by Si 3 N 4 is achieved after thermal treatments, which would significantly tailor the band gap structures of Ge-nanobelts to realize the high performance devices. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  4. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  5. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm are possible by growing pure Ge on a thin Ge:C buffer. It is shown that this stack yields exceedingly low roughness levels (comparable to bulk Si wafers) and contains fewer defects and higher Hall mobility compared to traditional heteroepitaxial Ge. The addition of C at the interface helps reduce strain by its smaller atomic radius and its ability to pin defects within the thin buffer layer that do not thread to the top Ge layer. © 2013 AIP Publishing LLC.

  6. Thermal insulation layer for the vacuum containers of a thermonuclear device

    International Nuclear Information System (INIS)

    Nishikawa, Masana; Yamada, Masao; Kameari, Akihisa; Niikura, Setsuo.

    1980-01-01

    Purpose: To prevent temperature rise of a thermal insulation layer for a vacuum container of a thermonuclear device higher than allowable value when irradiated by neutron by constructing the layer of a cooling unit in thermal insulation material. Constitution: A metal plate attached with cooling pipes is buried in a thermal insulation material forming a thermal insulation layer to form the layer provided between a vacuum container of a thermonuclear device and a shield. (Yoshihara, H.)

  7. Ultra-smooth epitaxial Ge grown on Si(001) utilizing a thin C-doped Ge buffer layer

    KAUST Repository

    Mantey, J.; Hsu, W.; James, J.; Onyegam, E. U.; Guchhait, S.; Banerjee, S. K.

    2013-01-01

    Here, we present work on epitaxial Ge films grown on a thin buffer layer of C doped Ge (Ge:C). The growth rate of Ge:C is found to slow over time and is thus unsuitable for thick (>20 nm) layers. We demonstrate Ge films from 10 nm to >150 nm

  8. Effect of ultrathin GeOx interfacial layer formed by thermal oxidation on Al2O3 capped Ge

    International Nuclear Information System (INIS)

    Han Le; Zhang Xiong; Wang Sheng-Kai; Xue Bai-Qing; Liu Hong-Gang; Wu Wang-Ran; Zhao Yi

    2014-01-01

    We propose a modified thermal oxidation method in which an Al 2 O 3 capping layer is used as an oxygen blocking layer (OBL) to form an ultrathin GeO x interfacial layer, and obtain a superior Al 2 O 3 /GeO x /Ge gate stack. The GeO x interfacial layer is formed in oxidation reaction by oxygen passing through the Al 2 O 3 OBL, in which the Al 2 O 3 layer could restrain the oxygen diffusion and suppress the GeO desorption during thermal treatment. The thickness of the GeO x interfacial layer would dramatically decrease as the thickness of Al 2 O 3 OBL increases, which is beneficial to achieving an ultrathin GeO x interfacial layer to satisfy the demand for small equivalent oxide thickness (EOT). In addition, the thickness of the GeO x interfacial layer has little influence on the passivation effect of the Al 2 O 3 /Ge interface. Ge (100) p-channel metal–oxide–semiconductor field-effect transistors (pMOSFETs) using the Al 2 O 3 /GeO x /Ge gate stacks exhibit excellent electrical characteristics; that is, a drain current on-off (I on /I off ) ratio of above 1×10 4 , a subthreshold slope of ∼ 120 mV/dec, and a peak hole mobility of 265 cm 2 /V·s are achieved. (condensed matter: structural, mechanical, and thermal properties)

  9. Dark current of organic heterostructure devices with insulating spacer layers

    Science.gov (United States)

    Yin, Sun; Nie, Wanyi; Mohite, Aditya D.; Saxena, Avadh; Smith, Darryl L.; Ruden, P. Paul

    2015-03-01

    The dark current density at fixed voltage bias in donor/acceptor organic planar heterostructure devices can either increase or decrease when an insulating spacer layer is added between the donor and acceptor layers. The dominant current flow process in these systems involves the formation and subsequent recombination of an interfacial exciplex state. If the exciplex formation rate limits current flow, the insulating interface layer can increase dark current whereas, if the exciplex recombination rate limits current flow, the insulating interface layer decreases dark current. We present a device model to describe this behavior and illustrate it experimentally for various donor/acceptor systems, e.g. P3HT/LiF/C60.

  10. In-situ Ga doping of fully strained Ge1-xSnx heteroepitaxial layers grown on Ge(001) substrates

    DEFF Research Database (Denmark)

    Shimura, Y.; Takeuchi, S.; Nakatsuka, O.

    2012-01-01

    to the introduction of dislocations, due to the increase in the strain of the Ge1-xSnx layers. We achieved the growth of a fully strained Ge0.922Sn0.078 layer on Ge with a Ga concentration of 5.5×1019 /cm3 without any dislocations and stacking faults. The resistivity of the Ga-doped Ge1-xSnx layer decreased as the Sn...... content was increased. This decrease was due to an increase in the carrier concentration, with an increase in the activation level of Ga atoms in the Ge1-xSnx epitaxial layers being induced by the introduction of Sn. As a result, we found that the resistivity for the Ge0.950Sn0.050 layer annealed at 600°C...

  11. In-situ Ga doping of fully strained Ge1-xSnx heteroepitaxial layers grown on Ge(001) substrates

    International Nuclear Information System (INIS)

    Shimura, Y.; Takeuchi, S.; Nakatsuka, O.; Vincent, B.; Gencarelli, F.; Clarysse, T.; Vandervorst, W.; Caymax, M.; Loo, R.; Jensen, A.; Petersen, D.H.; Zaima, S.

    2012-01-01

    We have investigated the Ga and Sn content dependence of the crystallinity and electrical properties of Ga-doped Ge 1-x Sn x layers that are heteroepitaxially grown on Ge(001) substrates. The doping of Ga to levels as high as the solubility limit of Ga at the growth temperature leads to the introduction of dislocations, due to the increase in the strain of the Ge 1-x Sn x layers. We achieved the growth of a fully strained Ge 0.922 Sn 0.078 layer on Ge with a Ga concentration of 5.5 × 10 19 /cm 3 without any dislocations and stacking faults. The resistivity of the Ga-doped Ge 1-x Sn x layer decreased as the Sn content was increased. This decrease was due to an increase in the carrier concentration, with an increase in the activation level of Ga atoms in the Ge 1-x Sn x epitaxial layers being induced by the introduction of Sn. As a result, we found that the resistivity for the Ge 0.950 Sn 0.050 layer annealed at 600°C for 1 min is 3.6 times less than that of the Ga-doped Ge/Ge sample. - Highlights: ► Heavy Ga-doping into fully strained GeSn layers without the introduction of dislocations ► The uniform Ga depth profile allowed the introduction of Sn ► The decrease in resistivity with an increase in the activation level of Ga was caused by the introduction of Sn

  12. Photoluminescence of phosphorus atomic layer doped Ge grown on Si

    Science.gov (United States)

    Yamamoto, Yuji; Nien, Li-Wei; Capellini, Giovanni; Virgilio, Michele; Costina, Ioan; Schubert, Markus Andreas; Seifert, Winfried; Srinivasan, Ashwyn; Loo, Roger; Scappucci, Giordano; Sabbagh, Diego; Hesse, Anne; Murota, Junichi; Schroeder, Thomas; Tillack, Bernd

    2017-10-01

    Improvement of the photoluminescence (PL) of Phosphorus (P) doped Ge by P atomic layer doping (ALD) is investigated. Fifty P delta layers of 8 × 1013 cm-2 separated by 4 nm Ge spacer are selectively deposited at 300 °C on a 700 nm thick P-doped Ge buffer layer of 1.4 × 1019 cm-3 on SiO2 structured Si (100) substrate. A high P concentration region of 1.6 × 1020 cm-3 with abrupt P delta profiles is formed by the P-ALD process. Compared to the P-doped Ge buffer layer, a reduced PL intensity is observed, which might be caused by a higher density of point defects in the P delta doped Ge layer. The peak position is shifted by ˜0.1 eV towards lower energy, indicating an increased active carrier concentration in the P-delta doped Ge layer. By introducing annealing at 400 °C to 500 °C after each Ge spacer deposition, P desorption and diffusion is observed resulting in relatively uniform P profiles of ˜2 × 1019 cm-3. Increased PL intensity and red shift of the PL peak are observed due to improved crystallinity and higher active P concentration.

  13. Ge nano-layer fabricated by high-fluence low-energy ion implantation

    International Nuclear Information System (INIS)

    Lu Tiecheng; Dun Shaobo; Hu Qiang; Zhang Songbao; An Zhu; Duan Yanmin; Zhu Sha; Wei Qiangmin; Wang Lumin

    2006-01-01

    A Ge nano-layer embedded in the surface layer of an amorphous SiO 2 film was fabricated by high-fluence low-energy ion implantation. The component, phase, nano-structure and luminescence properties of the nano-layer were studied by means of Rutherford backscattering, glancing incident X-ray diffraction, laser Raman scattering, transmission electron microscopy and photoluminescence. The relation between nano-particle characteristics and ion fluence was also studied. The results indicate that nano-crystalline Ge and nano-amorphous Ge particles coexist in the nano-layer and the ratio of nano-crystalline Ge to nano-particle Ge increases with increasing ion fluence. The intensity of photoluminescence from the nano-layer increases with increasing ion fluence also. Prepared with certain ion fluences, high-density nano-layers composed of uniform-sized nano-particles can be observed

  14. Anisotropic phase separation through the metal-insulator transition in amorphous Mo-Ge and Fe-Ge alloys

    International Nuclear Information System (INIS)

    Regan, M.J.

    1993-12-01

    Since an amorphous solid is often defined as that which lacks long-range order, the atomic structure is typically characterized in terms of the high-degree of short-range order. Most descriptions of vapor-deposited amorphous alloys focus on characterizing this order, while assuming that the material is chemically homogeneous beyond a few near neighbors. By coupling traditional small-angle x-ray scattering which probes spatial variations of the electron density with anomalous dispersion which creates a species-specific contrast, one can discern cracks and voids from chemical inhomogeneity. In particular, one finds that the chemical inhomogeneities which have been previously reported in amorphous Fe x Ge 1-x and Mo x Ge 1-x are quite anisotropic, depending significantly on the direction of film growth. With the addition of small amounts of metal atoms (x 2 or MoGe 3 . Finally, by manipulating the deposited power flux and rates of growth, Fe x Ge 1-x films which have the same Fe composition x can be grown to different states of phase separation. These results may help explain the difficulty workers have had in isolating the metal/insulator transition for these and other vapor-deposited amorphous alloys

  15. Electrohydrodynamic direct—writing of conductor—insulator-conductor multi-layer interconnection

    International Nuclear Information System (INIS)

    Zheng Gao-Feng; Pei Yan-Bo; Wang Xiang; Zheng Jian-Yi; Sun Dao-Heng

    2014-01-01

    A multi-layer interconnection structure is a basic component of electronic devices, and printing of the multi-layer interconnection structure is the key process in printed electronics. In this work, electrohydrodynamic direct-writing (EDW) is utilized to print the conductor—insulator—conductor multi-layer interconnection structure. Silver ink is chosen to print the conductor pattern, and a polyvinylpyrrolidone (PVP) solution is utilized to fabricate the insulator layer between the bottom and top conductor patterns. The influences of EDW process parameters on the line width of the printed conductor and insulator patterns are studied systematically. The obtained results show that the line width of the printed structure increases with the increase of the flow rate, but decreases with the increase of applied voltage and PVP content in the solution. The average resistivity values of the bottom and top silver conductor tracks are determined to be 1.34 × 10 −7 Ω·m and 1.39 × 10 −7 Ω·m, respectively. The printed PVP layer between the two conductor tracks is well insulated, which can meet the insulation requirement of the electronic devices. This study offers an alternative, fast, and cost-effective method of fabricating conductor—insulator—conductor multi-layer interconnections in the electronic industry

  16. Photoluminescence and TEM evaluations of defects generated during SiGe-on-insulator virtual substrate fabrication: Temperature ramping process

    International Nuclear Information System (INIS)

    Wang, D.; Ii, S.; Ikeda, K.; Nakashima, H.; Matsumoto, K.; Nakamae, M.; Nakashima, H.

    2006-01-01

    Crystal qualities were evaluated by photoluminescence (PL) and transmission electron microscopy (TEM) for cap-Si/SiGe/Si-on-insulater (SOI) structure, which is the typical structure for SiGe-on-insulator virtual substrate fabrication using the Ge condensation by dry oxidation. The thicknesses of cap-Si, SOI and BOX layers are 10, 70, and 140 nm, respectively. We have three kinds of wafers with SiGe thicknesses of 74, 154 and 234 nm. All of the wafers were heated from 200 deg.C to a target temperature (T t ) in the range of 820-1200 deg. C with a ramping rate of 5 deg. C/min, and maintained at T t for 10 min. The air in the furnace was a mixture of O 2 and N 2 . The PL measurements were carried out using a 325 nm UV line of a continuous-wave HeCd laser. Free exciton peaks were clearly observed for the as-grown wafers and decreased with an increase in the annealing temperature. For the selected wafers, cross-sectional and plan-view TEM measurements show clear generation and variation of dislocations at the interface of SiGe/SOI according to the T t . Defect-related PL signals were observed at around 0.82, 0.88, 0.95 and 1.0 eV, which also varied according to the T t and the SiGe thickness. They were identified to dislocation-related and stacking-fault-related defects by TEM

  17. Thermal Performance of Cryogenic Multilayer Insulation at Various Layer Spacings

    Science.gov (United States)

    Johnson, Wesley Louis

    2010-01-01

    Multilayer insulation (MLI) has been shown to be the best performing cryogenic insulation system at high vacuum (less that 10 (exp 3) torr), and is widely used on spaceflight vehicles. Over the past 50 years, many investigations into MLI have yielded a general understanding of the many variables that are associated with MLI. MLI has been shown to be a function of variables such as warm boundary temperature, the number of reflector layers, and the spacer material in between reflectors, the interstitial gas pressure and the interstitial gas. Since the conduction between reflectors increases with the thickness of the spacer material, yet the radiation heat transfer is inversely proportional to the number of layers, it stands to reason that the thermal performance of MLI is a function of the number of layers per thickness, or layer density. Empirical equations that were derived based on some of the early tests showed that the conduction term was proportional to the layer density to a power. This power depended on the material combination and was determined by empirical test data. Many authors have graphically shown such optimal layer density, but none have provided any data at such low densities, or any method of determining this density. Keller, Cunnington, and Glassford showed MLI thermal performance as a function of layer density of high layer densities, but they didn't show a minimal layer density or any data below the supposed optimal layer density. However, it was recently discovered that by manipulating the derived empirical equations and taking a derivative with respect to layer density yields a solution for on optimal layer density. Various manufacturers have begun manufacturing MLI at densities below the optimal density. They began this based on the theory that increasing the distance between layers lowered the conductive heat transfer and they had no limitations on volume. By modifying the circumference of these blankets, the layer density can easily be

  18. Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator (SOI

    Directory of Open Access Journals (Sweden)

    John E. Cunningham

    2012-04-01

    Full Text Available We have investigated the selective epitaxial growth of GeSi bulk material on silicon-on-insulator substrates by reduced pressure chemical vapor deposition. We employed AFM, SIMS, and Hall measurements, to characterize the GeSi heteroepitaxy quality. Optimal growth conditions have been identified to achieve low defect density, low RMS roughness with high selectivity and precise control of silicon content. Fabricated vertical p-i-n diodes exhibit very low dark current density of 5 mA/cm2 at −1 V bias. Under a 7.5 V/µm E-field, GeSi alloys with 0.6% Si content demonstrate very strong electro-absorption with an estimated effective ∆α/α around 3.5 at 1,590 nm. We compared measured ∆α/α performance to that of bulk Ge. Optical modulation up to 40 GHz is observed in waveguide devices while small signal analysis indicates bandwidth is limited by device parasitics.

  19. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  20. Metal-Insulator Transition Driven by Vacancy Ordering in GeSbTe Phase Change Materials.

    Science.gov (United States)

    Bragaglia, Valeria; Arciprete, Fabrizio; Zhang, Wei; Mio, Antonio Massimiliano; Zallo, Eugenio; Perumal, Karthick; Giussani, Alessandro; Cecchi, Stefano; Boschker, Jos Emiel; Riechert, Henning; Privitera, Stefania; Rimini, Emanuele; Mazzarello, Riccardo; Calarco, Raffaella

    2016-04-01

    Phase Change Materials (PCMs) are unique compounds employed in non-volatile random access memory thanks to the rapid and reversible transformation between the amorphous and crystalline state that display large differences in electrical and optical properties. In addition to the amorphous-to-crystalline transition, experimental results on polycrystalline GeSbTe alloys (GST) films evidenced a Metal-Insulator Transition (MIT) attributed to disorder in the crystalline phase. Here we report on a fundamental advance in the fabrication of GST with out-of-plane stacking of ordered vacancy layers by means of three distinct methods: Molecular Beam Epitaxy, thermal annealing and application of femtosecond laser pulses. We assess the degree of vacancy ordering and explicitly correlate it with the MIT. We further tune the ordering in a controlled fashion attaining a large range of resistivity. Employing ordered GST might allow the realization of cells with larger programming windows.

  1. Metal - Insulator Transition Driven by Vacancy Ordering in GeSbTe Phase Change Materials

    Science.gov (United States)

    Bragaglia, Valeria; Arciprete, Fabrizio; Zhang, Wei; Mio, Antonio Massimiliano; Zallo, Eugenio; Perumal, Karthick; Giussani, Alessandro; Cecchi, Stefano; Boschker, Jos Emiel; Riechert, Henning; Privitera, Stefania; Rimini, Emanuele; Mazzarello, Riccardo; Calarco, Raffaella

    2016-04-01

    Phase Change Materials (PCMs) are unique compounds employed in non-volatile random access memory thanks to the rapid and reversible transformation between the amorphous and crystalline state that display large differences in electrical and optical properties. In addition to the amorphous-to-crystalline transition, experimental results on polycrystalline GeSbTe alloys (GST) films evidenced a Metal-Insulator Transition (MIT) attributed to disorder in the crystalline phase. Here we report on a fundamental advance in the fabrication of GST with out-of-plane stacking of ordered vacancy layers by means of three distinct methods: Molecular Beam Epitaxy, thermal annealing and application of femtosecond laser pulses. We assess the degree of vacancy ordering and explicitly correlate it with the MIT. We further tune the ordering in a controlled fashion attaining a large range of resistivity. Employing ordered GST might allow the realization of cells with larger programming windows.

  2. Exceptional cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si heterostructures

    Science.gov (United States)

    Chen, Da; Wang, Dadi; Chang, Yongwei; Li, Ya; Ding, Rui; Li, Jiurong; Chen, Xiao; Wang, Gang; Guo, Qinglei

    2018-01-01

    The cracking behavior in H-implanted Si/B-doped Si0.70Ge0.30/Si structures after thermal annealing was investigated. The crack formation position is found to closely correlate with the thickness of the buried Si0.70Ge0.30 layer. For H-implanted Si containing a buried 3-nm-thick B-doped Si0.70Ge0.30 layer, localized continuous cracking occurs at the interfaces on both sides of the Si0.70Ge0.30 interlayer. Once the thickness of the buried Si0.70Ge0.30 layer increases to 15 and 70 nm, however, a continuous sharp crack is individually observed along the interface between the Si substrate and the B-doped Si0.70Ge0.30 interlayer. We attribute this exceptional cracking behavior to the existence of shear stress on both sides of the buried Si0.70Ge0.30 layer and the subsequent trapping of hydrogen, which leads to a crack in a well-controlled manner. This work may pave the way for high-quality Si or SiGe membrane transfer in a feasible manner, thus expediting its potential applications to ultrathin silicon-on-insulator (SOI) or silicon-germanium-on-insulator (SGOI) production.

  3. Nonvolatile Memories Using Quantum Dot (QD) Floating Gates Assembled on II-VI Tunnel Insulators

    Science.gov (United States)

    Suarez, E.; Gogna, M.; Al-Amoody, F.; Karmakar, S.; Ayers, J.; Heller, E.; Jain, F.

    2010-07-01

    This paper presents preliminary data on quantum dot gate nonvolatile memories using nearly lattice-matched ZnS/Zn0.95Mg0.05S/ZnS tunnel insulators. The GeO x -cladded Ge and SiO x -cladded Si quantum dots (QDs) are self-assembled site-specifically on the II-VI insulator grown epitaxially over the Si channel (formed between the source and drain region). The pseudomorphic II-VI stack serves both as a tunnel insulator and a high- κ dielectric. The effect of Mg incorporation in ZnMgS is also investigated. For the control gate insulator, we have used Si3N4 and SiO2 layers grown by plasma- enhanced chemical vapor deposition.

  4. Control of Ge1-x-ySixSny layer lattice constant for energy band alignment in Ge1-xSnx/Ge1-x-ySixSny heterostructures

    Science.gov (United States)

    Fukuda, Masahiro; Watanabe, Kazuhiro; Sakashita, Mitsuo; Kurosawa, Masashi; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-10-01

    The energy band alignment of Ge1-xSnx/Ge1-x-ySixSny heterostructures was investigated, and control of the valence band offset at the Ge1-xSnx/Ge1-x-ySixSny heterointerface was achieved by controlling the Si and Sn contents in the Ge1-x-ySixSny layer. The valence band offset in the Ge0.902Sn0.098/Ge0.41Si0.50Sn0.09 heterostructure was evaluated to be as high as 330 meV, and its conduction band offset was estimated to be 150 meV by considering the energy bandgap calculated from the theoretical prediction. In addition, the formation of the strain-relaxed Ge1-x-ySixSny layer was examined and the crystalline structure was characterized. The epitaxial growth of a strain-relaxed Ge0.64Si0.21Sn0.15 layer with the degree of strain relaxation of 55% was examined using a virtual Ge substrate. Moreover, enhancement of the strain relaxation was demonstrated by post-deposition annealing, where a degree of strain relaxation of 70% was achieved after annealing at 400 °C. These results indicate the possibility for enhancing the indirect-direct crossover with a strained and high-Sn-content Ge1-xSnx layer on a strain-relaxed Ge1-x-ySixSny layer, realizing preferable carrier confinement by type-I energy band alignment with high conduction and valence band offsets.

  5. Ge-on-insulator tunneling FET with abrupt source junction formed by utilizing snowplow effect of NiGe

    Science.gov (United States)

    Matsumura, Ryo; Katoh, Takumi; Takaguchi, Ryotaro; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Tunneling field-effect transistors (TFETs) attract much attention for use in realizing next-generation low-power processors. In particular, Ge-on-insulator (GOI) TFETs are expected to realize low power operation with a high on-current/off-current (I on/I off) ratio, owing to their narrow bandgap. Here, to improve the performance of GOI-TFETs, a source junction with a high doping concentration and an abrupt impurity profile is essential. In this study, a snowplow effect of NiGe combined with low-energy BF2 + implantation has been investigated to realize an abrupt p+/n Ge junction for GOI n-channel TFETs. By optimizing the Ni thickness to form NiGe (thickness: 4 nm), an abrupt junction with a B profile abruptness of ˜5 nm/dec has been realized with a high doping concentration of around 1021 cm-3. The operation of GOI n-TFETs with this source junction having the abrupt B profile has been demonstrated, and the improvement of TFET properties such as the I on/I off ratio from 311 to 743 and the subthreshold slope from 368 to 239 mV/dec has been observed. This junction formation technology is attractive for enhancing the TFET performance.

  6. Germanium nitride and oxynitride films for surface passivation of Ge radiation detectors

    Energy Technology Data Exchange (ETDEWEB)

    Maggioni, G., E-mail: maggioni@lnl.infn.it [Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Via Marzolo 8, I-35131 Padova (Italy); Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Carturan, S. [Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Via Marzolo 8, I-35131 Padova (Italy); Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Fiorese, L. [Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Dipartimento di Ingegneria dei Materiali e delle Tecnologie Industriali, Università di Trento, Via Mesiano 77, I-38050 Povo, Trento (Italy); Pinto, N.; Caproli, F. [Scuola di Scienze e Tecnologie, Sezione di Fisica, Università di Camerino, Via Madonna delle Carceri 9, Camerino (Italy); INFN, Sezione di Perugia, Perugia (Italy); Napoli, D.R. [Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Giarola, M.; Mariotto, G. [Dipartimento di Informatica—Università di Verona, Strada le Grazie 15, I-37134 Verona (Italy)

    2017-01-30

    Highlights: • A surface passivation method for HPGe radiation detectors is proposed. • Highly insulating GeNx- and GeOxNy-based layers are deposited at room temperature. • Deposition parameters affect composition and electrical properties of the layers. • The improved performance of a GeNx-coated HPGe diode is assessed. - Abstract: This work reports a detailed investigation of the properties of germanium nitride and oxynitride films to be applied as passivation layers to Ge radiation detectors. All the samples were deposited at room temperature by reactive RF magnetron sputtering. A strong correlation was found between the deposition parameters, such as deposition rate, substrate bias and atmosphere composition, and the oxygen and nitrogen content in the film matrix. We found that all the films were very poorly crystallized, consisting of very small Ge nitride and oxynitride nanocrystallites, and electrically insulating, with the resistivity changing from three to six orders of magnitude as a function of temperature. A preliminary test of these films as passivation layers was successfully performed by depositing a germanium nitride film on the intrinsic surface of a high-purity germanium (HPGe) diode and measuring the improved performance, in terms of leakage current, with respect to a reference passivated diode. All these interesting results allow us to envisage the application of this coating technology to the surface passivation of germanium-based radiation detectors.

  7. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    Science.gov (United States)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  8. Thermal Analysis of Low Layer Density Multilayer Insulation Test Results

    Science.gov (United States)

    Johnson, Wesley L.

    2011-01-01

    Investigation of the thermal performance of low layer density multilayer insulations is important for designing long-duration space exploration missions involving the storage of cryogenic propellants. Theoretical calculations show an analytical optimal layer density, as widely reported in the literature. However, the appropriate test data by which to evaluate these calculations have been only recently obtained. As part of a recent research project, NASA procured several multilayer insulation test coupons for calorimeter testing. These coupons were configured to allow for the layer density to be varied from 0.5 to 2.6 layer/mm. The coupon testing was completed using the cylindrical Cryostat-l00 apparatus by the Cryogenics Test Laboratory at Kennedy Space Center. The results show the properties of the insulation as a function of layer density for multiple points. Overlaying these new results with data from the literature reveals a minimum layer density; however, the value is higher than predicted. Additionally, the data show that the transition region between high vacuum and no vacuum is dependent on the spacing of the reflective layers. Historically this spacing has not been taken into account as thermal performance was calculated as a function of pressure and temperature only; however the recent testing shows that the data is dependent on the Knudsen number which takes into account pressure, temperature, and layer spacing. These results aid in the understanding of the performance parameters of MLI and help to complete the body of literature on the topic.

  9. Reduced-pressure chemical vapor deposition of boron-doped Si and Ge layers

    International Nuclear Information System (INIS)

    Bogumilowicz, Y.; Hartmann, J.M.

    2014-01-01

    We have studied the in-situ boron (B) doping of germanium (Ge) and silicon (Si) in Reduced Pressure-Chemical Vapor Deposition. Three growth temperatures have been investigated for the B-doping of Ge: 400, 600 and 750 °C at a constant growth pressure of 13300 Pa (i.e. 100 Torr). The B concentration in the Ge:B epilayer increases linearly with the diborane concentration in the gaseous phase. Single-crystalline Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. For the in-situ B doping of Si at 850 °C, two dichlorosilane mass flow ratios (MFR) have been assessed: F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0025 and F[SiH 2 Cl 2 ]/F[H 2 ] = 0.0113 at a growth pressure of 2660 Pa (i.e. 20 Torr). Linear boron incorporation with the diborane concentration in the gas phase has been observed and doping levels in-between 3.5 ∙ 10 17 and 1 ∙ 10 20 cm −3 were achieved. We almost kept the same ratio of B versus Si atoms in the gas phase and in the Si epilayer. By contrast, roughly half of the B atoms present in the gas phase were incorporated in the Ge:B layers irrespective of the growth temperature. X-Ray Diffraction (XRD) allowed us to extract from the angular position of the Ge:B layer diffraction peak the substitutional B concentration. Values close to the B concentrations obtained by 4-probe resistivity measurements were obtained. Ge:B layers were smooth (< 1 m root mean square roughness associated with 20 × 20 μm 2 Atomic Force Microscopy images). Only for high F[B 2 H 6 ]/F[GeH 4 ] MFR (3.2 10 −3 ) did the Ge:B layers became rough; they were however still mono-crystalline (XRD). Above this MFR value, Ge:B layers became polycrystalline. - Highlights: • Boron doping of germanium and silicon in Reduced Pressure-Chemical Vapor Deposition • Linear boron incorporation in Ge:B and Si:B with the diborane flow • Single-crystal Ge:B layers with B concentrations in-between 9 ∙ 10 17 and 1 ∙ 10 20 cm −3 • Single-crystal Si

  10. Enhancement of giant magnetoimpedance in composite wire with insulator layer

    International Nuclear Information System (INIS)

    Wang, X.Z.; Yuan, W.Z.; Li, X.D.; Ruan, J.Z.; Zhao, Z.J.; Yang, J.X.; Yang, X.L.; Sun, Z.

    2007-01-01

    CuBe/NiFeB and CuBe/Insulator/NiFeB composite wires have been prepared by electroless-deposition. The giant magnetoimpedance (GMI) effect for NiFeB layer with thickness of 3 μm on CuBe core with diameter of 100 μm has been studied. After adding an insulator layer, the maximal GMI ratio of CuBe/Insulator/NiFeB composite wire is much higher than that of CuBe/NiFeB composite wire, and can reach to about 250% at the frequency range of 500 kHz-1 MHz. The results are explained in terms of difference of magnetic structure and different frequency dependence of resistance and reactance of the two kinds of composite wires

  11. Heat transfer characteristics of horizontally oriented multi-layered annular insulation, (1)

    International Nuclear Information System (INIS)

    Hino, Ryutaro; Simomura, Hiroaki

    1985-04-01

    A computer code has been developed to analyze the natural convection heat transfer in a horizontal annular insulation layer of a hot gas duct when local gaps and inhomogeneity of filling density of insulation materials exist. This computer code simulates local gaps and inhomogeneity of filling density by a multi-layer model. This report describes an analytical model, a numerical method, an outline of program and some calculation results. (author)

  12. Assembly of Ge nanocrystals on SiO2 via a stress-induced dewetting process

    International Nuclear Information System (INIS)

    Sutter, E; Sutter, P

    2006-01-01

    We use epitaxial Ge islands on silicon-on-insulator (001) to initiate and drive the dewetting of the ultrathin ( 2 layer and transforms the Ge islands into oxide-supported, electrically isolated, Ge-rich nanocrystals. We investigate the process of dewetting and demonstrate that it can be used for the controlled assembly of nanocrystals-from isolated single ones to dense arrays

  13. SiGe layer thickness effect on the structural and optical properties of well-organized SiGe/SiO2 multilayers

    Science.gov (United States)

    Vieira, E. M. F.; Toudert, J.; Rolo, A. G.; Parisini, A.; Leitão, J. P.; Correia, M. R.; Franco, N.; Alves, E.; Chahboun, A.; Martín-Sánchez, J.; Serna, R.; Gomes, M. J. M.

    2017-08-01

    In this work, we report on the production of regular (SiGe/SiO2)20 multilayer structures by conventional RF-magnetron sputtering, at 350 °C. Transmission electron microscopy, scanning transmission electron microscopy, raman spectroscopy, and x-ray reflectometry measurements revealed that annealing at a temperature of 1000 °C leads to the formation of SiGe nanocrystals between SiO2 thin layers with good multilayer stability. Reducing the nominal SiGe layer thickness (t SiGe) from 3.5-2 nm results in a transition from continuous SiGe crystalline layer (t SiGe ˜ 3.5 nm) to layers consisting of isolated nanocrystals (t SiGe ˜ 2 nm). Namely, in the latter case, the presence of SiGe nanocrystals ˜3-8 nm in size, is observed. Spectroscopic ellipsometry was applied to determine the evolution of the onset in the effective optical absorption, as well as the dielectric function, in SiGe multilayers as a function of the SiGe thickness. A clear blue-shift in the optical absorption is observed for t SiGe ˜ 2 nm multilayer, as a consequence of the presence of isolated nanocrystals. Furthermore, the observed near infrared values of n = 2.8 and k = 1.5 are lower than those of bulk SiGe compounds, suggesting the presence of electronic confinement effects in the nanocrystals. The low temperature (70 K) photoluminescence measurements performed on annealed SiGe/SiO2 nanostructures show an emission band located between 0.7-0.9 eV associated with the development of interface states between the formed nanocrystals and surrounding amorphous matrix.

  14. Optical and structural investigations of self-assembled Ge/Si bi-layer containing Ge QDs

    Energy Technology Data Exchange (ETDEWEB)

    Samavati, Alireza, E-mail: alireza.samavati@yahoo.com [Ibn Sina Institute for Fundamental Science Studies, Universiti Teknologi Malaysia, Skudai 81310, Johor (Malaysia); Othaman, Z., E-mail: zulothaman@gmail.com [Ibn Sina Institute for Fundamental Science Studies, Universiti Teknologi Malaysia, Skudai 81310, Johor (Malaysia); Ghoshal, S.K.; Dousti, M.R. [Advanced Optical Material Research Group, Department of Physics, Faculty of Science, Universiti Teknologi Malaysia, 81310 UTM Skudai, Johor (Malaysia)

    2014-10-15

    We report the influence of Si spacer thickness variation (10–40 nm) on structural and optical properties of Ge quantum dots (QDs) in Ge/Si(1 0 0) bi-layer grown by radio frequency magnetron sputtering. AFM images reveal the spacer dependent width, height, root mean square roughness and number density of QDs vary in the range of ∼12–25 nm, ∼2–6 nm, ∼1.95–1.05 nm and ∼0.55×10{sup 11}–2.1×10{sup 11} cm{sup −2}, respectively. XRD patterns exhibit the presence of poly-oriented structures of Ge with preferred growth along (1 1 1) direction accompanied by a reduction in strain from 4.9% to 1.2% (estimated from Williamson–Hall plot) due to bi-layering. The room temperature luminescence displays strong blue–violet peak associated with a blue shift as much as 0.05 eV upon increasing the thickness of Si spacer. This shift is attributed to the quantum size effect, the material intermixing and the strain mediation. Raman spectra for both mono and bi-layer samples show intense Ge–Ge optical phonon mode that is shifted towards higher frequency. Furthermore, the first order features of Raman spectra affirm the occurrence of interfacial intermixing and phase formation during deposition. The excellent features of the results suggest that our systematic method may constitute a basis for the tunable growth of Ge QDs suitable in nanophotonics. - Highlights: • High quality bilayered hetero-structure Ge/Si using economic and easy rf magnetron sputtering fabrication method. • The role of phonon-confinement and strain relaxation mechanisms. • Influence of bilayering on evolutionary growth dynamics. • Band gap shift of visible PL upon bilayering.

  15. Improvement of photoluminescence from Ge layer with patterned Si3N4 stressors

    International Nuclear Information System (INIS)

    Oda, Katsuya; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-01-01

    Lattice strain applied by patterned Si 3 N 4 stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si 3 N 4 stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si 3 N 4 layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si 3 N 4 stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si 3 N 4 stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si 3 N 4 stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si 3 N 4 stressors. • Photoluminescence (PL) intensity was increased with the Si 3 N 4 stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers

  16. Defects reduction of Ge epitaxial film in a germanium-on-insulator wafer by annealing in oxygen ambient

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2015-01-01

    Full Text Available A method to remove the misfit dislocations and reduce the threading dislocations density (TDD in the germanium (Ge epilayer growth on a silicon (Si substrate is presented. The Ge epitaxial film is grown directly on the Si (001 donor wafer using a “three-step growth” approach in a reduced pressure chemical vapour deposition. The Ge epilayer is then bonded and transferred to another Si (001 handle wafer to form a germanium-on-insulator (GOI substrate. The misfit dislocations, which are initially hidden along the Ge/Si interface, are now accessible from the top surface. These misfit dislocations are then removed by annealing the GOI substrate. After the annealing, the TDD of the Ge epilayer can be reduced by at least two orders of magnitude to <5 × 106 cm−2.

  17. Effect of La doping on interface barrier between Si-passivated Ge and insulating HfO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Kolomiiets, Nadiia M.; Afanas' ev, Valery V.; Madia, Oreste; Stesmans, Andre [Department of Physics and Astronomy, University of Leuven, Celestijnenlaan 200D, 3001 Leuven (Belgium); Cott, Daire J.; Collaert, Nadine [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Thean, Aaron [Imec, Kapeldreef 75, 3001 Leuven (Belgium); National University of Singapore (Singapore)

    2016-12-15

    By analyzing internal photoemission of electrons from Si/SiO{sub x}-passivated Ge into insulating HfO{sub 2} we found that insertion of additional La interlayer between SiO{sub x} and HfO{sub 2} leads to dramatic increase (more than by factor of 20) of the barrier transparency. However, no measurable variation of the interface barrier height is observed suggesting that La induces intermixing of near-interface oxide stack resulting in development of additional density of states corresponding to conduction band of LaO{sub x} and HfO{sub x} sub-networks. At the same time, photoemission results indicate the presence of discrete positive charges in the near-interface oxide layer which may explain the observed ∝1 V shift of capacitance-voltage curves. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  18. Fabrication of heterojunction solar cells by improved tin oxide deposition on insulating layer

    Science.gov (United States)

    Feng, Tom; Ghosh, Amal K.

    1980-01-01

    Highly efficient tin oxide-silicon heterojunction solar cells are prepared by heating a silicon substrate, having an insulating layer thereon, to provide a substrate temperature in the range of about 300.degree. C. to about 400.degree. C. and thereafter spraying the so-heated substrate with a solution of tin tetrachloride in a organic ester boiling below about 250.degree. C. Preferably the insulating layer is naturally grown silicon oxide layer.

  19. Growth and characterization of semi-insulating carbon-doped/undoped GaN multiple-layer buffer

    International Nuclear Information System (INIS)

    Kim, Dong-Seok; Won, Chul-Ho; Kang, Hee-Sung; Kim, Young-Jo; Kang, In Man; Lee, Jung-Hee; Kim, Yong Tae

    2015-01-01

    We have proposed a new semi-insulating GaN buffer layer, which consists of multiple carbon-doped and undoped GaN layer. The buffer layer showed sufficiently good semi-insulating characteristics, attributed to the depletion effect between the carbon-doped GaN and the undoped GaN layers, even though the thickness of the carbon-doped GaN layer in the periodic structure was designed to be very thin to minimize the total carbon incorporation into the buffer layer. The AlGaN/AlN/GaN heterostructure grown on the proposed buffer exhibited much better electrical and structural properties than that grown on the conventional thick carbon-doped semi-insulating GaN buffer layer, confirmed by Hall measurement, x-ray diffraction, and secondary ion mass spectrometry. The fabricated device also showed excellent buffer breakdown characteristics. (paper)

  20. The Low Temperature Epitaxy of Strained GeSn Layers Using RTCVD System

    Science.gov (United States)

    Kil, Yeon-Ho; Yuk, Sim-Hoon; Jang, Han-Soo; Lee, Sang-Geul; Choi, Chel-Jong; Shim, Kyu-Hwan

    2018-03-01

    We have investigated the low temperature (LT) growth of GeSn-Ge-Si structures using rapid thermal chemical vapor deposition system utilizing Ge2H6 and SnCl4 as the reactive precursors. Due to inappropriate phenomena, such as, Ge etch and Sn segregation, it was hard to achieve high quality GeSn epitaxy at the temperature > 350 °C. On the contrary, we found that the SnCl4 promoted the reaction of Ge2H6 precursors in a certain process condition of LT, 240-360 °C. In return, we could perform the growth of GeSn epi layer with 7.7% of Sn and its remaining compressive strain of 71.7%. The surface propagated defects were increased with increasing the Sn content in the GeSn layer confirmed by TEM analysis. And we could calculate the activation energies at lower GeSn growth temperature regime using by Ge2H6 and SnCl4 precursors about 0.43 eV.

  1. Thermally oxidized formation of new Ge dots over as-grown Ge dots in the Si capping layer

    International Nuclear Information System (INIS)

    Nie Tianxiao; Lin Jinhui; Shao Yuanmin; Wu Yueqin; Yang Xinju; Fan Yongliang; Jiang Zuimin; Chen Zhigang; Zou Jin

    2011-01-01

    A Si-capped Ge quantum dot sample was self-assembly grown via Stranski-Krastanov mode in a molecular beam epitaxy system with the Si capping layer deposited at 300 deg. C. After annealing the sample in an oxygen atmosphere at 1000 deg. C, a structure, namely two layers of quantum dots, was formed with the newly formed Ge-rich quantum dots embedded in the oxidized matrix with the position accurately located upon the as-grown quantum dots. It has been found that the formation of such nanostructures strongly depends upon the growth temperature and oxygen atmosphere. A growth mechanism was proposed to explain the formation of the nanostructure based on the Ge diffusion from the as-grown quantum dots, Ge segregation from the growing oxide, and subsequent migration/agglomeration.

  2. GePb Alloy Growth Using Layer Inversion Method

    Science.gov (United States)

    Alahmad, Hakimah; Mosleh, Aboozar; Alher, Murtadha; Banihashemian, Seyedeh Fahimeh; Ghetmiri, Seyed Amir; Al-Kabi, Sattar; Du, Wei; Li, Bauhoa; Yu, Shui-Qing; Naseem, Hameed A.

    2018-04-01

    Germanium-lead films have been investigated as a new direct-bandgap group IV alloy. GePb films were deposited on Si via thermal evaporation of Ge and Pb solid sources using the layer inversion metal-induced crystallization method for comparison with the current laser-induced recrystallization method. Material characterization of the films using x-ray diffraction analysis revealed highly oriented crystallinity and Pb incorporation as high as 13.5% before and 5.2% after annealing. Transmission electron microscopy, scanning electron microscopy, and energy-dispersive x-ray mapping of the samples revealed uniform incorporation of elements and complete layer inversion. Optical characterization of the GePb films by Raman spectroscopy and photoluminescence techniques showed that annealing the samples resulted in higher crystalline quality as well as bandgap reduction. The bandgap reduction from 0.67 eV to 0.547 eV observed for the highest-quality material confirms the achievement of a direct-bandgap material.

  3. GePb Alloy Growth Using Layer Inversion Method

    Science.gov (United States)

    Alahmad, Hakimah; Mosleh, Aboozar; Alher, Murtadha; Banihashemian, Seyedeh Fahimeh; Ghetmiri, Seyed Amir; Al-Kabi, Sattar; Du, Wei; Li, Bauhoa; Yu, Shui-Qing; Naseem, Hameed A.

    2018-07-01

    Germanium-lead films have been investigated as a new direct-bandgap group IV alloy. GePb films were deposited on Si via thermal evaporation of Ge and Pb solid sources using the layer inversion metal-induced crystallization method for comparison with the current laser-induced recrystallization method. Material characterization of the films using x-ray diffraction analysis revealed highly oriented crystallinity and Pb incorporation as high as 13.5% before and 5.2% after annealing. Transmission electron microscopy, scanning electron microscopy, and energy-dispersive x-ray mapping of the samples revealed uniform incorporation of elements and complete layer inversion. Optical characterization of the GePb films by Raman spectroscopy and photoluminescence techniques showed that annealing the samples resulted in higher crystalline quality as well as bandgap reduction. The bandgap reduction from 0.67 eV to 0.547 eV observed for the highest-quality material confirms the achievement of a direct-bandgap material.

  4. GeO{sub x} interfacial layer scavenging remotely induced by metal electrode in metal/HfO{sub 2}/GeO{sub x}/Ge capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Taehoon; Jung, Yong Chan; Seong, Sejong; Ahn, Jinho, E-mail: jhahn@hanyang.ac.kr [Department of Materials Science and Engineering, Hanyang University, Seoul 04763 (Korea, Republic of); Lee, Sung Bo [Department of Materials Science and Engineering and Research Institute of Advanced Materials (RIAM), Seoul National University, Seoul 08826 (Korea, Republic of); Park, In-Sung, E-mail: parkis77@hanyang.ac.kr [Department of Materials Science and Engineering, Hanyang University, Seoul 04763 (Korea, Republic of); Institute of Nano Science and Technology, Hanyang University, Seoul 04763 (Korea, Republic of)

    2016-07-11

    The metal gate electrodes of Ni, W, and Pt have been investigated for their scavenging effect: a reduction of the GeO{sub x} interfacial layer (IL) between HfO{sub 2} dielectric and Ge substrate in metal/HfO{sub 2}/GeO{sub x}/Ge capacitors. All the capacitors were fabricated using the same process except for the material used in the metal electrodes. Capacitance-voltage measurements, scanning transmission electron microscopy, and electron energy loss spectroscopy were conducted to confirm the scavenging of GeO{sub x} IL. Interestingly, these metals are observed to remotely scavenge the interfacial layer, reducing its thickness in the order of Ni, W, and then Pt. The capacitance equivalent thickness of these capacitors with Ni, W, and Pt electrodes are evaluated to be 2.7 nm, 3.0 nm, and 3.5 nm, and each final remnant physical thickness of GeO{sub x} IL layer is 1.1 nm 1.4 nm, and 1.9 nm, respectively. It is suggested that the scavenging effect induced by the metal electrodes is related to the concentration of oxygen vacancies generated by oxidation reaction at the metal/HfO{sub 2} interface.

  5. Study of Ge loss during Ge condensation process

    International Nuclear Information System (INIS)

    Xue, Z.Y.; Di, Z.F.; Ye, L.; Mu, Z.Q.; Chen, D.; Wei, X.; Zhang, M.; Wang, X.

    2014-01-01

    Ge loss during Ge condensation process was investigated by transmission electron microscopy, Raman spectroscopy, secondary ion mass spectrometry and Rutherford backscattering spectrometry. This work reveals that Ge loss can be attributed to the Ge oxidation at SiO 2 /SiGe interface, Ge diffusion in SiO 2 layers and Ge trapped at buried SiO 2 /Si interface. During Ge condensation process, with the increase of the Ge content, the Si atoms become insufficient for selective oxidation at the oxide/SiGe interface. Consequently, the Si and Ge are oxidized simultaneously. When the Ge composition in SiGe layer increases further and approaches 100%, the Ge atoms begin to diffuse into the top SiO 2 layer and buried SiO 2 layer. However, the X-ray photoelectron spectrometry analysis manifests that the chemical states of the Ge in top SiO 2 layer are different from those in buried SiO 2 layer, as the Ge atoms diffused into top SiO 2 layer are oxidized to form GeO 2 in the subsequent oxidation step. With the increase of the diffusion time, a quantity of Ge atoms diffuse through buried SiO 2 layer and pile up at buried SiO 2 /Si interface due to the interfacial trapping. The SiO 2 /Si interface acts like a pump, absorbing Ge from a Ge layer continuously through a pipe-buried SiO 2 layer. With the progress of Ge condensation process, the quantity of Ge accumulated at SiO 2 /Si interface increases remarkably. - Highlights: • Ge loss during Ge condensation process is attributed to the Ge oxidation at SiO 2 /SiGe interface. • Ge diffusion in SiO 2 layers and Ge trapped at buried SiO 2 /Si interface • When Ge content in SiGe layer approaches 100%, Ge diffusion into the SiO 2 layer is observed. • Ge then gradually diffuses through buried SiO 2 layer and pile up at SiO 2 /Si interface

  6. Amorphization threshold in Si-implanted strained SiGe alloy layers

    International Nuclear Information System (INIS)

    Simpson, T.W.; Love, D.; Endisch, E.; Goldberg, R.D.; Mitchell, I.V.; Haynes, T.E.; Baribeau, J.M.

    1994-12-01

    The authors have examined the damage produced by Si-ion implantation into strained Si 1-x Ge x epilayers. Damage accumulation in the implanted layers was monitored in situ by time-resolved reflectivity and measured by ion channeling techniques to determine the amorphization threshold in strained Si 1-x Ge x (x = 0.16 and 0.29) over the temperature range 30--110 C. The results are compared with previously reported measurements on unstrained Si 1-x Ge x , and with the simple model used to describe those results. They report here data which lend support to this model and which indicate that pre-existing strain does not enhance damage accumulation in the alloy layer

  7. Enhanced B doping in CVD-grown GeSn:B using B δ-doping layers

    Science.gov (United States)

    Kohen, David; Vohra, Anurag; Loo, Roger; Vandervorst, Wilfried; Bhargava, Nupur; Margetis, Joe; Tolle, John

    2018-02-01

    Highly doped GeSn material is interesting for both electronic and optical applications. GeSn:B is a candidate for source-drain material in future Ge pMOS device because Sn adds compressive strain with respect to pure Ge, and therefore can boost the Ge channel performances. A high B concentration is required to obtain low contact resistivity between the source-drain material and the metal contact. To achieve high performance, it is therefore highly desirable to maximize both the Sn content and the B concentration. However, it has been shown than CVD-grown GeSn:B shows a trade-off between the Sn incorporation and the B concentration (increasing B doping reduces Sn incorporation). Furthermore, the highest B concentration of CVD-grown GeSn:B process reported in the literature has been limited to below 1 × 1020 cm-3. Here, we demonstrate a CVD process where B δ-doping layers are inserted in the GeSn layer. We studied the influence of the thickness between each δ-doping layers and the δ-doping layers process conditions on the crystalline quality and the doping density of the GeSn:B layers. For the same Sn content, the δ-doping process results in a 4-times higher B doping than the co-flow process. In addition, a B doping concentration of 2 × 1021 cm-3 with an active concentration of 5 × 1020 cm-3 is achieved.

  8. Layered Thermal Insulation Systems for Industrial and Commercial Applications

    Science.gov (United States)

    Fesmire, James E.

    2015-01-01

    From the high performance arena of cryogenic equipment, several different layered thermal insulation systems have been developed for industrial and commercial applications. In addition to the proven areas in cold-work applications for piping and tanks, the new Layered Composite Insulation for Extreme Environments (LCX) has potential for broader industrial use as well as for commercial applications. The LCX technology provides a unique combination of thermal, mechanical, and weathering performance capability that is both cost-effective and enabling. Industry applications may include, for example, liquid nitrogen (LN2) systems for food processing, liquefied natural gas (LNG) systems for transportation or power, and chilled water cooling facilities. Example commercial applications may include commercial residential building construction, hot water piping, HVAC systems, refrigerated trucks, cold chain shipping containers, and a various consumer products. The LCX system is highly tailorable to the end-use application and can be pre-fabricated or field assembled as needed. Product forms of LCX include rigid sheets, semi-flexible sheets, cylindrical clam-shells, removable covers, or flexible strips for wrapping. With increasing system control and reliability requirements as well as demands for higher energy efficiencies, thermal insulation in harsh environments is a growing challenge. The LCX technology grew out of solving problems in the insulation of mechanically complex cryogenic systems that must operate in outdoor, humid conditions. Insulation for cold work includes equipment for everything from liquid helium to chilled water. And in the middle are systems for LNG, LN2, liquid oxygen (LO2), liquid hydrogen (LH2) that must operate in the ambient environment. Different LCX systems have been demonstrated for sub-ambient conditions but are capable of moderately high temperature applications as well.

  9. Strain relaxation of germanium-tin (GeSn) fins

    Science.gov (United States)

    Kang, Yuye; Huang, Yi-Chiau; Lee, Kwang Hong; Bao, Shuyu; Wang, Wei; Lei, Dian; Masudy-Panah, Saeid; Dong, Yuan; Wu, Ying; Xu, Shengqiang; Tan, Chuan Seng; Gong, Xiao; Yeo, Yee-Chia

    2018-02-01

    Strain relaxation of biaxially strained Ge1-xSnx layer when it is patterned into Ge1-xSnx fin structures is studied. Ge1-xSnx-on-insulator (GeSnOI) substrate was realized using a direct wafer bonding (DWB) technique and Ge1-xSnx fin structures were formed by electron beam lithography (EBL) patterning and dry etching. The strain in the Ge1-xSnx fins having fin widths (WFin) ranging from 1 μm down to 80 nm was characterized using micro-Raman spectroscopy. Raman measurements show that the strain relaxation increases with decreasing WFin. Finite element (FE) simulation shows that the strain component in the transverse direction relaxes with decreasing WFin, while the strain component along the fin direction remains unchanged. For various Ge1-xSnx fin widths, transverse strain relaxation was further extracted using micro-Raman spectroscopy, which is consistent with the simulation results.

  10. Improvement of the thermal behavior of linear motors through insulation layer

    International Nuclear Information System (INIS)

    Eun, I. U.; Lee, C. M.; Chung, W. J.; Choi, Y. H.

    2001-01-01

    Linear motors can drive a linear motion without intermediate gears, screws or crank shafts. Linear motors can successfully replace ball lead screw in machine tools, because they have a high velocity, acceleration and good positioning accuracy. On the other hand, linear motors emit large amounts of heat and have low efficiency. In this paper, heat sources of a synchronous linear motor with high velocity and force are measured and analyzed. To improve the thermal stiffness of the linear motor, an insulation layer with low thermal conductivity is inserted between cooler and machine table. Some effects of the insulation layer are presented

  11. Measure Guideline: Incorporating Thick Layers of Exterior Rigid Insulation on Walls

    Energy Technology Data Exchange (ETDEWEB)

    Lstiburek, Joseph [Building Science Corporation, Westford, MA (United States); Baker, Peter [Building Science Corporation, Westford, MA (United States)

    2015-04-01

    This measure guideline provides information about the design and construction of wall assemblies that use layers of rigid exterior insulation thicker than 1-½ inches and that require a secondary cladding attachment location exterior to the insulation. The guideline is separated into several distinct sections that cover: fundamental building science principles relating to the use of exterior insulation on wall assemblies; design principles for tailoring this use to the specific project goals and requirements; and construction detailing to increase understanding about implementing the various design elements.

  12. Metal - Insulator Transition Driven by Vacancy Ordering in GeSbTe Phase Change Materials

    OpenAIRE

    Bragaglia, Valeria; Arciprete, Fabrizio; Privitera, Stefania; Rimini, Emanuele; Mazzarello, Riccardo; Calarco, Raffaella; Zhang, Wei; Mio, Antonio Massimiliano; Zallo, Eugenio; Perumal, Karthick; Giussani, Alessandro; Cecchi, Stefano; Boschker, Jos Emiel; Riechert, Henning

    2016-01-01

    Phase Change Materials (PCMs) are unique compounds employed in non-volatile random access memory thanks to the rapid and reversible transformation between the amorphous and crystalline state that display large differences in electrical and optical properties. In addition to the amorphous-to-crystalline transition, experimental results on polycrystalline GeSbTe alloys (GST) films evidenced a Metal-Insulator Transition (MIT) attributed to disorder in the crystalline phase. Here we report on a f...

  13. Transfer-free synthesis of highly ordered Ge nanowire arrays on glass substrates

    Energy Technology Data Exchange (ETDEWEB)

    Nakata, M.; Toko, K., E-mail: toko@bk.tsukuba.ac.jp; Suemasu, T. [Institute of Applied Physics, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Jevasuwan, W.; Fukata, N. [National Institute for Materials Science, 1-1 Namiki, Tsukuba 305-0044 (Japan); Saitoh, N.; Yoshizawa, N. [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan)

    2015-09-28

    Vertically aligned Ge nanowires (NWs) are directly synthesized on glass via vapor-liquid-solid (VLS) growth using chemical-vapor deposition. The use of the (111)-oriented Ge seed layer, formed by metal-induced crystallization at 325 °C, dramatically improved the density, uniformity, and crystal quality of Ge NWs. In particular, the VLS growth at 400 °C allowed us to simultaneously achieve the ordered morphology and high crystal quality of the Ge NW array. Transmission electron microscopy demonstrated that the resulting Ge NWs had no dislocations or stacking faults. Production of high-quality NW arrays on amorphous insulators will promote the widespread application of nanoscale devices.

  14. Ge nanobelts with high compressive strain fabricated by secondary oxidation of self-assembly SiGe rings

    DEFF Research Database (Denmark)

    Lu, Weifang; Li, Cheng; Lin, Guangyang

    2015-01-01

    Curled Ge nanobelts were fabricated by secondary oxidation of self-assembly SiGe rings, which were exfoliated from the SiGe stripes on the insulator. The Ge-rich SiGe stripes on insulator were formed by hololithography and modified Ge condensation processes of Si0.82Ge0.18 on SOI substrate. Ge...... nanobelts under a residual compressive strain of 2% were achieved, and the strain should be higher before partly releasing through bulge islands and breakage of the curled Ge nanobelts during the secondary oxidation process. The primary factor leading to compressive strain is thermal shrinkage of Ge...... nanobelts, which extrudes to Ge nanobelts in radial and tangent directions during the cooling process. This technique is promising for application in high-mobility Ge nano-scale transistors...

  15. Improvement of photoluminescence from Ge layer with patterned Si{sub 3}N{sub 4} stressors

    Energy Technology Data Exchange (ETDEWEB)

    Oda, Katsuya, E-mail: Katsuya.Oda.cb@hitachi.com; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-04-30

    Lattice strain applied by patterned Si{sub 3}N{sub 4} stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si{sub 3}N{sub 4} stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si{sub 3}N{sub 4} layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si{sub 3}N{sub 4} stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si{sub 3}N{sub 4} stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si{sub 3}N{sub 4} stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si{sub 3}N{sub 4} stressors. • Photoluminescence (PL) intensity was increased with the Si{sub 3}N{sub 4} stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers.

  16. Charge trapping of Ge-nanocrystals embedded in TaZrOx dielectric films

    International Nuclear Information System (INIS)

    Lehninger, D.; Seidel, P.; Geyer, M.; Schneider, F.; Heitmann, J.; Klemm, V.; Rafaja, D.; Borany, J. von

    2015-01-01

    Ge-nanocrystals (NCs) were synthesized in amorphous TaZrO x by thermal annealing of co-sputtered Ge-TaZrO x layers. Formation of spherical shaped Ge-NCs with small variation of size, areal density, and depth distribution was confirmed by high-resolution transmission electron microscopy. The charge storage characteristics of the Ge-NCs were investigated by capacitance-voltage and constant-capacity measurements using metal-insulator-semiconductor structures. Samples with Ge-NCs exhibit a maximum memory window of 5 V by sweeping the bias voltage from −7 V to 7 V and back. Below this maximum, the width of the memory window can be controlled by the bias voltage. The fitted slope of the memory window versus bias voltage characteristics is very close to 1 for samples with one layer Ge-NCs. A second layer Ge-NCs does not result in a second flat stair in the memory window characteristics. Constant-capacity measurements indicate charge storage in trapping centers at the interfaces between the Ge-NCs and the surrounding materials (amorphous matrix/tunneling oxide). Charge loss occurs by thermal detrapping and subsequent band-to-band tunneling. Reference samples without Ge-NCs do not show any memory window

  17. Metal insulator semiconductor solar cell devices based on a Cu2O substrate utilizing h-BN as an insulating and passivating layer

    International Nuclear Information System (INIS)

    Ergen, Onur; Gibb, Ashley; Vazquez-Mena, Oscar; Zettl, Alex; Regan, William Raymond

    2015-01-01

    We demonstrate cuprous oxide (Cu 2 O) based metal insulator semiconductor Schottky (MIS-Schottky) solar cells with efficiency exceeding 3%. A unique direct growth technique is employed in the fabrication, and hexagonal boron nitride (h-BN) serves simultaneously as a passivation and insulation layer on the active Cu 2 O layer. The devices are the most efficient of any Cu 2 O based MIS-Schottky solar cells reported to date

  18. Two-dimensional ferroelectric topological insulators in functionalized atomically thin bismuth layers

    Science.gov (United States)

    Kou, Liangzhi; Fu, Huixia; Ma, Yandong; Yan, Binghai; Liao, Ting; Du, Aijun; Chen, Changfeng

    2018-02-01

    We introduce a class of two-dimensional (2D) materials that possess coexisting ferroelectric and topologically insulating orders. Such ferroelectric topological insulators (FETIs) occur in noncentrosymmetric atomic layer structures with strong spin-orbit coupling (SOC). We showcase a prototype 2D FETI in an atomically thin bismuth layer functionalized by C H2OH , which exhibits a large ferroelectric polarization that is switchable by a ligand molecule rotation mechanism and a strong SOC that drives a band inversion leading to the topologically insulating state. An external electric field that switches the ferroelectric polarization also tunes the spin texture in the underlying atomic lattice. Moreover, the functionalized bismuth layer exhibits an additional quantum order driven by the valley splitting at the K and K' points in the Brillouin zone stemming from the symmetry breaking and strong SOC in the system, resulting in a remarkable state of matter with the simultaneous presence of the quantum spin Hall and quantum valley Hall effect. These phenomena are predicted to exist in other similarly constructed 2D FETIs, thereby offering a unique quantum material platform for discovering novel physics and exploring innovative applications.

  19. Analysis of Strain and Intermixing in a Single Layer Ge/Si dots using polarized Raman Spectroscopy

    OpenAIRE

    PEROVA, TANIA; MOORE, ROBERT

    2006-01-01

    PUBLISHED The built-in strain and composition of as-grown and Si-capped single layers of Ge?Si dots grown at various temperatures (460?800 ?C) are studied by a comparative analysis of the Ge-Ge and Si-Ge modes in the polarized Raman spectra of the dots. A pronounced reduction of the strain and Ge content in the dots after deposition of the cap layer at low temperatures is observed, indicating that strain-induced Si diffusion from the cap layer is occurring. For large dots grown at 700?800...

  20. Enhanced formation of Ge nanocrystals in Ge : SiO2 layers by swift heavy ions

    International Nuclear Information System (INIS)

    Antonova, I V; Volodin, V A; Marin, D M; Skuratov, V A; Smagulova, S A; Janse van Vuuren, A; Neethling, J; Jedrzejewski, J; Balberg, I

    2012-01-01

    In this paper we report the ability of swift heavy Xe ions with an energy of 480 MeV and a fluence of 10 12 cm -2 to enhance the formation of Ge nanocrystals within SiO 2 layers with variable Ge contents. These Ge-SiO 2 films were fabricated by the co-sputtering of Ge and quartz sources which followed various annealing procedures. In particular, we found that the irradiation of the Ge : SiO 2 films with subsequent annealing at 500 °C leads to the formation of a high concentration of nanocrystals (NCs) with a size of 2-5 nm, whereas without irradiation only amorphous inclusions were observed. This effect, as evidenced by Raman spectra, is enhanced by pre-irradiation at 550 °C and post-irradiation annealing at 600 °C, which also leads to the observation of room temperature visible photoluminescence. (paper)

  1. Molecular beam deposition of Al2O3 on p-Ge(001)/Ge0.95Sn0.05 heterostructure and impact of a Ge-cap interfacial layer

    International Nuclear Information System (INIS)

    Merckling, C.; Franquet, A.; Vincent, B.; Vandervorst, W.; Loo, R.; Caymax, M.; Sun, X.; Shimura, Y.; Takeuchi, S.; Nakatsuka, O.; Zaima, S.

    2011-01-01

    We investigated the molecular beam deposition of Al 2 O 3 on Ge 0.95 Sn 0.05 surface with and without an ultra thin Ge cap layer in between. We first studied the atomic configuration of both Ge 1-x Sn x and Ge/Ge 1-x Sn x surfaces after deoxidation by reflection high-energy electron diffraction and resulted, respectively, in a c(4x2) and (2x1) surface reconstructions. After in situ deposition of an Al 2 O 3 high-κ gate dielectric we evidenced using time-of-flight secondary ion mass spectroscopy analyses that Sn diffusion was at the origin of high leakage current densities in the Ge 1-x Sn x /Al 2 O 3 gate stack. This damage could be avoided by inserting a thin 5-nm-thick Ge cap between the oxide and the Ge 1-x Sn x layer. Finally, metal-oxide-semiconductor capacitors on the Ge capped sample showed well-behaved capacitance-voltage (C-V) characteristics with interface trap density (D it ) in the range of 10 12 eV -1 cm -2 in mid gap and higher close to the valence band edge.

  2. Self-Supporting High Performance Multi-Layer Insulation Technology Development (SSMLI)

    Data.gov (United States)

    National Aeronautics and Space Administration — A new type of MLI—Integrated Multi-Layer Insulation (IMLI)—uses rigid, low-conductivity polymer spacers instead of netting to keep the radiation barriers separated....

  3. RBS/channeling study of buried Ge quantum dots grown in a Si layer

    International Nuclear Information System (INIS)

    Fonseca, A.; Alves, E.; Barradas, N.P.; Leitao, J.P.; Sobolev, N.A.; Carmo, M.C.; Nikiforov, A.I.; Presting, H.

    2006-01-01

    In last decades we have been assisting to a crescent importance of low dimensional systems for the fabrication of nano- and opto-electronic devices. Ge quantum dots (QDs) are well suited for fulfilling these requirements. In this work we present and discuss Ge/Si multilayer and single layer samples grown by molecular beam epitaxy. RBS/channeling results reveal the evidence for the presence of Ge QD for the thickest (with 1 ML of SiO 2 and 0.9 nm of Ge) single layer sample. On the other hand Ge atoms are fully substitutional incorporated in the Si matrix for the thinner sample, excluding the formation of Ge QDs. Multilayer sample shows an angular deviation of the Ge curve (-0.48 o ) with respect to the Si ones, indicating the compressive strain of the films. A tetragonal distortion of (1.78 ± 0.19%) was calculated

  4. Enhancing crystalline silicon solar cell efficiency with SixGe1-x layers

    Science.gov (United States)

    Ali, Adnan; Cheow, S. L.; Azhari, A. W.; Sopian, K.; Zaidi, Saleem H.

    Crystalline silicon (c-Si) solar cell represents a cost effective, environment-friendly, and proven renewable energy resource. Industrially manufacturing of c-Si solar has now matured in terms of efficiency and cost. Continuing cost-effective efficiency enhancement requires transition towards thinner wafers in near term and thin-films in the long term. Successful implementation of either of these alternatives must address intrinsic optical absorption limitation of Si. Bandgap engineering through integration with SixGe1-x layers offers an attractive, inexpensive option. With the help of PC1D software, role of SixGe1-x layers in conventional c-Si solar cells has been intensively investigated in both wafer and thin film configurations by varying Ge concentration, thickness, and placement. In wafer configuration, increase in Ge concentration leads to enhanced absorption through bandgap broadening with an efficiency enhancement of 8% for Ge concentrations of less than 20%. At higher Ge concentrations, despite enhanced optical absorption, efficiency is reduced due to substantial lowering of open-circuit voltage. In 5-25-μm thickness, thin-film solar cell configurations, efficiency gain in excess of 30% is achievable. Therefore, SixGe1-x based thin-film solar cells with an order of magnitude reduction in costly Si material are ideally-suited both in terms of high efficiency and cost. Recent research has demonstrated significant improvement in epitaxially grown SixGe1-x layers on nanostructured Si substrates, thereby enhancing potential of this approach for next generation of c-Si based photovoltaics.

  5. The role of Ag buffer layer in Fe islands growth on Ge (111) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw; Wu, Jia-Yuan; Jhou, Ming-Kuan; Hsu, Hung-Chan [Department of Physics, National Taiwan Normal University, 88, Sec. 4, Ting-Chou Rd, Taipei 116, Taiwan (China)

    2015-05-07

    Sub-monolayer iron atoms were deposited at room temperature on Ge (111)-c(2 × 8) substrates with and without Ag buffer layers. The behavior of Fe islands growth was investigated by using scanning tunneling microscope (STM) after different annealing temperatures. STM images show that iron atoms will cause defects and holes on substrates at room temperature. As the annealing temperature rises, iron atoms pull out germanium to form various kinds of alloyed islands. However, the silver layer can protect the Ag/Ge(111)-(√3×√3) reconstruction from forming defects. The phase diagram shows that ring, dot, and triangular defects were only found on Ge (111)-c(2 × 8) substrates. The kinds of islands found in Fe/Ge system are similar to Fe/Ag/Ge system. It indicates that Ge atoms were pulled out to form islands at high annealing temperatures whether there was a Ag layer or not. But a few differences in big pyramidal or strip islands show that the silver layer affects the development of islands by changing the surface symmetry and diffusion coefficient. The structure characters of various islands are also discussed.

  6. Silicon dioxide with a silicon interfacial layer as an insulating gate for highly stable indium phosphide metal-insulator-semiconductor field effect transistors

    Science.gov (United States)

    Kapoor, V. J.; Shokrani, M.

    1991-01-01

    A novel gate insulator consisting of silicon dioxide (SiO2) with a thin silicon (Si) interfacial layer has been investigated for high-power microwave indium phosphide (InP) metal-insulator-semiconductor field effect transistors (MISFETs). The role of the silicon interfacial layer on the chemical nature of the SiO2/Si/InP interface was studied by high-resolution X-ray photoelectron spectroscopy. The results indicated that the silicon interfacial layer reacted with the native oxide at the InP surface, thus producing silicon dioxide, while reducing the native oxide which has been shown to be responsible for the instabilities in InP MISFETs. While a 1.2-V hysteresis was present in the capacitance-voltage (C-V) curve of the MIS capacitors with silicon dioxide, less than 0.1 V hysteresis was observed in the C-V curve of the capacitors with the silicon interfacial layer incorporated in the insulator. InP MISFETs fabricated with the silicon dioxide in combination with the silicon interfacial layer exhibited excellent stability with drain current drift of less than 3 percent in 10,000 sec, as compared to 15-18 percent drift in 10,000 sec for devices without the silicon interfacial layer. High-power microwave InP MISFETs with Si/SiO2 gate insulators resulted in an output power density of 1.75 W/mm gate width at 9.7 GHz, with an associated power gain of 2.5 dB and 24 percent power added efficiency.

  7. Microscopic parameters of heterostructures containing nanoclusters and thin layers of Ge in Si matrix

    CERN Document Server

    Erenburg, S B; Stepina, N P; Nikiforov, A I; Nenashev, A V; Mazalov, L N

    2001-01-01

    GeK XAFS measurements have been performed using the total electron yield detection mode for pseudomorphous Ge films deposited on Si(0 0 1) substrate via molecular beam epitaxy at 300 deg. C. The samples have been produced by thrice repeating the growing procedure separated by deposition of blocking Si layers at 500 deg. C. The local microstructure parameters (interatomic distances, Ge coordination numbers) are linked to nanostructure morphology and adequate models are suggested and discussed. It was established that pseudomorphous 4-monolayer Ge films contain 50% of Si atoms on the average. Pyramid-like, pure Ge islands formed in the Stranski-Krastanov growth are characterized by the interatomic Ge-Ge distances of 2.41 A (by 0.04 A less than in bulk Ge) and the Ge-Si distances of 2.37 A. It was revealed that the pure Ge nanoclusters are covered by a 1-2-monolayer film with admixture on the average of a 50% Si atom impurity from blocking Si layers.

  8. Three-dimensional fractional topological insulators in coupled Rashba layers

    Science.gov (United States)

    Volpez, Yanick; Loss, Daniel; Klinovaja, Jelena

    2017-08-01

    We propose a model of three-dimensional topological insulators consisting of weakly coupled electron- and hole-gas layers with Rashba spin-orbit interaction stacked along a given axis. We show that in the presence of strong electron-electron interactions the system realizes a fractional strong topological insulator, where the rotational symmetry and condensation energy arguments still allow us to treat the problem as quasi-one-dimensional with bosonization techniques. We also show that if Rashba and Dresselhaus spin-orbit interaction terms are equally strong, by doping the system with magnetic impurities, one can bring it into the Weyl semimetal phase.

  9. GeP and (Ge1−xSnx)(P1−yGey) (x≈0.12, y≈0.05): Synthesis, structure, and properties of two-dimensional layered tetrel phosphides

    International Nuclear Information System (INIS)

    Lee, Kathleen; Synnestvedt, Sarah; Bellard, Maverick; Kovnir, Kirill

    2015-01-01

    GeP and Sn-doped GeP were synthesized from elements in bismuth and tin flux, respectively. The layered crystal structures of these compounds were characterized by single crystal X-ray diffraction. Both phosphides crystallize in a GaTe structure type in the monoclinic space group C2/m (No. 12) with GeP: a=15.1948(7) Å, b=3.6337(2) Å, c=9.1941(4) Å, β=101.239(2)°; Ge 0.93(3) P 0.95(1) Sn 0.12(3) : a=15.284(9) Å, b=3.622(2) Å, c=9.207(5) Å, β=101.79(1)°. The crystal structure of GeP consists of 2-dimensional GeP layers held together by weak electron lone pair interactions between the phosphorus atoms that confine the layer. Each layer is built of Ge–Ge dumbbells surrounded by a distorted antiprism of phosphorus atoms. Sn-doped GeP has a similar structural motif, but with a significant degree of disorder emphasized by the splitting of all atomic positions. Resistivity measurements together with quantum-chemical calculations reveal semiconducting behavior for the investigated phosphides. - Graphical abstract: Layered phosphides GeP and Sn-doped GeP were synthesized from elements in bismuth and tin flux, respectively. The crystal structure of GeP consists of 2-dimensional GeP layers held together by weak electron lone pair interactions between the phosphorus atoms that confine the layer. Sn-doped GeP has a similar structural motif with a significant degree of disorder emphasized by the splitting of all atomic positions. Resistivity measurements together with quantum-chemical calculations reveal semiconducting behavior for the investigated phosphides. - Highlights: • GeP crystallizes in a layered crystal structure. • Doping of Sn into GeP causes large structural distortions. • GeP is narrow bandgap semiconductor. • Sn-doped GeP exhibits an order of magnitude higher resistivity due to disorder

  10. Narrow photoluminescence peak from Ge(Si) islands embedded between tensile-strained Si layers

    Energy Technology Data Exchange (ETDEWEB)

    Shaleev, Mikhail; Novikov, Alexey; Baydakova, Nataliya; Yablonskiy, Artem; Drozdov, Yuriy; Lobanov, Dmitriy; Krasilnik, Zakhary [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation); Kuznetsov, Oleg [Physical-Technical Research Institute, Nizhny Novgorod State University, pr. Gagarina 23, 603950 Nizhny Novgorod (Russian Federation)

    2011-03-15

    The influence of thickness of the strained Si layers, measurement temperature and optical pumping power on width of the photoluminescence line from Ge(Si) self-assembled nanoislands grown on relaxed SiGe/Si(001) buffer layers and embedded between tensile-stained Si layers was studied. This line appears due to the II-type optical transition between the holes localized in islands and the electrons confined in tensile-strained Si layers under and above the islands. The possibility of tuning the photoluminescence line width by changing the strained Si layer thicknesses under and above the islands is showed. The decrease of the photoluminescence line width from Ge(Si) islands down to values comparable with width of the PL line from InAs/GaAs quantum dots was achieved due to the quantum confinement of electrons in thin strained Si layers and taking into account of the higher diffusion-induced smearing of strained Si layer above the islands. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Epitaxial Growth of Hard Ferrimagnetic Mn3Ge Film on Rhodium Buffer Layer

    Directory of Open Access Journals (Sweden)

    Atsushi Sugihara

    2015-06-01

    Full Text Available Mn\\(_3\\Ge has a tetragonal Heusler-like D0\\(_{22}\\ crystal structure, exhibiting a large uniaxial magnetic anisotropy and small saturation magnetization due to its ferrimagnetic spin structure; thus, it is a hard ferrimagnet. In this report, epitaxial growth of a Mn\\(_3\\Ge film on a Rh buffer layer was investigated for comparison with that of a film on a Cr buffer layer in terms of the lattice mismatch between Mn\\(_3\\Ge and the buffer layer. The film grown on Rh had much better crystalline quality than that grown on Cr, which can be attributed to the small lattice mismatch. Epitaxial films of Mn\\(_3\\Ge on Rh show somewhat small coercivity (\\(H_{\\rm c}\\ = 12.6 kOe and a large perpendicular magnetic anisotropy (\\(K_{\\rm u}\\ = 11.6 Merg/cm\\(^3\\, comparable to that of the film grown on Cr.

  12. Atomistics of Ge deposition on Si(100) by atomic layer epitaxy.

    Science.gov (United States)

    Lin, D S; Wu, J L; Pan, S Y; Chiang, T C

    2003-01-31

    Chlorine termination of mixed Ge/Si(100) surfaces substantially enhances the contrast between Ge and Si sites in scanning tunneling microscopy observations. This finding enables a detailed investigation of the spatial distribution of Ge atoms deposited on Si(100) by atomic layer epitaxy. The results are corroborated by photoemission measurements aided by an unusually large chemical shift between Cl adsorbed on Si and Ge. Adsorbate-substrate atomic exchange during growth is shown to be important. The resulting interface is thus graded, but characterized by a very short length scale of about one monolayer.

  13. Radon Sub-slab Suctioning System Integrated in Insulating Layer

    DEFF Research Database (Denmark)

    Rasmussen, Torben Valdbjørn

    2013-01-01

    This poster presents a new radon sub-slab suctioning system. This system makes use of a grid of horizontal pressurised air ducts located within the lower part of the rigid insulation layer of the ground floor slab. For this purpose a new system of prefabricated lightweight elements is introduced...

  14. Direct synthesis of highly textured Ge on flexible polyimide films by metal-induced crystallization

    Energy Technology Data Exchange (ETDEWEB)

    Oya, N.; Toko, K., E-mail: toko@bk.tsukuba.ac.jp; Suemasu, T. [Institute of Applied Physics, University of Tsukuba, 1-1-1 Tennodai, Tsukuba, Ibaraki 305-8573 (Japan); Saitoh, N.; Yoshizawa, N. [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan)

    2014-06-30

    The highly (111)-textured Ge thin film (50-nm thickness) is demonstrated on a flexible polyimide film via the low-temperature crystallization (325 °C) of amorphous Ge using Al as a catalyst. Covering the polyimide with insulators significantly improved the crystal quality of the resulting Ge layer. In particular, SiN covering led to 97% (111)-oriented Ge with grains 200 μm in size, two orders larger than the grain size of polycrystalline Ge directly formed on the polyimide film. This achievement will give a way to realize advanced electronic and optical devices simultaneously allowing for high performance, inexpensiveness, and flexibility.

  15. Rapid growth of single-layer graphene on the insulating substrates by thermal CVD

    Energy Technology Data Exchange (ETDEWEB)

    Chen, C.Y. [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China); Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Dai, D.; Chen, G.X.; Yu, J.H. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Nishimura, K. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Advanced Nano-processing Engineering Lab, Mechanical Systems Engineering, Kogakuin University (Japan); Lin, C.-T. [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Jiang, N., E-mail: jiangnan@nimte.ac.cn [Key Laboratory of Marine Materials and Related Technologies, Zhejiang Key Laboratory of Marine Materials and Protective Technologies, Ningbo Institute of Materials Technology and Engineering, Chinese Academy of Sciences, Ningbo 315201 (China); Zhan, Z.L., E-mail: zl_zhan@sohu.com [Faculty of Materials Science and Engineering, Kunming University of Science and Technology, Kunming 650093 (China)

    2015-08-15

    Highlights: • A rapid thermal CVD process has been developed to directly grow graphene on the insulating substrates. • The treating time consumed is ≈25% compared to conventional CVD procedure. • Single-layer and few-layer graphene can be formed on quartz and SiO{sub 2}/Si substrates, respectively. • The formation of thinner graphene at the interface is due to the fast precipitation rate of carbon atoms during cooling. - Abstract: The advance of CVD technique to directly grow graphene on the insulating substrates is particularly significant for further device fabrication. As graphene is catalytically grown on metal foils, the degradation of the sample properties is unavoidable during transfer of graphene on the dielectric layer. Moreover, shortening the treatment time as possible, while achieving single-layer growth of graphene, is worthy to be investigated for promoting the efficiency of mass production. Here we performed a rapid heating/cooling process to grow graphene films directly on the insulating substrates by thermal CVD. The treating time consumed is ≈25% compared to conventional CVD procedure. In addition, we found that high-quality, single-layer graphene can be formed on quartz, but on SiO{sub 2}/Si substrate only few-layer graphene can be obtained. The pronounced substrate effect is attributed to the different dewetting behavior of Ni films on the both substrates at 950 °C.

  16. High Quality GaAs Epilayers Grown on Si Substrate Using 100 nm Ge Buffer Layer

    Directory of Open Access Journals (Sweden)

    Wei-Cheng Kuo

    2016-01-01

    Full Text Available We present high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers. The thin Ge buffer layers were modulated by hydrogen flow rate from 60 to 90 sccm to improve crystal quality by electron cyclotron resonance chemical vapor deposition (ECR-CVD at low growth temperature (180°C. The GaAs and Ge epilayers quality was verified by X-ray diffraction (XRD and spectroscopy ellipsometry (SE. The full width at half maximum (FWHM of the Ge and GaAs epilayers in XRD is 406 arcsec and 220 arcsec, respectively. In addition, the GaAs/Ge/Si interface is observed by transmission electron microscopy (TEM to demonstrate the epitaxial growth. The defects at GaAs/Ge interface are localized within a few nanometers. It is clearly showed that the dislocation is well suppressed. The quality of the Ge buffer layer is the key of III–V/Si tandem cell. Therefore, the high quality GaAs epilayers that grow on virtual substrate with 100 nm Ge buffer layers is suitable to develop the low cost and high efficiency III–V/Si tandem solar cells.

  17. Metal insulator semiconductor solar cell devices based on a Cu{sub 2}O substrate utilizing h-BN as an insulating and passivating layer

    Energy Technology Data Exchange (ETDEWEB)

    Ergen, Onur; Gibb, Ashley; Vazquez-Mena, Oscar; Zettl, Alex, E-mail: azettl@berkeley.edu [Department of Physics, University of California at Berkeley, Berkeley, California 94720 (United States); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Kavli Energy Nanosciences Institute at the University of California, Berkeley, and the Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Regan, William Raymond [Department of Physics, University of California at Berkeley, Berkeley, California 94720 (United States); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States)

    2015-03-09

    We demonstrate cuprous oxide (Cu{sub 2}O) based metal insulator semiconductor Schottky (MIS-Schottky) solar cells with efficiency exceeding 3%. A unique direct growth technique is employed in the fabrication, and hexagonal boron nitride (h-BN) serves simultaneously as a passivation and insulation layer on the active Cu{sub 2}O layer. The devices are the most efficient of any Cu{sub 2}O based MIS-Schottky solar cells reported to date.

  18. Unidirectional spin Hall magnetoresistance in topological insulator/ferromagnetic layer heterostructures

    Science.gov (United States)

    Kally, James; Lv, Yang; Zhang, Delin; Lee, Joon Sue; Samarth, Nitin; Wang, Jian-Ping; Department of Electrical; Computer Engineering, University of Minnesota, Minneapolis Collaboration; Department of Physics, Pennsylvania State University Collaboration

    The surface states of topological insulators offer a potentially very efficient way to generate spins and spin-orbit torques to magnetic moments in proximity. The switching by spin-orbit torque itself only requires two terminals so that a charge current can be applied. However, a third terminal with additional magnetic tunneling junction structure is needed to sense the magnetization state if such devices are used for memory and logic applications. The recent discovery of unidirectional spin Hall magnetoresistance in heavy metal/ferromagnetic and topological insulator/magnetically doped topological insulator systems offers an alternative way to sense magnetization while still keeping the number of terminals to minimal two. The unidirectional spin Hall magnetoresistance in topological insulator/strong ferromagnetic layer heterostructure system has yet not been reported. In this work, we report our experimental observations of such magnetoresistance. It is found to be present and comparable to the best result of the previous reported Ta/Co systems in terms of magnetoresistance per current density per total resistance.

  19. Measure Guideline. Incorporating Thick Layers of Exterior Rigid Insulation on Walls

    Energy Technology Data Exchange (ETDEWEB)

    Lstiburek, Joseph [Building Science Corporation, Westford, MA (United States); Baker, Peter [Building Science Corporation, Westford, MA (United States)

    2015-04-09

    This measure guideline, written by the U.S. Department of Energy’s Building America team Building Science Corporation, provides information about the design and construction of wall assemblies that use layers of rigid exterior insulation thicker than 1-½ in. and that require a secondary cladding attachment location exterior to the insulation. The guideline is separated into several distinct sections that cover: (1) fundamental building science principles relating to the use of exterior insulation on wall assemblies; (2) design principles for tailoring this use to the specific project goals and requirements; and (3) construction detailing to increase understanding about implementing the various design elements.

  20. Charge trapping of Ge-nanocrystals embedded in TaZrO{sub x} dielectric films

    Energy Technology Data Exchange (ETDEWEB)

    Lehninger, D., E-mail: David.Lehninger@physik.tu-freiberg.de; Seidel, P.; Geyer, M.; Schneider, F.; Heitmann, J. [Institute of Applied Physics, TU Bergakademie Freiberg, D-09596 Freiberg (Germany); Klemm, V.; Rafaja, D. [Institute of Materials Science, TU Bergakademie Freiberg, D-09596 Freiberg (Germany); Borany, J. von [Institute of Ion Beam Physics and Materials Research, Helmholtz-Zentrum Dresden-Rossendorf, D-01314 Dresden (Germany)

    2015-01-12

    Ge-nanocrystals (NCs) were synthesized in amorphous TaZrO{sub x} by thermal annealing of co-sputtered Ge-TaZrO{sub x} layers. Formation of spherical shaped Ge-NCs with small variation of size, areal density, and depth distribution was confirmed by high-resolution transmission electron microscopy. The charge storage characteristics of the Ge-NCs were investigated by capacitance-voltage and constant-capacity measurements using metal-insulator-semiconductor structures. Samples with Ge-NCs exhibit a maximum memory window of 5 V by sweeping the bias voltage from −7 V to 7 V and back. Below this maximum, the width of the memory window can be controlled by the bias voltage. The fitted slope of the memory window versus bias voltage characteristics is very close to 1 for samples with one layer Ge-NCs. A second layer Ge-NCs does not result in a second flat stair in the memory window characteristics. Constant-capacity measurements indicate charge storage in trapping centers at the interfaces between the Ge-NCs and the surrounding materials (amorphous matrix/tunneling oxide). Charge loss occurs by thermal detrapping and subsequent band-to-band tunneling. Reference samples without Ge-NCs do not show any memory window.

  1. Ge clusters and wetting layers forming from granular films on the Si(001) surface

    International Nuclear Information System (INIS)

    Storozhevykh, M S; Arapkina, L V; Yuryev, V A

    2016-01-01

    The report studies the transformation of a Ge granular film deposited on the Si(001) surface at room temperature into a Ge/Si(001) heterostructure as a result of rapid heating and annealing at 600 °C. As a result of the short-term annealing at 600 °C in conditions of a closed system, the Ge granular film transforms into a usual wetting layer and Ge clusters with multimodal size distribution and Ge oval drops having the highest number density. After the long-term thermal treatment of the Ge film at the same temperature, Ge drops disappear; the large clusters increase their sizes at the expense of the smaller ones. The total density of Ge clusters on the surface drastically decreases. The wetting layer mixed c(4 x 2) + p(2 x 2) reconstruction transforms into a single c(4 x 2) one which is likely to be thermodynamically favoured. Pyramids or domes are not observed on the surface after any annealing. (paper)

  2. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    OpenAIRE

    Zhang, L; Guo, Y; Hassan, VV; Tang, K; Foad, MA; Woicik, JC; Pianetta, P; Robertson, John; McIntyre, PC

    2016-01-01

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native Si...

  3. Sensitivity of the crystal quality of SiGe layers grown at low temperatures by trisilane and germane

    Energy Technology Data Exchange (ETDEWEB)

    Abedin, A., E-mail: aabedin@kth.se; Moeen, M.; Cappetta, C.; Östling, M.; Radamson, H.H., E-mail: rad@kth.se

    2016-08-31

    This work investigates the crystal quality of SiGe layers grown at low temperatures using trisilane, and germane precursors. The crystal quality sensitivity was monitored for hydrogen chloride and/or minor oxygen amount during SiGe epitaxy or at the interface of SiGe/Si layers. The quality of the epi-layers was examined by quantifying noise parameter, K{sub 1/f} obtained from the power spectral density vs. 1/f curves. The results indicate that while it is difficult to detect small defect densities in SiGe layers by physical material characterization, the noise measurement could reveal the effects of oxygen contamination as low as 0.16 mPa inside and in the interface of the layers. - Highlights: • SiGe layers were grown using trisilane and germane. • Effect of HCl flow on Ge content and growth rate was investigated. • O{sub 2} partial pressures up to 4.3 mPa did not affect x-ray diffraction pattern. • O{sub 2} partial pressures as low as 0.16 mPa increased the noise level. • HCl increased metal contaminations of the layers and the noise level consequently.

  4. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    International Nuclear Information System (INIS)

    Schulze, J.; Oehme, M.; Werner, J.

    2012-01-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that – depending on the chosen operating point and device design – the diode serves as a broadband high speed photo detector, Franz–Keldysh effect modulator or light emitting diode.

  5. Molecular beam epitaxy grown Ge/Si pin layer sequence for photonic devices

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, J., E-mail: schulze@iht.uni-stuttgart.de; Oehme, M.; Werner, J.

    2012-02-01

    A key challenge to obtain a convergence of classical Si-based microelectronics and optoelectronics is the manufacturing of photonic integrated circuits integrable into classical Si-based integrated circuits. This integration would be greatly enhanced if similar facilities and technologies could be used. Therefore one approach is the development of optoelectronic components and devices made from group-IV-based materials such as SiGe, Ge or Ge:Sn. In this paper the optoelectronic performances of a pin diode made from a Ge/Si heterostructure pin layer sequence grown by molecular beam epitaxy are discussed. After a detailed description of the layer sequence growth and the device manufacturing process it will be shown that - depending on the chosen operating point and device design - the diode serves as a broadband high speed photo detector, Franz-Keldysh effect modulator or light emitting diode.

  6. Metal-insulator-semiconductor photodetectors.

    Science.gov (United States)

    Lin, Chu-Hsuan; Liu, Chee Wee

    2010-01-01

    The major radiation of the sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  7. Metal-Insulator-Semiconductor Photodetectors

    Directory of Open Access Journals (Sweden)

    Chu-Hsuan Lin

    2010-09-01

    Full Text Available The major radiation of the Sun can be roughly divided into three regions: ultraviolet, visible, and infrared light. Detection in these three regions is important to human beings. The metal-insulator-semiconductor photodetector, with a simpler process than the pn-junction photodetector and a lower dark current than the MSM photodetector, has been developed for light detection in these three regions. Ideal UV photodetectors with high UV-to-visible rejection ratio could be demonstrated with III-V metal-insulator-semiconductor UV photodetectors. The visible-light detection and near-infrared optical communications have been implemented with Si and Ge metal-insulator-semiconductor photodetectors. For mid- and long-wavelength infrared detection, metal-insulator-semiconductor SiGe/Si quantum dot infrared photodetectors have been developed, and the detection spectrum covers atmospheric transmission windows.

  8. Characterization and comparative investigation of thermally insulating layers for the turbine and engine construction

    International Nuclear Information System (INIS)

    Steffens, H.D.; Fischer, U.

    1987-01-01

    The aim of the research project was to subject commercially produced thermal insulation layer systems, the use of which seems promising for engine and turbine construction, to standardized characterisation, testing and comparison. Suitable methods and procedures for this had to be developed, in order to be able to derive instructions for optimisation guidelines for the production of improved thermal insulation systems from the results of investigations. In the context of the research project, a computer-controlled thermal shock test rig was first developed, designed and built. This test rig was designed so that important test conditions, such as the heating and cooling speed could be varied reproducibly over wide ranges. Methods and procedures were worked out, which permit a comparative qualitative and quantitative characterisation of layers of thermal insulation. From metallographic investigations, the layer build-up, layer structure, porosity and crack morphology of the layers in the delivered state and after testing could be assessed and compared. X-ray fine structure investigations gave information on the type and quantity of the phases occurring in the ceramic layers. The results of thermal shock tests which were done at different temperature intervals depending on the substrate, could be correlated with the build-up of layers and supplied information on damage mechanisms and the course of failure. (orig.) With 57 figs., 16 tabs., 89 refs [de

  9. Simulation of hole-mobility in doped relaxed and strained Ge layers

    Science.gov (United States)

    Watling, Jeremy R.; Riddet, Craig; Chan, Morgan Kah H.; Asenov, Asen

    2010-11-01

    As silicon based metal-oxide-semiconductor field-effect transistors (MOSFETs) are reaching the limits of their performance with scaling, alternative channel materials are being considered to maintain performance in future complementary metal-oxide semiconductor technology generations. Thus there is renewed interest in employing Ge as a channel material in p-MOSFETs, due to the significant improvement in hole mobility as compared to Si. Here we employ full-band Monte Carlo to study hole transport properties in Ge. We present mobility and velocity-field characteristics for different transport directions in p-doped relaxed and strained Ge layers. The simulations are based on a method for over-coming the potentially large dynamic range of scattering rates, which results from the long-range nature of the unscreened Coulombic interaction. Our model for ionized impurity scattering includes the affects of dynamic Lindhard screening, coupled with phase-shift, and multi-ion corrections along with plasmon scattering. We show that all these effects play a role in determining the hole carrier transport in doped Ge layers and cannot be neglected.

  10. Production of three-dimensional quantum dot lattice of Ge/Si core-shell quantum dots and Si/Ge layers in an alumina glass matrix.

    Science.gov (United States)

    Buljan, M; Radić, N; Sancho-Paramon, J; Janicki, V; Grenzer, J; Bogdanović-Radović, I; Siketić, Z; Ivanda, M; Utrobičić, A; Hübner, R; Weidauer, R; Valeš, V; Endres, J; Car, T; Jerčinović, M; Roško, J; Bernstorff, S; Holy, V

    2015-02-13

    We report on the formation of Ge/Si quantum dots with core/shell structure that are arranged in a three-dimensional body centered tetragonal quantum dot lattice in an amorphous alumina matrix. The material is prepared by magnetron sputtering deposition of Al2O3/Ge/Si multilayer. The inversion of Ge and Si in the deposition sequence results in the formation of thin Si/Ge layers instead of the dots. Both materials show an atomically sharp interface between the Ge and Si parts of the dots and layers. They have an amorphous internal structure that can be crystallized by an annealing treatment. The light absorption properties of these complex materials are significantly different compared to films that form quantum dot lattices of the pure Ge, Si or a solid solution of GeSi. They show a strong narrow absorption peak that characterizes a type II confinement in accordance with theoretical predictions. The prepared materials are promising for application in quantum dot solar cells.

  11. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  12. AC over-current characteristics of YBCO coated conductor with copper stabilizer layer considering insulation layer

    International Nuclear Information System (INIS)

    Du, H.-I.; Kim, M.-J.; Kim, Y.-J.; Lee, D.-H.; Han, B.-S.; Song, S.-S.

    2010-01-01

    Compared with the first-generation BSCCO wire, the YBCO thin-film wire boasts low material costs and high J c and superior magnetic-field properties, among other strengths. Meanwhile, the previous BSCCO wire material for superconducting cables has been researched on considerably with regard to its post-wire quenching characteristics during the application of an alternating over-current. In this regard, the promising YBCO thin-film wire has yet to be further researched on. Moreover, still lacking is research on the YBCO thin-film wire with insulating layers, which is essential in the manufacture of superconducting cables, along with the testing of the application of an alternating over-current to the wire. In this study, YBCO thin-film wires with copper-stabilizing layers were used in testing alternating over-current application according to the presence or absence of insulating layers and to the thickness of such layers, to examine the post-quenching wire resistance increase and quenching trends. The YBCO thin-film wire with copper-stabilizing layers has a critical temperature of 90 K and a critical current of 85 A rms . Moreover, its current application cycle is 5.5 cycles, and its applied currents are 354, 517, 712, and 915 A peak . These figures enabled the YBCO thin-film wires with copper-stabilizing layers to reach 90, 180, 250, and 300 K, respectively, in this study. These temperatures serve as a relative reference to examine the post-quenching wire properties following the application of an alternating over-current.

  13. Addition of Mn to Ge quantum dot surfaces—interaction with the Ge QD {105} facet and the Ge(001) wetting layer

    International Nuclear Information System (INIS)

    Nolph, C A; Kassim, J K; Floro, J A; Reinke, P

    2013-01-01

    The interaction of Mn with Ge quantum dots (QD), which are bounded by {105} facets, and the strained Ge wetting layer (WL), terminated by a (001) surface, is investigated with scanning tunneling microscopy (STM). These surfaces constitute the growth surfaces in the growth of Mn-doped QDs. Mn is deposited on the Ge QD and WL surface in sub-monolayer concentrations, and subsequently annealed up to a temperature of 400 ° C. The changes in bonding and surface topography are measured with STM during the annealing process. Mn forms flat islands on the Ge{105} facet, whose shape and position are guided by the rebonded step reconstruction of the facet. Voltage-dependent STM images reflect the Mn-island interaction with the empty and filled states of the Ge{105} reconstruction. Scanning tunneling spectra (STS) of the Ge{105} facet and as-deposited Mn-islands show a bandgap of 0.8 eV, and the Mn-island spectra are characterized by an additional empty state at about 1.4 eV. A statistical analysis of Mn-island shape and position on the QD yields a slight preference for edge positions, whereas the QD strain field does not impact Mn-island position. However, the formation of ultra-small Mn-clusters dominates on the Ge(001) WL, which is in contrast to Mn interaction with unstrained Ge(001) surfaces. Annealing to T 5 Ge 3 from a mass balance analysis. This reaction is accompanied by the disappearance of the original Mn-surface structures and de-wetting of Mn is complete. This study unravels the details of Mn–Ge interactions, and demonstrates the role of surface diffusion as a determinant in the growth of Mn-doped Ge materials. Surface doping of Ge-nanostructures at lower temperatures could provide a pathway to control magnetism in the Mn–Ge system. (paper)

  14. Electrical properties of pseudo-single-crystalline Ge films grown by Au-induced layer exchange crystallization at 250 °C

    Science.gov (United States)

    Higashi, H.; Kudo, K.; Yamamoto, K.; Yamada, S.; Kanashima, T.; Tsunoda, I.; Nakashima, H.; Hamaya, K.

    2018-06-01

    We study the electrical properties of pseudo-single-crystalline Ge (PSC-Ge) films grown by a Au-induced layer exchange crystallization method at 250 °C. By inserting the SiNx layer between PSC-Ge and SiO2, we initiatively suppress the influence of the Ge/SiO2 interfacial defective layers, which have been reported in our previous works, on the electrical properties of the PSC-Ge layers. As a result, we can detect the influence of the ionized Au+ donors on the temperature-dependent hole concentration and Hall mobility. To further examine their electrical properties in detail, we also fabricate p-thin-film transistors (TFTs) with the PSC-Ge layer. Although the off-state leakage currents are suppressed by inserting the SiNx layer, the value of on/off ratio remains poor (leakage current although a nominal field effect mobility is enhanced up to ˜25 cm2/V s. Considering these features, we conclude that the Au contaminations into the PSC-Ge layer can affect the electrical properties and device performances despite a low-growth temperature of 250 °C. To achieve further high-performance p-TFTs, we have to suppress the Au contaminations into PSC-Ge during the Au-induced crystallization growth.

  15. Phosphorus atomic layer doping in SiGe using reduced pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Yamamoto, Yuji; Heinemann, Bernd; Murota, Junichi; Tillack, Bernd

    2014-01-01

    Phosphorus (P) atomic layer doping in SiGe is investigated at temperatures between 100 °C to 600 °C using a single wafer reduced pressure chemical vapor deposition system. SiGe(100) surface is exposed to PH 3 at different PH 3 partial pressures by interrupting SiGe growth. The impact of the SiGe buffer/cap growth condition (total pressure/SiGe deposition precursors) on P adsorption, incorporation, and segregation are investigated. In the case of SiH 4 -GeH 4 -H 2 gas system, steeper P spikes due to lower segregation are observed by SiGe cap deposition at atmospheric (ATM) pressure compared with reduced pressure (RP). The steepness of P spike of ∼ 5.7 nm/dec is obtained for ATM pressure without reducing deposition temperature. This result may be due to the shift of equilibrium of P adsorption/desorption to desorption direction by higher H 2 pressure. Using Si 2 H 6 -GeH 4 -H 2 gas system for SiGe cap deposition in RP, lowering the SiGe growth temperature is possible, resulting in higher P incorporation and steeper P profile due to reduced desorption and segregation. In the case of Si 2 H 6 -GeH 4 -H 2 gas system, the P dose could be simulated assuming a Langmuir-type kinetics model. Incorporated P shows high electrical activity, indicating P is adsorbed mostly in lattice position. - Highlights: • Phosphorus (P) atomic layer doping in SiGe (100) is investigated using CVD. • P adsorption is suppressed by the hydrogen termination of Ge surface. • By SiGe cap deposition at atmospheric pressure, P segregation was suppressed. • By using Si 2 H 6 -based SiGe cap, P segregation was also suppressed. • The P adsorption process is self-limited and follows Langmuir-type kinetics model

  16. A technique for simultaneously improving the product of cutoff frequency–breakdown voltage and thermal stability of SOI SiGe HBT

    International Nuclear Information System (INIS)

    Fu Qiang; Zhang Wan-Rong; Jin Dong-Yue; Zhao Yan-Xiao; Wang Xiao

    2016-01-01

    The product of the cutoff frequency and breakdown voltage ( f T ×BV CEO ) is an important figure of merit (FOM) to characterize overall performance of heterojunction bipolar transistor (HBT). In this paper, an approach to introducing a thin N + -buried layer into N collector region in silicon-on-insulator (SOI) SiGe HBT to simultaneously improve the FOM of f T ×BV CEO and thermal stability is presented by using two-dimensional (2D) numerical simulation through SILVACO device simulator. Firstly, in order to show some disadvantages of the introduction of SOI structure, the effects of SOI insulation layer thickness ( T BOX ) on f T , BV CEO , and the FOM of f T ×BV CEO are presented. The introduction of SOI structure remarkably reduces the electron concentration in collector region near SOI substrate insulation layer, obviously reduces f T , slightly increases BV CEO to some extent, but ultimately degrades the FOM of f T ×BV CEO . Although the f T , BV CEO , and the FOM of f T ×BV CEO can be improved by increasing SOI insulator SiO 2 layer thickness T BOX in SOI structure, the device temperature and collector current are increased due to lower thermal conductivity of SiO 2 layer, as a result, the self-heating effect of the device is enhanced, and the thermal stability of the device is degraded. Secondly, in order to alleviate the foregoing problem of low electron concentration in collector region near SOI insulation layer and the thermal stability resulting from thick T BOX , a thin N + -buried layer is introduced into collector region to not only improve the FOM of f T ×BV CEO , but also weaken the self-heating effect of the device, thus improving the thermal stability of the device. Furthermore, the effect of the location of the thin N + -buried layer in collector region is investigated in detail. The result show that the FOM of f T ×BV CEO is improved and the device temperature decreases as the N + -buried layer shifts toward SOI substrate insulation layer

  17. Heat transfer performance of multi-layer insulation structure under roof-slab of pool-type LMFBR

    International Nuclear Information System (INIS)

    Kinoshita, I.; Yoshida, K.; Uotani, M.; Fukada, T.

    1988-01-01

    At the normal operation of the pool-type LMFBR, the free surface of liquid sodium at about 500 0 C is present below the roof-slab, separated by a space of the argon cover gas. The temperature of the roof-slab has to be maintained low and uniform in the horizontal direction for sufficient strength of the structure. Therefore, thermal insulation structures must be installed on the lower surface of the roof-slab. In addition to the installation of thermal insulator, forced cooling of the roof-slab is required for assured structural integrity of the roof-slab. The capacity of cooling equipment can be reduced by installation of structures with high thermal insulating performance. The objective of this study is to evaluate the thermal insulation characteristics of multi-layer type insulator installed below the roof-slab by analytically and experimentally. The analytical study is intended to evaluate the effect of number, distance and emissivity of layers on the heat transfer performances. This is treated as the one-dimensional heat transfer with natural convection, conduction and thermal radiation. In the experiments, we have evaluated effects of gap distances between adjacent thermal insulators placed below the roof-slab on the thermal insulation performances

  18. Impact of thickness on the structural properties of high tin content GeSn layers

    Science.gov (United States)

    Aubin, J.; Hartmann, J. M.; Gassenq, A.; Milord, L.; Pauc, N.; Reboud, V.; Calvo, V.

    2017-09-01

    We have grown various thicknesses of GeSn layers in a 200 mm industrial Reduced Pressure - Chemical Vapor Deposition cluster tool using digermane (Ge2H6) and tin tetrachloride (SnCl4). The growth pressure (100 Torr) and the F(Ge2H6)/F(SnCl4) mass-flow ratio were kept constant, and incorporation of tin in the range of 10-15% was achieved with a reduction in temperature: 325 °C for 10% to 301 °C for 15% of Sn. The layers were grown on 2.5 μm thick Ge Strain Relaxed Buffers, themselves on Si(0 0 1) substrates. We used X-ray Diffraction, Atomic Force Microscopy, Raman spectroscopy and Scanning Electron Microscopy to measure the Sn concentration, the strain state, the surface roughness and thickness as a function of growth duration. A dramatic degradation of the film was seen when the Sn concentration and layer thickness were too high resulting in rough/milky surfaces and significant Sn segregation.

  19. Electrically detected magnetic resonance study of the Ge dangling bonds at the Ge(1 1 1)/GeO2 interface after capping with Al2O3 layer

    International Nuclear Information System (INIS)

    Paleari, S.; Molle, A.; Accetta, F.; Lamperti, A.; Cianci, E.; Fanciulli, M.

    2014-01-01

    The electrical activity of Ge dangling bonds is investigated at the interface between GeO 2 -passivated Ge(1 1 1) substrate and Al 2 O 3 grown by atomic layer deposition, by means of electrically detected magnetic resonance spectroscopy (EDMR). The Al 2 O 3 /GeO 2 /Ge stacked structure is promising as a mobility booster for the post-Si future electronic devices. EDMR proved to be useful in characterizing interface defects, even at the very low concentrations of state-of-the-art devices ( 10 cm −2 ). In particular, it is shown that capping the GeO 2 -passivated Ge(1 1 1) with Al 2 O 3 has no impact on the microstructure of the Ge dangling bond.

  20. Room-temperature light-emission from Ge quantum dots in photonic crystals

    Energy Technology Data Exchange (ETDEWEB)

    Xia Jinsong [Advanced Research Laboratories, Musashi Institute of Technolgy, 8-15-1 Todoroki, Setagaya-ku, Tokyo 158-0082 (Japan)], E-mail: jxia@sc.musashi-tech.ac.jp; Nemoto, Koudai; Ikegami, Yuta [Advanced Research Laboratories, Musashi Institute of Technolgy, 8-15-1 Todoroki, Setagaya-ku, Tokyo 158-0082 (Japan); Usami, Noritaka [Institute of Materials Research, Tohoku University, 2-2-1 Katahira, Aoba-ku, Sendai Japan (Japan)], E-mail: usa@imr.tohoku.ac.jp; Nakata, Yasushi [Horiba, Ltd., 1-7-8 Higashi-Kanda, Chiyoda-ku, Tokyo 101-0031 (Japan)], E-mail: yasushi.nakata@horiba.com; Shiraki, Yasuhiro [Advanced Research Laboratories, Musashi Institute of Technolgy, 8-15-1 Todoroki, Setagaya-ku, Tokyo 158-0082 (Japan)

    2008-11-03

    Multiple layers of Ge self-assembled quantum dots were embedded into two-dimensional silicon photonic crystal microcavities fabricated on silicon-on-insulator substrates. Microphotoluminescence was used to study the light-emission characteristic of the Ge quantum dots in the microcavities. Strong resonant room-temperature light-emission was observed in the telecommunication wavelength region. Significant enhancement of the luminescence from Ge dots was obtained due to the resonance in the cavities. Multiple sharp resonant peaks dominated the spectrum, showing strong optical resonance inside the cavity. By changing the lattice constant of photonic crystal structure, the wavelengths of the resonant peaks are tuned in the wide wavelength range from 1.2 to 1.6 {mu}m.

  1. Low temperature formation of higher-k cubic phase HfO2 by atomic layer deposition on GeOx/Ge structures fabricated by in-situ thermal oxidation

    International Nuclear Information System (INIS)

    Zhang, R.; Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S.

    2016-01-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO 2 using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO x interfacial layer. It is found that the cubic phase is dominant in the HfO 2 film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO 2 film on a 1-nm-thick GeO x form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO 2 can be induced by the formation of six-fold crystalline GeO x structures in the underlying GeO x interfacial layer

  2. Effect of atomic-arrangement matching on La{sub 2}O{sub 3}/Ge heterostructures for epitaxial high-k-gate-stacks

    Energy Technology Data Exchange (ETDEWEB)

    Kanashima, T., E-mail: kanashima@ee.es.osaka-u.ac.jp; Zenitaka, M.; Kajihara, Y.; Yamada, S.; Hamaya, K. [Graduate School of Engineering Science, Osaka University, Machkaneyama 1-3, Toyonaka, Osaka 560-8531 (Japan); Nohira, H. [Tokyo City University, 1-28-1 Tamazutumi, Setagaya-ku, Tokyo 158-8557 (Japan)

    2015-12-14

    We demonstrate a high-quality La{sub 2}O{sub 3} layer on germanium (Ge) as an epitaxial high-k-gate-insulator, where there is an atomic-arrangement matching condition between La{sub 2}O{sub 3}(001) and Ge(111). Structural analyses reveal that (001)-oriented La{sub 2}O{sub 3} layers were grown epitaxially only when we used Ge(111) despite low growth temperatures less than 300 °C. The permittivity (k) of the La{sub 2}O{sub 3} layer is roughly estimated to be ∼19 from capacitance-voltage (C-V) analyses in Au/La{sub 2}O{sub 3}/Ge structures after post-metallization-annealing treatments, although the C-V curve indicates the presence of carrier traps near the interface. By using X-ray photoelectron spectroscopy analyses, we find that only Ge–O–La bonds are formed at the interface, and the thickness of the equivalent interfacial Ge oxide layer is much smaller than that of GeO{sub 2} monolayer. We discuss a model of the interfacial structure between La{sub 2}O{sub 3} and Ge(111) and comment on the C-V characteristics.

  3. Fabrication of SGOI material by oxidation of an epitaxial SiGe layer on an SOI wafer with H ions implantation

    International Nuclear Information System (INIS)

    Cheng Xinli; Chen Zhijun; Wang Yongjin; Jin Bo; Zhang Feng; Zou Shichang

    2005-01-01

    SGOI materials were fabricated by thermal dry oxidation of epitaxial H-ion implanted SiGe layers on SOI wafers. The hydrogen implantation was found to delay the oxidation rate of SiGe layer and to decrease the loss of Ge atoms during oxidation. Further, the H implantation did not degrade the crystallinity of SiGe layer during fabrication of the SGOI

  4. Magnetism in Mn-nanowires and -clusters as δ-doped layers in group IV semiconductors (Si, Ge)

    Science.gov (United States)

    Simov, K. R.; Glans, P.-A.; Jenkins, C. A.; Liberati, M.; Reinke, P.

    2018-01-01

    Mn doping of group-IV semiconductors (Si/Ge) is achieved by embedding nanostructured Mn-layers in group-IV matrix. The Mn-nanostructures are monoatomic Mn-wires or Mn-clusters and capped with an amorphous Si or Ge layer. The precise fabrication of δ-doped Mn-layers is combined with element-specific detection of the magnetic signature with x-ray magnetic circular dichroism. The largest moment (2.5 μB/Mn) is measured for Mn-wires with ionic bonding character and a-Ge overlayer cap; a-Si capping reduces the moment due to variations of bonding in agreement with theoretical predictions. The moments in δ-doped layers dominated by clusters is quenched with an antiferromagnetic component from Mn-Mn bonding.

  5. Structural Analyses of Phase Stability in Amorphous and Partially Crystallized Ge-Rich GeTe Films Prepared by Atomic Layer Deposition.

    Science.gov (United States)

    Gwon, Taehong; Mohamed, Ahmed Yousef; Yoo, Chanyoung; Park, Eui-Sang; Kim, Sanggyun; Yoo, Sijung; Lee, Han-Koo; Cho, Deok-Yong; Hwang, Cheol Seong

    2017-11-29

    The local bonding structures of Ge x Te 1-x (x = 0.5, 0.6, and 0.7) films prepared through atomic layer deposition (ALD) with Ge(N(Si(CH 3 ) 3 ) 2 ) 2 and ((CH 3 ) 3 Si) 2 Te precursors were investigated using Ge K-edge X-ray absorption spectroscopy (XAS). The results of the X-ray absorption fine structure analyses show that for all of the compositions, the as-grown films were amorphous with a tetrahedral Ge coordination of a mixture of Ge-Te and Ge-Ge bonds but without any signature of Ge-GeTe decomposition. The compositional evolution in the valence band electronic structures probed through X-ray photoelectron spectroscopy suggests a substantial chemical influence of additional Ge on the nonstoichiometric GeTe. This implies that the ALD process can stabilize Ge-abundant bonding networks like -Te-Ge-Ge-Te- in amorphous GeTe. Meanwhile, the XAS results on the Ge-rich films that had undergone post-deposition annealing at 350 °C show that the parts of the crystalline Ge-rich GeTe became separated into Ge crystallites and rhombohedral GeTe in accordance with the bulk phase diagram, whereas the disordered GeTe domains still remained, consistent with the observations of transmission electron microscopy and Raman spectroscopy. Therefore, amorphousness in GeTe may be essential for the nonsegregated Ge-rich phases and the low growth temperature of the ALD enables the achievement of the structurally metastable phases.

  6. Analysis of switching characteristics for negative capacitance ultra-thin-body germanium-on-insulator MOSFETs

    Science.gov (United States)

    Pi-Ho Hu, Vita; Chiu, Pin-Chieh

    2018-04-01

    The impact of device parameters on the switching characteristics of negative capacitance ultra-thin-body (UTB) germanium-on-insulator (NC-GeOI) MOSFETs is analyzed. NC-GeOI MOSFETs with smaller gate length (L g), EOT, and buried oxide thickness (T box) and thicker ferroelectric layer thickness (T FE) exhibit larger subthreshold swing improvements over GeOI MOSFETs due to better capacitance matching. Compared with GeOI MOSFETs, NC-GeOI MOSFETs exhibit better switching time due to improvements in effective drive current (I eff) and subthreshold swing. NC-GeOI MOSFET exhibits larger ST improvements at V dd = 0.3 V (-82.9%) than at V dd = 0.86 V (-9.7%), because NC-GeOI MOSFET shows 18.2 times higher I eff than the GeOI MOSFET at V dd = 0.3 V, while 2.5 times higher I eff at V dd = 0.86 V. This work provides the device design guideline of NC-GeOI MOSFETs for ultra-low power applications.

  7. Thermoelectric energy conversion in layered structures with strained Ge quantum dots grown on Si surfaces

    Science.gov (United States)

    Korotchenkov, Oleg; Nadtochiy, Andriy; Kuryliuk, Vasyl; Wang, Chin-Chi; Li, Pei-Wen; Cantarero, Andres

    2014-03-01

    The efficiency of the energy conversion devices depends in many ways on the materials used and various emerging cost-effective nanomaterials have promised huge potentials in highly efficient energy conversion. Here we show that thermoelectric voltage can be enhanced by a factor of 3 using layer-cake growth of Ge quantum dots through thermal oxidation of SiGe layers stacked in SiO2/Si3N4 multilayer structure. The key to achieving this behavior has been to strain the Ge/Si interface by Ge dots migrating to Si substrate. Calculations taking into account the carrier trapping in the dot with a quantum transmission into the neighboring dot show satisfactory agreement with experiments above ≈200 K. The results may be of interest for improving the functionality of thermoelectric devices based on Ge/Si.

  8. Graded thermal insulation layer systems; Gradierte Waermedaemmschichtsysteme

    Energy Technology Data Exchange (ETDEWEB)

    Leushake, U.; Krell, T. [Deutsche Forschungsanstalt fuer Luft- und Raumfahrt e.V. (DLR), Koeln (Germany). Inst. fuer Werkstoff-Forschung

    1996-12-31

    Graded thermal insulation systems reduce local stresses between two layers. Grading usually involves a concentration variation in a second phase but may also involve variations of the microstructure or chemical composition. The contribution discusses the application of this technique for thermal protection of turbine blades in aircraft propulsion systems. [Deutsch] Mit Hilfe gradierter Waermeschichtsysteme ist es moeglich die lokalen Spannungen zwischen zwei Schichten zu verringern. Die Gradierung umfasst meistens eine Variation des Gehaltes einer zweiten Phase, kann aber auch die Variation der Mikrostruktur oder der chemischen Zusammensetzung beinhalten. In diesem Beitrag wird auf die Anwendung als thermischer Schutz von Turbinenschaufeln fuer Flugtriebwerke eingegangen.

  9. Physical characterization of Cu{sub 2}ZnGeSe{sub 4} thin films from annealing of Cu-Zn-Ge precursor layers

    Energy Technology Data Exchange (ETDEWEB)

    Buffière, M., E-mail: buffiere@imec.be [Imec—Partner in Solliance, Leuven (Belgium); Department of Electrical Engineering (ESAT), KU Leuven, Heverlee (Belgium); ElAnzeery, H. [Imec—Partner in Solliance, Leuven (Belgium); KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA), Riyadh (Saudi Arabia); Microelectronics System Design department, Nile University, Cairo (Egypt); Oueslati, S.; Ben Messaoud, K. [Imec—Partner in Solliance, Leuven (Belgium); KACST-Intel Consortium Center of Excellence in Nano-manufacturing Applications (CENA), Riyadh (Saudi Arabia); Department of Physics, Faculty of Sciences of Tunis, El Manar (Tunisia); Brammertz, G.; Meuris, M. [Imec Division IMOMEC — Partner in Solliance, Diepenbeek (Belgium); Institute for Material Research (IMO) Hasselt University, Diepenbeek (Belgium); Poortmans, J. [Imec—Partner in Solliance, Leuven (Belgium); Department of Electrical Engineering (ESAT), KU Leuven, Heverlee (Belgium)

    2015-05-01

    Cu{sub 2}ZnGeSe{sub 4} (CZGeSe) can be considered as a potential alternative for wide band gap thin film devices. In this work, CZGeSe thin films were deposited on Mo-coated soda lime glass substrates by sequential deposition of sputtered Cu, Zn and e-beam evaporated Ge layers from elemental targets followed by annealing at high temperature using H{sub 2}Se gas. We report on the effect of the precursor stack order and composition and the impact of the annealing temperature on the physical properties of CZGeSe thin films. The optimal layer morphology was obtained when using a Mo/Cu/Zn/Ge precursor stack annealed at 460 °C. We have observed that the formation of secondary phases such as ZnSe can be prevented by tuning the initial composition of the stack, the stack order and the annealing conditions. This synthesis process allows synthesizing CZGeSe absorber with an optical band gap of 1.5 eV. - Highlights: • Cu{sub 2}ZnGeSe{sub 4} (CZGeSe) thin films were deposited using a two-step process. • CZGeSe dense layers were obtained using a Mo/Cu/Zn/Ge precursor annealed at 460 °C. • Formation of ZnSe can be avoided by tuning the composition and order of the initial stack. • P-type CZGeSe absorber with an optical band gap of 1.5 eV was obtained.

  10. Electrical isolation of dislocations in Ge layers on Si(001 substrates through CMOS-compatible suspended structures

    Directory of Open Access Journals (Sweden)

    Vishal Ajit Shah, Maksym Myronov, Chalermwat Wongwanitwatana, Lewis Bawden, Martin J Prest, James S Richardson-Bullock, Stephen Rhead, Evan H C Parker, Terrance E Whall and David R Leadley

    2012-01-01

    Full Text Available Suspended crystalline Ge semiconductor structures are created on a Si(001 substrate by a combination of epitaxial growth and simple patterning from the front surface using anisotropic underetching. Geometric definition of the surface Ge layer gives access to a range of crystalline planes that have different etch resistance. The structures are aligned to avoid etch-resistive planes in making the suspended regions and to take advantage of these planes to retain the underlying Si to support the structures. The technique is demonstrated by forming suspended microwires, spiderwebs and van der Pauw cross structures. We finally report on the low-temperature electrical isolation of the undoped Ge layers. This novel isolation method increases the Ge resistivity to 280 Ω cm at 10 K, over two orders of magnitude above that of a bulk Ge on Si(001 layer, by removing material containing the underlying misfit dislocation network that otherwise provides the main source of electrical conduction.

  11. Thermal insulation

    International Nuclear Information System (INIS)

    Aspden, G.J.; Howard, R.S.

    1988-01-01

    The patent concerns high temperature thermal insulation of large vessels, such as the primary vessel of a liquid metal cooled nuclear reactor. The thermal insulation consists of multilayered thermal insulation modules, and each module comprises a number of metal sheet layers sandwiched between a back and front plate. The layers are linked together by straps and clips to control the thickness of the module. (U.K.)

  12. Magnetism in Mn-nanowires and -clusters as δ-doped layers in group IV semiconductors (Si, Ge

    Directory of Open Access Journals (Sweden)

    K. R. Simov

    2018-01-01

    Full Text Available Mn doping of group-IV semiconductors (Si/Ge is achieved by embedding nanostructured Mn-layers in group-IV matrix. The Mn-nanostructures are monoatomic Mn-wires or Mn-clusters and capped with an amorphous Si or Ge layer. The precise fabrication of δ-doped Mn-layers is combined with element-specific detection of the magnetic signature with x-ray magnetic circular dichroism. The largest moment (2.5 μB/Mn is measured for Mn-wires with ionic bonding character and a-Ge overlayer cap; a-Si capping reduces the moment due to variations of bonding in agreement with theoretical predictions. The moments in δ-doped layers dominated by clusters is quenched with an antiferromagnetic component from Mn–Mn bonding.

  13. Interface analysis of Ge ultra thin layers intercalated between GaAs substrates and oxide stacks

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Lamagna, Luca; Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, Via C. Olivetti 2, 20041 Agrate Brianza (MI) (Italy); Dipartimento di Scienza dei Materiali, Universita di Milano Bicocca, Milano (Italy); Brammertz, Guy; Meuris, Marc [IMEC, 75 Kapeldreef, B-3001 Leuven (Belgium)

    2010-01-01

    Capping III-V compound surfaces with Ge ultra-thin layer might be a viable pathway to passivate the electrically active interface traps which usually jeopardize the integration of III-V materials in metal-oxide-semiconductor devices. As the physical nature of such traps is intrinsically related to the chemical details of the interface composition, the structural and compositional features of the Ge/GaAs interface were thoroughly investigated in two different configurations, the atomic layer deposition of La-doped ZrO{sub 2} films on Ge-capped GaAs and the ultra-high vacuum based molecular beam deposition of GeO{sub 2}/Ge double stack on in situ prepared GaAs. In the former case, the intercalation of a Ge interface layer is shown to suppress the concentration of interface Ga-O, As-O and elemental As bonding which were significantly detected in case of the direct oxide deposition on GaAs. In the latter case, the incidence of two different in situ surface preparations, the Ar sputtering and the atomic H cleaning, on the interface composition is elucidated and the beneficial role played by the atomic H exposure in reducing the semiconductor-oxygen bonds at the interface level is demonstrated.

  14. Enhanced relaxation of strained Ge{sub x}Si{sub 1-x} layers induced by Co/Ge{sub x}Si{sub 1-x} thermal reaction

    Energy Technology Data Exchange (ETDEWEB)

    Ridgway, M.C.; Elliman, R.G.; Rao, M.R. [Australian National Univ., Canberra, ACT (Australia); Baribeau, J.M. [National Research Council of Canada, Ottawa, ON (Canada)

    1993-12-31

    Enhanced relaxation of strained Ge{sub x}Si{sub l-x} layers during the formation of CoSi{sub 2} by Co/Ge{sub x}Si{sub 1-x} thermal reaction has been observed. Raman spectroscopy and transmission electron microscopy were used to monitor the extent of relaxation. Possible mechanisms responsible for the enhanced relaxation, including metal-induced dislocation nucleation, chemical and/or structural inhomogeneities at the reacted layer/Ge{sub x}Si{sub 1-x} interface and point defect injection due to silicide formation will be discussed. Also, methodologies for inhibiting relaxation will be presented. 11 refs., 1 fig.

  15. Enhanced relaxation of strained Ge{sub x}Si{sub 1-x} layers induced by Co/Ge{sub x}Si{sub 1-x} thermal reaction

    Energy Technology Data Exchange (ETDEWEB)

    Ridgway, M C; Elliman, R G; Rao, M R [Australian National Univ., Canberra, ACT (Australia); Baribeau, J M [National Research Council of Canada, Ottawa, ON (Canada)

    1994-12-31

    Enhanced relaxation of strained Ge{sub x}Si{sub l-x} layers during the formation of CoSi{sub 2} by Co/Ge{sub x}Si{sub 1-x} thermal reaction has been observed. Raman spectroscopy and transmission electron microscopy were used to monitor the extent of relaxation. Possible mechanisms responsible for the enhanced relaxation, including metal-induced dislocation nucleation, chemical and/or structural inhomogeneities at the reacted layer/Ge{sub x}Si{sub 1-x} interface and point defect injection due to silicide formation will be discussed. Also, methodologies for inhibiting relaxation will be presented. 11 refs., 1 fig.

  16. Characterization of Nanocrystalline SiGe Thin Film Solar Cell with Double Graded-Dead Absorption Layer

    Directory of Open Access Journals (Sweden)

    Chao-Chun Wang

    2012-01-01

    Full Text Available The nanocrystalline silicon-germanium (nc-SiGe thin films were deposited by high-frequency (27.12 MHz plasma-enhanced chemical vapor deposition (HF-PECVD. The films were used in a silicon-based thin film solar cell with graded-dead absorption layer. The characterization of the nc-SiGe films are analyzed by scanning electron microscopy, UV-visible spectroscopy, and Fourier transform infrared absorption spectroscopy. The band gap of SiGe alloy can be adjusted between 0.8 and 1.7 eV by varying the gas ratio. For thin film solar cell application, using double graded-dead i-SiGe layers mainly leads to an increase in short-circuit current and therefore cell conversion efficiency. An initial conversion efficiency of 5.06% and the stabilized efficiency of 4.63% for an nc-SiGe solar cell were achieved.

  17. Reduction of heat insulation upon soaking of the insulation layer

    Science.gov (United States)

    Achtliger, J.

    1983-09-01

    Improved thermal protection of hollow masonry by introduction of a core insulation between the inner and outer shell is discussed. The thermal conductivity of insulation materials was determined in dry state and after soaking by water with different volume-related moisture contents. The interpolated thermal conductivity values from three measured values at 10 C average temperature are presented as a function of the pertinent moisture content. Fills of expanded polystyrene, perlite and granulated mineral fibers, insulating boards made of mineral fibers and in situ cellular plastics produced from urea-formaldehyde resin were investigated. Test results show a confirmation of thermal conductivity values for insulating materials in hollow masonry.

  18. Single-layer ZnMN2 (M = Si, Ge, Sn) zinc nitrides as promising photocatalysts.

    Science.gov (United States)

    Bai, Yujie; Luo, Gaixia; Meng, Lijuan; Zhang, Qinfang; Xu, Ning; Zhang, Haiyang; Wu, Xiuqiang; Kong, Fanjie; Wang, Baolin

    2018-05-30

    Searching for two-dimensional semiconductor materials that are suitable for visible-light photocatalytic water splitting provides a sustainable solution to deal with the future energy crisis and environmental problems. Herein, based on first-principles calculations, single-layer ZnMN2 (M = Si, Ge, Sn) zinc nitrides are proposed as efficient photocatalysts for water splitting. Stability analyses show that the single-layer ZnMN2 zinc nitrides exhibit energetic and dynamical stability. The electronic properties reveal that all of the single-layer ZnMN2 zinc nitrides are semiconductors. Interestingly, single-layer ZnSnN2 is a direct band gap semiconductor with a desirable band gap (1.74 eV), and the optical adsorption spectrum confirms its optical absorption in the visible light region. The hydrogen evolution reaction (HER) calculations show that the catalytic activity for single-layer ZnMN2 (M = Ge, Sn) is better than that of single-layer ZnSiN2. Furthermore, the band gaps and band edge positions for the single-layer ZnMN2 zinc nitrides can be effectively tuned by biaxial strain. Especially, single-layer ZnGeN2 can be effectively tuned to match better with the redox potentials of water and enhance the light absorption in the visible light region at a tensile strain of 5%, which is confirmed by the corresponding optical absorption spectrum. Our results provide guidance for experimental synthesis efforts and future searches for single-layer materials suitable for photocatalytic water splitting.

  19. Investigating the effect of multiple layers of insulation with a bubble wrap experiment

    Science.gov (United States)

    Eggers, Dolores; Ruiz, Michael J.

    2018-03-01

    We provide a fun, inexpensive laboratory experiment for students to investigate the effects of multiple layers of insulation and observe diminishing values for additional layers using bubble wrap. This experiment provides an opportunity for students to learn about heat transfer through conduction using readily available materials. A water-ice pack is placed on top of five layers of bubble wrap. The temperature is taken between each layer periodically for at least 15 min. Students determine asymptotic temperatures for varying layers. This experiment also suggests a real world application.

  20. A technique for simultaneously improving the product of cutoff frequency-breakdown voltage and thermal stability of SOI SiGe HBT

    Science.gov (United States)

    Fu, Qiang; Zhang, Wan-Rong; Jin, Dong-Yue; Zhao, Yan-Xiao; Wang, Xiao

    2016-12-01

    The product of the cutoff frequency and breakdown voltage (fT×BVCEO) is an important figure of merit (FOM) to characterize overall performance of heterojunction bipolar transistor (HBT). In this paper, an approach to introducing a thin N+-buried layer into N collector region in silicon-on-insulator (SOI) SiGe HBT to simultaneously improve the FOM of fT×BVCEO and thermal stability is presented by using two-dimensional (2D) numerical simulation through SILVACO device simulator. Firstly, in order to show some disadvantages of the introduction of SOI structure, the effects of SOI insulation layer thickness (TBOX) on fT, BVCEO, and the FOM of fT×BVCEO are presented. The introduction of SOI structure remarkably reduces the electron concentration in collector region near SOI substrate insulation layer, obviously reduces fT, slightly increases BVCEO to some extent, but ultimately degrades the FOM of fT×BVCEO. Although the fT, BVCEO, and the FOM of fT×BVCEO can be improved by increasing SOI insulator SiO2 layer thickness TBOX in SOI structure, the device temperature and collector current are increased due to lower thermal conductivity of SiO2 layer, as a result, the self-heating effect of the device is enhanced, and the thermal stability of the device is degraded. Secondly, in order to alleviate the foregoing problem of low electron concentration in collector region near SOI insulation layer and the thermal stability resulting from thick TBOX, a thin N+-buried layer is introduced into collector region to not only improve the FOM of fT×BVCEO, but also weaken the self-heating effect of the device, thus improving the thermal stability of the device. Furthermore, the effect of the location of the thin N+-buried layer in collector region is investigated in detail. The result show that the FOM of fT×BVCEO is improved and the device temperature decreases as the N+-buried layer shifts toward SOI substrate insulation layer. The approach to introducing a thin N+-buried layer

  1. Enhanced charge storage capability of Ge/GeO2 core/shell nanostructure

    International Nuclear Information System (INIS)

    Yuan, C L; Lee, P S

    2008-01-01

    A Ge/GeO 2 core/shell nanostructure embedded in an Al 2 O 3 gate dielectrics matrix was produced. A larger memory window with good data retention was observed in the fabricated metal-insulator-semiconductor (MIS) capacitor for Ge/GeO 2 core/shell nanoparticles compared to Ge nanoparticles only, which is due to the high percentage of defects located on the surface and grain boundaries of the GeO 2 shell. We believe that the findings presented here provide physical insight and offer useful guidelines to controllably modify the charge storage properties of indirect semiconductors through defect engineering

  2. Enhanced charge storage capability of Ge/GeO(2) core/shell nanostructure.

    Science.gov (United States)

    Yuan, C L; Lee, P S

    2008-09-03

    A Ge/GeO(2) core/shell nanostructure embedded in an Al(2)O(3) gate dielectrics matrix was produced. A larger memory window with good data retention was observed in the fabricated metal-insulator-semiconductor (MIS) capacitor for Ge/GeO(2) core/shell nanoparticles compared to Ge nanoparticles only, which is due to the high percentage of defects located on the surface and grain boundaries of the GeO(2) shell. We believe that the findings presented here provide physical insight and offer useful guidelines to controllably modify the charge storage properties of indirect semiconductors through defect engineering.

  3. Density Functional Theory Calculations Revealing Metal-like Band Structures for Ultrathin Ge {111} and {211} Surface Layers.

    Science.gov (United States)

    Tan, Chih-Shan; Huang, Michael Hsuan-Yi

    2018-05-21

    To find out if germanium should also possess facet-dependent electrical conductivity properties, surface state density functional theory (DFT) calculations were performed on 1-6 layers of Ge (100), (110), (111), and (211) planes. Tunable Ge (100) and (110) planes always present the same semiconducting band structure with a band gap of 0.67 eV expected of bulk germanium. In contrast, 1, 2, 4, and 5 layers of Ge (111) and (211) plane models show metal-like band structures with continuous density of states (DOS) throughout the entire band. For 3 and 6 layers of Ge (111) and (211) plane models, the normal semiconducting band structure was obtained. The plane layers with metal-like band structures also show Ge-Ge bond length deviations and bond distortions, as well as significantly different 4s and 4p frontier orbital electron count and their relative percentages integrated over the valence and conduction bands from those of the semiconducting state. These differences should contribute to strikingly dissimilar band structures. The calculation results suggest observation of facet-dependent electrical conductivity properties of germanium materials, and transistors made of germanium may also need to consider the facet effects with shrinking dimensions approaching 3 nm. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    Science.gov (United States)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  5. Development of Ge/NbSi detectors for EDELWEISS-II with identification of near-surface events

    International Nuclear Information System (INIS)

    Juillard, A.; Marnieros, S.; Dolgorouky, Y.; Berge, L.; Collin, S.; Fiorucci, S.; Lalu, F.; Dumoulin, L.

    2006-01-01

    The actual limitation of Ge ionization heat cryogenic detectors for direct WIMP detection such as EDELWEISS arises from incomplete charge collection for near-surface events. We present results on Ge/NbSi detectors that are fitted with segmented electrodes and two NbSi Anderson insulator thermometric layers. Three such bolometers were studied in the low-background cryostat of the EDELWEISS collaboration in the LSM: analysis of the athermal signals allows us to identify and reject events occurring in the first millimeter under the electrodes

  6. Development of Ge/NbSi detectors for EDELWEISS-II with identification of near-surface events

    Energy Technology Data Exchange (ETDEWEB)

    Juillard, A. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France)]. E-mail: juillard@csnsm.in2p3.fr; Marnieros, S. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Dolgorouky, Y. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Berge, L. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Collin, S. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Fiorucci, S. [C.E.A, Centre d' etudes Nucleaires de Saclay, DSM/DAPNIA, Gif. Yvette, Cedex 91191n (France); Lalu, F. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France); Dumoulin, L. [Centre de Spectrometrie Nucleaire et de Spectrometrie de Masse (CSNSM), IN2P3/CNRS, Bat 108, Orsay Campus 91405 (France)

    2006-04-15

    The actual limitation of Ge ionization heat cryogenic detectors for direct WIMP detection such as EDELWEISS arises from incomplete charge collection for near-surface events. We present results on Ge/NbSi detectors that are fitted with segmented electrodes and two NbSi Anderson insulator thermometric layers. Three such bolometers were studied in the low-background cryostat of the EDELWEISS collaboration in the LSM: analysis of the athermal signals allows us to identify and reject events occurring in the first millimeter under the electrodes.

  7. Wet thermal annealing effect on TaN/HfO2/Ge metal—oxide—semiconductor capacitors with and without a GeO2 passivation layer

    International Nuclear Information System (INIS)

    Liu Guan-Zhou; Li Cheng; Lu Chang-Bao; Tang Rui-Fan; Tang Meng-Rao; Wu Zheng; Yang Xu; Huang Wei; Lai Hong-Kai; Chen Song-Yan

    2012-01-01

    Wet thermal annealing effects on the properties of TaN/HfO 2 /Ge metal—oxide—semiconductor (MOS) structures with and without a GeO 2 passivation layer are investigated. The physical and the electrical properties are characterized by X-ray photoemission spectroscopy, high-resolution transmission electron microscopy, capacitance—voltage (C—V) and current—voltage characteristics. It is demonstrated that wet thermal annealing at relatively higher temperature such as 550 °C can lead to Ge incorporation in HfO 2 and the partial crystallization of HfO 2 , which should be responsible for the serious degradation of the electrical characteristics of the TaN/HfO 2 /Ge MOS capacitors. However, wet thermal annealing at 400 °C can decrease the GeO x interlayer thickness at the HfO 2 /Ge interface, resulting in a significant reduction of the interface states and a smaller effective oxide thickness, along with the introduction of a positive charge in the dielectrics due to the hydrolyzable property of GeO x in the wet ambient. The pre-growth of a thin GeO 2 passivation layer can effectively suppress the interface states and improve the C—V characteristics for the as-prepared HfO 2 gated Ge MOS capacitors, but it also dissembles the benefits of wet thermal annealing to a certain extent

  8. Reduced Pressure-Chemical Vapour Deposition of Si/SiGe heterostructures for nanoelectronics

    International Nuclear Information System (INIS)

    Hartmann, J.M.; Andrieu, F.; Lafond, D.; Ernst, T.; Bogumilowicz, Y.; Delaye, V.; Weber, O.; Rouchon, D.; Papon, A.M.; Cherkashin, N.

    2008-01-01

    We have first of all quantified the impact of pressure on Si and SiGe growth kinetics. Definite growth rate and Ge concentration increases with the pressure have been evidenced at low temperatures (650-750 deg. C). By contrast, the high temperature (950-1050 deg. C) Si growth rate either increases or decreases with pressure (gaseous precursor depending). We have then described the selective epitaxial growth process we use to form Si or Si 0.7 Ge 0.3 :B raised sources and drains on ultra-thin patterned Silicon-On-Insulator (SOI) substrates. We have afterwards presented the specifics of SiGe virtual substrates and of the tensile-strained Si layers grown on top (used as templates for the elaboration of tensily strained-SOI wafers). The tensile strain, which can be tailored from 1.3 up to 3 GPa, leads to an electron mobility gain by a factor of 2 in n-Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) built on top. High Ge content SiGe virtual substrates can also be used for the elaboration of compressively strained Ge channels, with impressive hole mobility gains (x9) compared to bulk Si. After that, we have described the main structural features of thick Ge layers grown directly on Si (that can be used as donor wafers for the elaboration of GeOI wafers or as the active medium of near infrared photo-detectors). Finally, we have shown how Si/SiGe multilayers can be used for the formation of high performance 3D devices such as multi-bridge channel or nano-beam gate-all-around FETs, the SiGe sacrificial layers being removed thanks to plasma dry etching, wet etching or in situ gaseous HCl etching

  9. Growth model and structure evolution of Ag layers deposited on Ge films.

    Science.gov (United States)

    Ciesielski, Arkadiusz; Skowronski, Lukasz; Górecka, Ewa; Kierdaszuk, Jakub; Szoplik, Tomasz

    2018-01-01

    We investigated the crystallinity and optical parameters of silver layers of 10-35 nm thickness as a function 2-10 nm thick Ge wetting films deposited on SiO 2 substrates. X-ray reflectometry (XRR) and X-ray diffraction (XRD) measurements proved that segregation of germanium into the surface of the silver film is a result of the gradient growth of silver crystals. The free energy of Ge atoms is reduced by their migration from boundaries of larger grains at the Ag/SiO 2 interface to boundaries of smaller grains near the Ag surface. Annealing at different temperatures and various durations allowed for a controlled distribution of crystal dimensions, thus influencing the segregation rate. Furthermore, using ellipsometric and optical transmission measurements we determined the time-dependent evolution of the film structure. If stored under ambient conditions for the first week after deposition, the changes in the transmission spectra are smaller than the measurement accuracy. Over the course of the following three weeks, the segregation-induced effects result in considerably modified transmission spectra. Two months after deposition, the slope of the silver layer density profile derived from the XRR spectra was found to be inverted due to the completed segregation process, and the optical transmission spectra increased uniformly due to the roughened surfaces, corrosion of silver and ongoing recrystallization. The Raman spectra of the Ge wetted Ag films were measured immediately after deposition and ten days later and demonstrated that the Ge atoms at the Ag grain boundaries form clusters of a few atoms where the Ge-Ge bonds are still present.

  10. Combined RBS and TEM characterization of nano-SiGe layers embedded in SiO2

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Sangrador, J.; Rodriguez, A.; Rodriguez, T.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Grazing incidence RBS has been tested as a technique to detect and characterize SiGe nanoparticles embedded in a SiO 2 matrix. Suitable structures were deposited by low pressure chemical vapour deposition and characterized by TEM and RBS. The layers containing nanoparticles have been modelled by stacks of sublayers consisting of SiGeO layers with compositions calculated according to presumed shapes, sizes, Si/Ge ratios and particle area densities and used as input for RUMP. The nanoparticle parameters obtained by fitting the experimental RBS spectra agree well with the findings by TEM. This demonstrates that RBS is a useful and fast technique to characterize this kind of structures

  11. Microstructures and thermoelectric properties of GeSbTe based layered compounds

    Energy Technology Data Exchange (ETDEWEB)

    Yan, F.; Zhu, T.J.; Zhao, X.B. [Zhejiang University, State Key Laboratory of Silicon Materials, Department of Materials Science and Engineering, Hangzhou (China); Dong, S.R. [Zhejiang University, Department of Information and Electronics Engineering, Hangzhou (China)

    2007-08-15

    Microstructures and thermoelectric properties of Ge{sub 1}Sb{sub 2}Te{sub 4} and Ge{sub 2}Sb{sub 2}Te{sub 5} chalcogenide semiconductors have been investigated to explore the possibility of their thermoelectric applications. The phase transformation from the face-centered cubic to hexagonal structure was observed in Ge{sub 2}Sb{sub 2}Te{sub 5} compounds prepared by the melt spinning technique. The Seebeck coefficient and electrical resistivity of the alloys were increased due to the enhanced scattering of charge carriers at grain boundaries. The maximum power factors of the rapidly solidified Ge{sub 1}Sb{sub 2}Te{sub 4} and Ge{sub 2}Sb{sub 2}Te{sub 5} attained 0.975 x 10{sup -3} Wm{sup -1}K{sup -2} at 750 K and 0.767 x 10{sup -3} Wm{sup -1}K{sup -2} at 643 K respectively, higher than those of water quenched counterparts, implying that thermoelectric properties of GeSbTe based layered compounds can be improved by grain refinement. The present results show this class of chalcogenide semiconductors is promising for thermoelectric applications. (orig.)

  12. Impact of growth and annealing conditions on the parameters of Ge/Si(001) relaxed layers grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Daniltsev, V. M.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [Lobachevsky State University of Nizhny Novgorod (Russian Federation); Skorokhodov, E. V.; Shaleev, M. V.; Yunin, P. A. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-11-15

    Influence of the Ge layer thickness and annealing conditions on the parameters of relaxed Ge/Si(001) layers grown by molecular beam epitaxy via two-stage growth is investigated. The dependences of the threading dislocation density and surface roughness on the Ge layer thickness, annealing temperature and time, and the presence of a hydrogen atmosphere are obtained. As a result of optimization of the growth and annealing conditions, relaxed Ge/Si(001) layers which are thinner than 1 μm with a low threading dislocation density on the order of 10{sup 7} cm{sup –2} and a root mean square roughness of less than 1 nm are obtained.

  13. Thermal stability study of the insulator layer in NiFe/CoFe/Al2O3/Co spin-dependent tunnel junction

    International Nuclear Information System (INIS)

    Liao, C.C.; Ho, C.H.; Huang, R.-T.; Chen, F.-R.; Kai, J.J.; Chen, L.-C.; Lin, M.-T.; Yao, Y.D.

    2002-01-01

    Spin-dependent tunnel junction, NiFe/CoFe/Al 2 O 3 /Co//Si, was fabricated to investigate the thermal stability induced diffusion behaviors. The interfacial diffusion causes the degradation of the ratio of the TMR, the enhancement of the switching field of the two magnetic electrodes, the thickness decrease of the insulator layer, and the increase of the interfacial roughness. The outward diffusion of oxygen from the insulator layer is faster than that of aluminum for samples annealed below 400 deg. C. The degradation of the ratio of TMR is attributed to the disturbance of the spin polarization in the magnetic layers, and the increase of the pinholes and spin-flip effect in the insulator layer. The relative roughness between the two interfaces of the insulator induces the surface magnetic dipoles, and hence, increases the switching field of the ferromagnetic electrodes

  14. Electrically detected magnetic resonance study of the Ge dangling bonds at the Ge(1 1 1)/GeO{sub 2} interface after capping with Al{sub 2}O{sub 3} layer

    Energy Technology Data Exchange (ETDEWEB)

    Paleari, S., E-mail: s.paleari6@campus.unimib.it [Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, via Cozzi 53, I-20125 Milan (Italy); Molle, A. [Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, I-20864 Agrate Brianza, MB (Italy); Accetta, F. [Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, via Cozzi 53, I-20125 Milan (Italy); Lamperti, A.; Cianci, E. [Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, I-20864 Agrate Brianza, MB (Italy); Fanciulli, M., E-mail: marco.fanciulli@unimib.it [Dipartimento di Scienza dei Materiali, Università degli Studi di Milano Bicocca, via Cozzi 53, I-20125 Milan (Italy); Laboratorio MDM, IMM-CNR, Via C. Olivetti 2, I-20864 Agrate Brianza, MB (Italy)

    2014-02-01

    The electrical activity of Ge dangling bonds is investigated at the interface between GeO{sub 2}-passivated Ge(1 1 1) substrate and Al{sub 2}O{sub 3} grown by atomic layer deposition, by means of electrically detected magnetic resonance spectroscopy (EDMR). The Al{sub 2}O{sub 3}/GeO{sub 2}/Ge stacked structure is promising as a mobility booster for the post-Si future electronic devices. EDMR proved to be useful in characterizing interface defects, even at the very low concentrations of state-of-the-art devices (<10{sup 10} cm{sup −2}). In particular, it is shown that capping the GeO{sub 2}-passivated Ge(1 1 1) with Al{sub 2}O{sub 3} has no impact on the microstructure of the Ge dangling bond.

  15. Ion-beam synthesis of Ge{sub x}Si{sub 1-x} strained layers for high speed electronic device applications

    Energy Technology Data Exchange (ETDEWEB)

    Elliman, R.G.; Jiang, H.; Wong, W.C.; Kringhoj, P. [Australian National Univ., Canberra, ACT (Australia)

    1996-12-31

    It is shown that Ge{sub x}S{sub 1-x} strained layers can be fabricated by Ge implantation and solid-phase epitaxy and that the use of these layers can improve the performance of electronic devices. Several materials science issues are addressed, including the effect of Ge on solid-phase-epitaxy, the effect of oxidation on the implanted Ge distribution, and the effect of Ge on the oxidation rate of Si. The process is demonstrated for metal-oxide-semiconductor field-effect-transistors (MOSFETs). 6 refs., 5 figs.

  16. Ion-beam synthesis of Ge{sub x}Si{sub 1-x} strained layers for high speed electronic device applications

    Energy Technology Data Exchange (ETDEWEB)

    Elliman, R G; Jiang, H; Wong, W C; Kringhoj, P [Australian National Univ., Canberra, ACT (Australia)

    1997-12-31

    It is shown that Ge{sub x}S{sub 1-x} strained layers can be fabricated by Ge implantation and solid-phase epitaxy and that the use of these layers can improve the performance of electronic devices. Several materials science issues are addressed, including the effect of Ge on solid-phase-epitaxy, the effect of oxidation on the implanted Ge distribution, and the effect of Ge on the oxidation rate of Si. The process is demonstrated for metal-oxide-semiconductor field-effect-transistors (MOSFETs). 6 refs., 5 figs.

  17. Giant magnetoimpedance in composite wires with insulator layer between non-magnetic core and soft magnetic shell

    International Nuclear Information System (INIS)

    Buznikov, N.A.; Antonov, A.S.; Granovsky, A.B.; Kim, C.G.; Kim, C.O.; Li, X.P.; Yoon, S.S.

    2006-01-01

    A method for calculation of the magnetoimpedance in composite wires having an insulator layer between non-magnetic core and soft magnetic shell is described. It is assumed that the magnetic shell has a helical anisotropy and the driving current flows through the core only. The distribution of eddy currents and expressions for the impedance are found by means of a solution of Maxwell equations taking into account the magnetization dynamics within the shell governed by the Landau-Lifshitz equation. The effect of the insulator layer on the magnetoimpedance is analyzed

  18. Giant magnetoimpedance in composite wires with insulator layer between non-magnetic core and soft magnetic shell

    Energy Technology Data Exchange (ETDEWEB)

    Buznikov, N.A. [Research Center for Advanced Magnetic Materials, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Antonov, A.S. [Institute for Theoretical and Applied Electrodynamics, Russian Academy of Sciences, Moscow 125412 (Russian Federation); Granovsky, A.B. [Faculty of Physics, M.V. Lomonosov Moscow State University, Moscow 119992 (Russian Federation); Kim, C.G. [Research Center for Advanced Magnetic Materials, Chungnam National University, Daejeon 305-764 (Korea, Republic of)]. E-mail: cgkim@cnu.ac.kr; Kim, C.O. [Research Center for Advanced Magnetic Materials, Chungnam National University, Daejeon 305-764 (Korea, Republic of); Li, X.P. [Department of Mechanical Engineering and Division of Bioengineering, National University of Singapore, Singapore 119260 (Singapore); Yoon, S.S. [Department of Physics, Andong National University, Andong 760-749 (Korea, Republic of)

    2006-05-15

    A method for calculation of the magnetoimpedance in composite wires having an insulator layer between non-magnetic core and soft magnetic shell is described. It is assumed that the magnetic shell has a helical anisotropy and the driving current flows through the core only. The distribution of eddy currents and expressions for the impedance are found by means of a solution of Maxwell equations taking into account the magnetization dynamics within the shell governed by the Landau-Lifshitz equation. The effect of the insulator layer on the magnetoimpedance is analyzed.

  19. Stability of low-carrier-density topological-insulator Bi2Se3 thin films and effect of capping layers

    International Nuclear Information System (INIS)

    Salehi, Maryam; Brahlek, Matthew; Koirala, Nikesh; Moon, Jisoo; Oh, Seongshik; Wu, Liang; Armitage, N. P.

    2015-01-01

    Although over the past number of years there have been many advances in the materials aspects of topological insulators (TIs), one of the ongoing challenges with these materials is the protection of them against aging. In particular, the recent development of low-carrier-density bulk-insulating Bi 2 Se 3 thin films and their sensitivity to air demands reliable capping layers to stabilize their electronic properties. Here, we study the stability of the low-carrier-density Bi 2 Se 3 thin films in air with and without various capping layers using DC and THz probes. Without any capping layers, the carrier density increases by ∼150% over a week and by ∼280% over 9 months. In situ-deposited Se and ex situ-deposited poly(methyl methacrylate) suppress the aging effect to ∼27% and ∼88%, respectively, over 9 months. The combination of effective capping layers and low-carrier-density TI films will open up new opportunities in topological insulators

  20. Mushroom-free selective epitaxial growth of Si, SiGe and SiGe:B raised sources and drains

    Science.gov (United States)

    Hartmann, J. M.; Benevent, V.; Barnes, J. P.; Veillerot, M.; Lafond, D.; Damlencourt, J. F.; Morvan, S.; Prévitali, B.; Andrieu, F.; Loubet, N.; Dutartre, D.

    2013-05-01

    We have evaluated various Cyclic Selective Epitaxial Growth/Etch (CSEGE) processes in order to grow "mushroom-free" Si and SiGe:B Raised Sources and Drains (RSDs) on each side of ultra-short gate length Extra-Thin Silicon-On-Insulator (ET-SOI) transistors. The 750 °C, 20 Torr Si CSEGE process we have developed (5 chlorinated growth steps with four HCl etch steps in-between) yielded excellent crystalline quality, typically 18 nm thick Si RSDs. Growth was conformal along the Si3N4 sidewall spacers, without any poly-Si mushrooms on top of unprotected gates. We have then evaluated on blanket 300 mm Si(001) wafers the feasibility of a 650 °C, 20 Torr SiGe:B CSEGE process (5 chlorinated growth steps with four HCl etch steps in-between, as for Si). As expected, the deposited thickness decreased as the total HCl etch time increased. This came hands in hands with unforeseen (i) decrease of the mean Ge concentration (from 30% down to 26%) and (ii) increase of the substitutional B concentration (from 2 × 1020 cm-3 up to 3 × 1020 cm-3). They were due to fluctuations of the Ge concentration and of the atomic B concentration [B] in such layers (drop of the Ge% and increase of [B] at etch step locations). Such blanket layers were a bit rougher than layers grown using a single epitaxy step, but nevertheless of excellent crystalline quality. Transposition of our CSEGE process on patterned ET-SOI wafers did not yield the expected results. HCl etch steps indeed helped in partly or totally removing the poly-SiGe:B mushrooms on top of the gates. This was however at the expense of the crystalline quality and 2D nature of the ˜45 nm thick Si0.7Ge0.3:B recessed sources and drains selectively grown on each side of the imperfectly protected poly-Si gates. The only solution we have so far identified that yields a lesser amount of mushrooms while preserving the quality of the S/D is to increase the HCl flow during growth steps.

  1. Low temperature formation of higher-k cubic phase HfO{sub 2} by atomic layer deposition on GeO{sub x}/Ge structures fabricated by in-situ thermal oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, R., E-mail: zhang@mosfet.t.u-tokyo.ac.jp [School of Engineering, The University of Tokyo, 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-8656 (Japan); Department of Information Science and Electronic Engineering, Zhejiang University, 38 Zheda Road, Hangzhou 310027 (China); Huang, P.-C.; Taoka, N.; Yokoyama, M.; Takenaka, M.; Takagi, S. [School of Engineering, The University of Tokyo, 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-8656 (Japan)

    2016-02-01

    We have demonstrated a low temperature formation (300 °C) of higher-k HfO{sub 2} using atomic layer deposition (ALD) on an in-situ thermal oxidation GeO{sub x} interfacial layer. It is found that the cubic phase is dominant in the HfO{sub 2} film with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfO{sub 2} film on a 1-nm-thick GeO{sub x} form by the in-situ thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO{sub 2} can be induced by the formation of six-fold crystalline GeO{sub x} structures in the underlying GeO{sub x} interfacial layer.

  2. Determination of hydrogen concentration in a-Si and a-Ge layers by elastic recoil detection analysis

    International Nuclear Information System (INIS)

    Khanh, N.Q.; Serenyi, M.

    2010-01-01

    Compete text of publication follows. Hydrogenated amorphous Si and Ge films are of current interest in academic and industrial research due to their unique physical properties and important applications. The incorporation of hydrogen in the amorphous network is an accepted means for reducing the density of defect states in the midgap. The passivation of dangling-bonds leads to a significant improvement in the electronic and optical properties of these layers. However, hydrogen is also suspected to degrade the performance of amorphous Si and Ge material and devices. Several studies related to hydrogen motion have been proposed to explain the light and thermal degradation effect in these layers. Thus to improve the performance and reliability of these devices, it is crucially important to understand the role of hydrogen in amorphous layers. In our previous works the structural changes of hydrogenated a-Si/Ge multilayers as a function of annealing condition was investigated. It was shown that during annealing the samples underwent significant structural changes. Due to the fast out-diffusion of hydrogen from the layers prepared with high (6 ml/min) H 2 flow rate, bubbles and craters were created on the surface. However, in the multilayer samples prepared with hydrogen flow rate lower than 6 ml/min the macroscopic degradation by formation bubbles and craters was more moderated. The diffusion measurement shows that in these samples the structural degradation and intermixing of layers was slower than in the non-hydrogenated samples. As it was suggested the hydrogen can inactivate the dangling bonds of amorphous layers and, as a result of this, the intermixing slows down. It was also predicted that the hydrogen first released from the Ge layers because of the lower binding energy. In this work, we have studied the individual a-Si and a-Ge hydrogenated layers prepared by RF sputtering on Si (100) substrates. The absolute value of atomic content of the H was determined by

  3. Effect of p-Layer and i-Layer Properties on the Electrical Behaviour of Advanced a-Si:H/a-SiGe:H Thin Film Solar Cell from Numerical Modeling Prospect

    Directory of Open Access Journals (Sweden)

    Peyman Jelodarian

    2012-01-01

    Full Text Available The effect of p-layer and i-layer characteristics such as thickness and doping concentration on the electrical behaviors of the a-Si:H/a-SiGe:H thin film heterostructure solar cells such as electric field, photogeneration rate, and recombination rate through the cell is investigated. Introducing Ge atoms to the Si lattice in Si-based solar cells is an effective approach in improving their characteristics. In particular, current density of the cell can be enhanced without deteriorating its open-circuit voltage. Optimization shows that for an appropriate Ge concentration, the efficiency of a-Si:H/a-SiGe solar cell is improved by about 6% compared with the traditional a-Si:H solar cell. This work presents a novel numerical evaluation and optimization of amorphous silicon double-junction (a-Si:H/a-SiGe:H thin film solar cells and focuses on optimization of a-SiGe:H midgap single-junction solar cell based on the optimization of the doping concentration of the p-layer, thicknesses of the p-layer and i-layer, and Ge content in the film. Maximum efficiency of 23.5%, with short-circuit current density of 267 A/m2 and open-circuit voltage of 1.13 V for double-junction solar cell has been achieved.

  4. High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers

    International Nuclear Information System (INIS)

    Radamson, H.H.; Kolahdouz, M.; Ghandi, R.; Ostling, M.

    2008-01-01

    This work presents the selective epitaxial growth (SEG) of Si 1-x Ge x (x = 0.15-0.315) layers with high amount of boron (1 x 10 20 -1 x 10 21 cm -3 ) in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. The influence of the growth rate and strain on boron incorporation has been studied. A focus has been made on the strain distribution and boron incorporation in SEG of SiGe layers

  5. The Analysis Of Structure For The Multi-Layered Of Ge/TiO2 Films Prepared By The Differential Prressure Co-Sputtering

    Directory of Open Access Journals (Sweden)

    Adachi Y.

    2015-06-01

    Full Text Available We tried to fabricate the Ge/TiO2 composite films with the differential pressure (pumping co-sputtering (DPCS apparatus in order to improve the optical properties. In the study, the micro structure of these thin films has been evaluated. TEM image revealed that the thin film was alternately layered with TiO2 and Ge, lattice fringes were observed both of Ge layer and TiO2 layer. There were portions that lattice fringe of Ge was disturbed near the interface of Ge and TiO2. X-ray photoelectron spectroscopy elucidated that there were few germanium oxides and a part with the thin film after annealed.

  6. An acoustic metamaterial composed of multi-layer membrane-coated perforated plates for low-frequency sound insulation

    Science.gov (United States)

    Fan, Li; Chen, Zhe; Zhang, Shu-yi; Ding, Jin; Li, Xiao-juan; Zhang, Hui

    2015-04-01

    Insulating against low-frequency sound (below 500 Hz ) remains challenging despite the progress that has been achieved in sound insulation and absorption. In this work, an acoustic metamaterial based on membrane-coated perforated plates is presented for achieving sound insulation in a low-frequency range, even covering the lower audio frequency limit, 20 Hz . Theoretical analysis and finite element simulations demonstrate that this metamaterial can effectively block acoustic waves over a wide low-frequency band regardless of incident angles. Two mechanisms, non-resonance and monopolar resonance, operate in the metamaterial, resulting in a more powerful sound insulation ability than that achieved using periodically arranged multi-layer solid plates.

  7. The numerical model of multi-layer insulation with a defined wrapping pattern immersed in superfluid helium

    Science.gov (United States)

    Malecha, Ziemowit; Lubryka, Eliza

    2017-11-01

    The numerical model of thin layers, characterized by a defined wrapping pattern can be a crucial element of many computational problems related to engineering and science. A motivating example is found in multilayer electrical insulation, which is an important component of superconducting magnets and other cryogenic installations. The wrapping pattern of the insulation can significantly affect heat transport and the performance of the considered instruments. The major objective of this study is to develop the numerical boundary conditions (BC) needed to model the wrapping pattern of thin insulation. An example of the practical application of the proposed BC includes the heat transfer of Rutherford NbTi cables immersed in super-fluid helium (He II) across thin layers of electrical insulation. The proposed BC and a mathematical model of heat transfer in He II are implemented in the open source CFD toolbox OpenFOAM. The implemented mathematical model and the BC are compared in the experiments. The study confirms that the thermal resistance of electrical insulation can be lowered by implementing the proper wrapping pattern. The proposed BC can be useful in the study of new patterns for wrapping schemes. The work has been supported by statutory funds from Polish Ministry for Science and Higher Education for the year of 2017.

  8. Design of electro-absorption modulator with tapered-mode coupler on the GeSi layer

    International Nuclear Information System (INIS)

    Li, Ym; Cheng, Bw

    2013-01-01

    A tapered-mode coupler integrated GeSi electro-absorption (EA) modulator is investigated theoretically. To improve the parameter insensitivity and modulation efficiency of the GeSi EA modulator based on evanescent coupling, a tapered coupler on the GeSi layer is introduced in our design. The two coupling mechanisms in our suggested structure are compared. Both the beam propagation method (BPM) calculation and coupling mode theory show almost 100% power transfer from the bottom rib waveguide to the GeSi layer. After a series of designs of the tapered coupler, we get a modulator with the advantages of both evanescent-coupling modulators (Feng et al 2011 Opt. Express 19 7062–7, Feng et al 2012 Opt. Express 20 22224–32, Liu et al 2008 Nature Photon. 2 433–7, Liu et al 2007 Opt. Express 15 623–8) and butt-coupling modulators (Lim et al 2011 Opt. Express 19 5040–6), that are ease of fabrication, low coupling loss, performance stability and high modulation efficiency. (paper)

  9. High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers

    Energy Technology Data Exchange (ETDEWEB)

    Radamson, H.H. [School of Information and Communication Technology, KTH (Royal Institute of Technology) Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)], E-mail: rad@kth.se; Kolahdouz, M.; Ghandi, R.; Ostling, M. [School of Information and Communication Technology, KTH (Royal Institute of Technology) Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)

    2008-12-05

    This work presents the selective epitaxial growth (SEG) of Si{sub 1-x}Ge{sub x} (x = 0.15-0.315) layers with high amount of boron (1 x 10{sup 20}-1 x 10{sup 21} cm{sup -3}) in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. The influence of the growth rate and strain on boron incorporation has been studied. A focus has been made on the strain distribution and boron incorporation in SEG of SiGe layers.

  10. Role of the SiO2 buffer layer thickness in the formation of Si/SiO2/nc-Ge/SiO2 structures by dry oxidation

    International Nuclear Information System (INIS)

    Kling, A.; Ortiz, M.I.; Prieto, A.C.; Rodriguez, A.; Rodriguez, T.; Jimenez, J.; Ballesteros, C.; Soares, J.C.

    2006-01-01

    Nanomemories, containing Ge-nanoparticles in a SiO 2 matrix, can be produced by dry thermal oxidation of a SiGe layer deposited onto a Si-wafer with a barrier SiO 2 layer on its top. Rutherford backscattering spectrometry has been used to characterize the kinetics of the oxidation process, the composition profile of the growing oxide, the Ge-segregation and its diffusion into the barrier oxide in samples with thin and thick barrier oxide layers. The Ge segregated during the oxidation of the SiGe layer diffuses into the barrier oxide. In the first case the diffusion through the thin oxide is enhanced by the proximity of the substrate that acts as a sink for the Ge, resulting in the formation of a low Ge concentration SiGe layer in the surface of the Si-wafer. In the second case, the Ge-diffusion progresses as slowly as in bulk SiO 2 . Since barrier oxide layers as thin as possible are favoured for device fabrication, the structures should be oxidized at lower temperatures and the initial SiGe layer thickness reduced to minimize the Ge-diffusion

  11. Evidence for Kinetic Limitations as a Controlling Factor of Ge Pyramid Formation: a Study of Structural Features of Ge/Si(001) Wetting Layer Formed by Ge Deposition at Room Temperature Followed by Annealing at 600 °C.

    Science.gov (United States)

    Storozhevykh, Mikhail S; Arapkina, Larisa V; Yuryev, Vladimir A

    2015-12-01

    The article presents an experimental study of an issue of whether the formation of arrays of Ge quantum dots on the Si(001) surface is an equilibrium process or it is kinetically controlled. We deposited Ge on Si(001) at the room temperature and explored crystallization of the disordered Ge film as a result of annealing at 600 °C. The experiment has demonstrated that the Ge/Si(001) film formed in the conditions of an isolated system consists of the standard patched wetting layer and large droplike clusters of Ge rather than of huts or domes which appear when a film is grown in a flux of Ge atoms arriving on its surface. We conclude that the growth of the pyramids appearing at temperatures greater than 600 °C is controlled by kinetics rather than thermodynamic equilibrium whereas the wetting layer is an equilibrium structure. Primary 68.37.Ef; 68.55.Ac; 68.65.Hb; 81.07.Ta; 81.16.Dn.

  12. Three-dimensional nanostructures on Ge/Si(100) wetting layers: Hillocks and pre-quantum dots

    International Nuclear Information System (INIS)

    Ramalingam, Gopalakrishnan; Floro, Jerrold A.; Reinke, Petra

    2016-01-01

    The annealing of sub-critical Ge wetting layers (WL < 3.5 ML) initiates the formation of 3D nanostructures, whose shape and orientation is determined by the WL thickness and thus directly related to the strain energy. The emergence of these nanostructures, hillocks and pre-quantum dots, is studied by scanning tunneling microscopy. A wetting layer deposited at 350 °C is initially rough on the nanometer length-scale and undergoes a progressive transformation and smoothening during annealing at T < 460 °C when vacancy lines and the 2xn reconstruction are observed. The metastable Ge WL then collapses to form 3D nanostructures whose morphology is controlled by the WL thickness: first, the hillocks, with a wedding cake-type structure where the step edges run parallel to the 〈110〉 direction, are formed from thin wetting layers, while {105}-faceted structures, called pre-quantum dots (p-QDs), are formed from thicker layers. The wetting layer thickness and thus the misfit strain energy controls the type of structure. The crossover thickness between the hillock and p-QDs regime is between 1.6 and 2.1 ML. The hillocks have larger lateral dimensions and volumes than p-QDs, and the p-QDs are exceptionally small quantum dots with a lower limit of 10 nm in width. Our work opens a new pathway to the control of nanostructure morphology and size in the elastically strained Ge/Si system.

  13. Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers

    International Nuclear Information System (INIS)

    Ghandi, R.; Kolahdouz, M.; Hallstedt, J.; Wise, R.; Wejtmans, Hans; Radamson, H.H.

    2008-01-01

    In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si 1-x Ge x (x = 0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers

  14. Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers

    Energy Technology Data Exchange (ETDEWEB)

    Ghandi, R. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)], E-mail: ghandi@kth.se; Kolahdouz, M.; Hallstedt, J. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden); Wise, R.; Wejtmans, Hans [Texas Instrument, 13121 TI Boulevard, Dallas, Tx 75243 (United States); Radamson, H.H. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)

    2008-11-03

    In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si{sub 1-x}Ge{sub x} (x = 0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers.

  15. Synthesis of ultrathin polymer insulating layers by initiated chemical vapour deposition for low-power soft electronics.

    Science.gov (United States)

    Moon, Hanul; Seong, Hyejeong; Shin, Woo Cheol; Park, Won-Tae; Kim, Mincheol; Lee, Seungwon; Bong, Jae Hoon; Noh, Yong-Young; Cho, Byung Jin; Yoo, Seunghyup; Im, Sung Gap

    2015-06-01

    Insulating layers based on oxides and nitrides provide high capacitance, low leakage, high breakdown field and resistance to electrical stresses when used in electronic devices based on rigid substrates. However, their typically high process temperatures and brittleness make it difficult to achieve similar performance in flexible or organic electronics. Here, we show that poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) prepared via a one-step, solvent-free technique called initiated chemical vapour deposition (iCVD) is a versatile polymeric insulating layer that meets a wide range of requirements for next-generation electronic devices. Highly uniform and pure ultrathin films of pV3D3 with excellent insulating properties, a large energy gap (>8 eV), tunnelling-limited leakage characteristics and resistance to a tensile strain of up to 4% are demonstrated. The low process temperature, surface-growth character, and solvent-free nature of the iCVD process enable pV3D3 to be grown conformally on plastic substrates to yield flexible field-effect transistors as well as on a variety of channel layers, including organics, oxides, and graphene.

  16. Identification of near surface events using athermal phonon signals in low temperature Ge bolometers for the EDELWEISS experiment

    International Nuclear Information System (INIS)

    Marnieros, S.; Juillard, A.; Berge, L.; Collin, S.; Dumoulin, L.

    2004-01-01

    We present a study of a 100 g low temperature Ge detector, allowing identification of surface events down to the energy threshold. The bolometer is fitted with segmented electrodes and two NbSi Anderson insulator thermometric layers. Analysis of the athermal signals amplitudes allows us to identify and reject all events occurring in the first millimeter under the electrodes

  17. Identification of near surface events using athermal phonon signals in low temperature Ge bolometers for the EDELWEISS experiment

    Energy Technology Data Exchange (ETDEWEB)

    Marnieros, S. E-mail: marniero@csnsm.in2p3.fr; Juillard, A.; Berge, L.; Collin, S.; Dumoulin, L

    2004-03-11

    We present a study of a 100 g low temperature Ge detector, allowing identification of surface events down to the energy threshold. The bolometer is fitted with segmented electrodes and two NbSi Anderson insulator thermometric layers. Analysis of the athermal signals amplitudes allows us to identify and reject all events occurring in the first millimeter under the electrodes.

  18. Self-Passivation by Fluorine Plasma Treatment and Low-Temperature Annealing in SiGe Nano wires for Biochemical Sensors

    International Nuclear Information System (INIS)

    Chang, K.; Chen, C.; Kuo, P.; Chen, Y.; Chang, T.; Lai, C.; Whang, A. J.; Lai, Y.; Chen, H.; Hsieh, I.

    2014-01-01

    Nano wires are widely used as highly sensitive sensors for electrical detection of biological and chemical species. Modifying the band structure of strained-Si metal-oxide-semiconductor field-effect transistors by applying the in-plane tensile strain reportedly improves electron and hole mobility. The oxidation-induced Ge condensation increases the Ge fraction in a SiGe-on-insulator (SGOI) and substantially increases hole mobility. However, oxidation increases the number of surface states, resulting in hole mobility degradation. In this work, 3-aminopropyltrimethoxysilane (APTMS) was used as a biochemical reagent. The hydroxyl molecule on the oxide surface was replaced by the methoxy groups of the APTMS molecule. We proposed a surface plasma treatment to improve the electrical properties of SiGe nano wires. Fluorine plasma treatment can result in enhanced rates of thermal oxidation and speed up the formation of a self-passivation oxide layer. Like a capping oxide layer, the self-passivation oxide layer reduces the rate of follow-up oxidation. Pre oxidation treatment also improved the sensitivity of SiGe nano wires because the Si-F binding was held at a more stable interface state compared to bare nano wire on the SiGe surface. Additionally, the sensitivity can be further improved by either the N 2 plasma posttreatment or the low-temperature post annealing due to the suppression of out diffusion of Ge and F atoms from the SiGe nano wire surface.

  19. Magnetic properties of ultrathin Co/Ge(111) and Co/Ge(100) films

    International Nuclear Information System (INIS)

    Cheng, W. C.; Tsay, J. S.; Yao, Y. D.; Lin, K. C.; Yang, C. S.; Lee, S. F.; Tseng, T. K.; Neih, H. Y.

    2001-01-01

    The orientation of the magnetization and the occurrence of interfacial ferromagnetic inactive layers for ultrathin Co films grown on Ge(111) and Ge(100) surfaces have been studied using the in situ surface magneto-optic Kerr effect. On a Ge(111) substrate, cobalt films (≤28 monolayers) with in-plane easy axis of magnetization have been observed; however, on a Ge(100) substrate, ultrathin Co films (14 - 16 monolayers) with canted out-of-plane easy axis of magnetization were measured. The ferromagnetic inactive layers were formed due to the intermixing of Co and Ge and lowering the Curie temperature by reducing Co film thickness. The Co - Ge compound inactive layers were 3.8 monolayers thick for Co films grown on Ge(111) and 6.2 monolayers thick for Co films deposited on Ge(100). This is attributed to the difference of the density of surface atoms on Ge(111) and Ge(100). [copyright] 2001 American Institute of Physics

  20. Defect Detection of Adhesive Layer of Thermal Insulation Materials Based on Improved Particle Swarm Optimization of ECT.

    Science.gov (United States)

    Wen, Yintang; Jia, Yao; Zhang, Yuyan; Luo, Xiaoyuan; Wang, Hongrui

    2017-10-25

    This paper studies the defect detection problem of adhesive layer of thermal insulation materials. A novel detection method based on an improved particle swarm optimization (PSO) algorithm of Electrical Capacitance Tomography (ECT) is presented. Firstly, a least squares support vector machine is applied for data processing of measured capacitance values. Then, the improved PSO algorithm is proposed and applied for image reconstruction. Finally, some experiments are provided to verify the effectiveness of the proposed method in defect detection for adhesive layer of thermal insulation materials. The performance comparisons demonstrate that the proposed method has higher precision by comparing with traditional ECT algorithms.

  1. Effect of Sn Composition in Ge1- x Sn x Layers Grown by Using Rapid Thermal Chemical Vapor Deposition

    Science.gov (United States)

    Kil, Yeon-Ho; Kang, Sukill; Jeong, Tae Soo; Shim, Kyu-Hwan; Kim, Dae-Jung; Choi, Yong-Dae; Kim, Mi Joung; Kim, Taek Sung

    2018-05-01

    The Ge1- x Sn x layers were grown by using rapid thermal chemical-vapor deposition (RTCVD) on boron-doped p-type Si (100) substrates with Sn compositions up to x = 0.83%. In order to obtain effect of the Sn composition on the structural and the optical characteristics, we utilized highresolution X-ray diffraction (HR-XRD), etch pit density (EPD), atomic force microscopy (AFM), Raman spectroscopy, and photocurrent (PC) spectra. The Sn compositions in the Ge1- x Sn x layers were found to be of x = 0.00%, 0.51%, 0.65%, and 0.83%. The root-mean-square (RMS) of the surface roughness of the Ge1- x Sn x layer increased from 2.02 nm to 3.40 nm as the Sn composition was increased from 0.51% to 0.83%, and EPD was on the order of 108 cm-2. The Raman spectra consist of only one strong peak near 300 cm-1, which is assigned to the Ge-Ge LO peaks and the Raman peaks shift to the wave number with increasing Sn composition. Photocurrent spectra show near energy band gap peaks and their peak energies decrease with increasing Sn composition due to band-gap bowing in the Ge1- x Sn x layer. An increase in the band gap bowing parameter was observed with increasing Sn composition.

  2. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  3. A Review on Disorder-Driven Metal–Insulator Transition in Crystalline Vacancy-Rich GeSbTe Phase-Change Materials

    Science.gov (United States)

    Wang, Jiang-Jing; Xu, Ya-Zhi; Mazzarello, Riccardo; Wuttig, Matthias; Zhang, Wei

    2017-01-01

    Metal–insulator transition (MIT) is one of the most essential topics in condensed matter physics and materials science. The accompanied drastic change in electrical resistance can be exploited in electronic devices, such as data storage and memory technology. It is generally accepted that the underlying mechanism of most MITs is an interplay of electron correlation effects (Mott type) and disorder effects (Anderson type), and to disentangle the two effects is difficult. Recent progress on the crystalline Ge1Sb2Te4 (GST) compound provides compelling evidence for a disorder-driven MIT. In this work, we discuss the presence of strong disorder in GST, and elucidate its effects on electron localization and transport properties. We also show how the degree of disorder in GST can be reduced via thermal annealing, triggering a disorder-driven metal–insulator transition. The resistance switching by disorder tuning in crystalline GST may enable novel multilevel data storage devices. PMID:28773222

  4. On the nano-hillock formation induced by slow highly charged ions on insulator surfaces

    Science.gov (United States)

    Lemell, C.; El-Said, A. S.; Meissl, W.; Gebeshuber, I. C.; Trautmann, C.; Toulemonde, M.; Burgdörfer, J.; Aumayr, F.

    2007-10-01

    We discuss the creation of nano-sized protrusions on insulating surfaces using slow highly charged ions. This method holds the promise of forming regular structures on surfaces without inducing defects in deeper lying crystal layers. We find that only projectiles with a potential energy above a critical value are able to create hillocks. Below this threshold no surface modification is observed. This is similar to the track and hillock formation induced by swift (˜GeV) heavy ions. We present a model for the conversion of potential energy stored in the projectiles into target-lattice excitations (heat) and discuss the possibility to create ordered structures using the guiding effect observed in insulating conical structures.

  5. Thermal insulation

    International Nuclear Information System (INIS)

    Durston, J.G.; Birch, W.; Facer, R.I.; Stuart, R.A.

    1977-01-01

    Reference is made to liquid metal cooled nuclear reactors. In the arrangement described the reactor vessel is clad with thermal insulation comprising a layer of insulating blocks spaced from the wall and from each other; each block is rigidly secured to the wall, and the interspaces are substantially closed against convectional flow of liquid by resilient closure members. A membrane covering is provided for the layer of blocks, with venting means to allow liquid from the reactor vessel to penetrate between the covering and the layer of blocks. The membrane covering may comprise a stainless steel sheet ribbed in orthogonal pattern to give flexibility for the accommodation of thermal strain. The insulating blocks may be comprised of stainless steel or cellular or porous material and may be hollow shells containing ceramic material or gas fillings. (U.K.)

  6. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  7. Oxygen transport and GeO2 stability during thermal oxidation of Ge

    Science.gov (United States)

    da Silva, S. R. M.; Rolim, G. K.; Soares, G. V.; Baumvol, I. J. R.; Krug, C.; Miotti, L.; Freire, F. L.; da Costa, M. E. H. M.; Radtke, C.

    2012-05-01

    Oxygen transport during thermal oxidation of Ge and desorption of the formed Ge oxide are investigated. Higher oxidation temperatures and lower oxygen pressures promote GeO desorption. An appreciable fraction of oxidized Ge desorbs during the growth of a GeO2 layer. The interplay between oxygen desorption and incorporation results in the exchange of O originally present in GeO2 by O from the gas phase throughout the oxide layer. This process is mediated by O vacancies generated at the GeO2/Ge interface. The formation of a substoichiometric oxide is shown to have direct relation with the GeO desorption.

  8. Enhanced direct-gap light emission from Si-capped n+-Ge epitaxial layers on Si after post-growth rapid cyclic annealing: impact of non-radiative interface recombination toward Ge/Si double heterostructure lasers.

    Science.gov (United States)

    Higashitarumizu, Naoki; Ishikawa, Yasuhiko

    2017-09-04

    Enhanced direct-gap light emission is reported for Si-capped n + -Ge layers on Si after post-growth rapid cyclic annealing (RCA), and impact of non-radiative recombination (NRR) at the Ge/Si interface is discussed toward Ge/Si double heterostructure (DH) lasers. P-doped n + -Ge layer (1 × 10 19 cm -3 , 400 nm) is grown on Si by ultra-high vacuum chemical vapor deposition, followed by a growth of Si capping layer (5 nm) to form a Si/Ge/Si DH structure. Post-growth RCA to eliminate defects in Ge is performed in N 2 at temperatures between 900°C and 780°C, where the annealing time is minimized to be 5 s in each RCA cycle to prevent an out-diffusion of P dopants from the Ge surface. Direct-gap photoluminescence (PL) intensity at 1.6 µm increases with the RCA cycles up to 40, although the threading dislocation density in Ge is not reduced after 3 cycles in the present condition. The PL enhancement is ascribed to the suppression of NRR at the Ge/Si interface, where an intermixed SiGe alloy is formed. For Ge/Si DH lasers, NRR at the Ge/Si interface is found to have a significant impact on the threshold current density Jth. In order to achieve Jth on the order of 1 kA/cm 2 , similar to III-V lasers, the interface recombination velocity S is required below 10 3 cm/s in spite of S as large as 10 5 cm/s at the ordinary defect-rich Ge/Si interface.

  9. Structural and electrical properties of Ge-on-Si(0 0 1) layers with ultra heavy n-type doping grown by MBE

    Science.gov (United States)

    Yurasov, D. V.; Antonov, A. V.; Drozdov, M. N.; Yunin, P. A.; Andreev, B. A.; Bushuykin, P. A.; Baydakova, N. A.; Novikov, A. V.

    2018-06-01

    In this paper we report about the formation of ultra heavy doped n-Ge layers on Si(0 0 1) substrates by molecular beam epitaxy and their characterization by different independent techniques. Combined study of structural and electrical properties of fabricated layers using secondary ion mass spectroscopy, X-ray diffraction, Hall effect and reflection measurements was carried out and it has revealed the achievable charge carrier densities exceeding 1020 cm-3 without deterioration of crystalline quality of such doped layers. It was also shown that X-ray analysis can be used as a fast, reliable and non-destructive method for evaluation of the electrically active Sb concentration in heavy doped Ge layers. The appropriate set of doping density allowed to adjust the plasmonic resonance position in Ge:Sb layers in a rather wide range reaching the wavelength of 3.6 μm for the highest doping concentration. Room temperature photoluminescence confirmed the high crystalline quality of such doped layers. Our results indicated the attainability of high electron concentration in Ge:Sb layers grown on Si substrates without crystalline quality deterioration which may find potential applications in the fields of Si-based photonics and mid-IR plasmonics.

  10. Development of advanced material composites for use as internal insulation for LH2 tanks (gas layer concept)

    Science.gov (United States)

    Gille, J. P.

    1972-01-01

    A program is described that was conducted to develop an internal insulation system for potential application to the liquid hydrogen tanks of a reusable booster, where the tanks would be subjected to repeated high temperatures. The design of the internal insulation is based on a unique gas layer concept, in which capillary or surface tension effects are used to maintain a stable gas layer, within a cellular core structure, between the tank wall and the contained liquid hydrogen. Specific objectives were to select materials for insulation systems that would be compatible with wall temperatures of 350 F and 650 F during reentry into the earth's atmosphere, and to fabricate and test insulation systems under conditions simulating the operating environment. A materials test program was conducted to evaluate the properties of candidate materials at elevated temperatures and at the temperature of liquid hydrogen, and to determine the compatibility of the materials with a hydrogen atmosphere at the appropriate elevated temperature. The materials that were finally selected included Kapton polyimide films, silicone adhesives, fiber glass batting, and in the case of the 350 F system, Teflon film.

  11. Structure and characteristics of EB-PVD thermal insulation layers; Struktur und Eigenschaften von EB-PVD-Waermedaemmschichten

    Energy Technology Data Exchange (ETDEWEB)

    Schulz, U. [Deutsche Forschungsanstalt fuer Luft- und Raumfahrt e.V. (DLR), Koeln (Germany). Inst. fuer Werkstoff-Forschung

    1996-12-31

    Thermal insulation layers for guide blades and rotor blades in the first two stages of a high-pressure turbines are produced by electron-beam physical vapour deposition for maximum quality. The layers have a lifetime that is longer by a factor of 2 to 10 than for plasma-sprayed layers. The following characteristics of the ceramic layer have a decisive influence on the life of the composite system: Phase composition, distribution and stability, microstructure, density, thickness, crack distribution and cohesive strength. Some selected aspects of the interdependence between production parameters, microstructure of the thermal insulation layer and service life are gone into. [Deutsch] Zur Herstellung von Waermedaemmschichten (WDS) fuer Turbinenleit- und Laufschaufeln der ersten beiden Stufen in der Hochdruckturbine wird fuer hoechste Ansprueche an die Schichtqualitaet das EB-PDV-Verfahren (electron-beam physical vapour deposition) eingesetzt. Die Lebensdauer dieser Schichten ist um den Faktor 2 bis 10 besser als beim Plasmaspritzen. Bei der keramischen Waermedaemmschicht selbst beeinflussen folgende Eigenschaften die Lebensdauer des Gesamtschichtsystems nachhaltig: Phasenzusammensetzung, -verteilung und -stabilitaet, Mikrogefuege, Dichte, Dicke, Rissverteilung und kohaesive Festigkeit. Auf einige ausgewaehlt Aspekte des Zusammenhangs zwischen Herstellungsparametern, Mikrostruktur der Waermedaemmschicht und Lebensdauer wird kurz eingegangen. (orig.)

  12. INSUL, Calculation of Thermal Insulation of Various Materials Immersed in He

    International Nuclear Information System (INIS)

    Kinkead, A.N.; Pitchford, B.E.

    1977-01-01

    1 - Nature of the physical problem solved: Performance of thermal insulation immersed in helium. 2 - Method of solution: Mineral fibre, metal fibre and metallic multi-layer foils are studied. An approximate analysis for performance evaluation of multi-layer insulation in vertical gas spaces including the regime between fully suppressed natural convection and that for which an accepted power relationship applies is included

  13. Effect of applied DC voltages and temperatures on space charge behaviour of multi-layer oil-paper insulation

    Energy Technology Data Exchange (ETDEWEB)

    Tang Chao; Liao Ruijin [The State Key Laboratory of Power Transmission Equipment and System Security and New Technology, Chongqing University (China); Chen, G [School of Electronics and Computer Science, University of Southampton (United Kingdom); Fu, M, E-mail: tangchao_1981@163.co [AVERA T and D Technology Centre, Stafford (United Kingdom)

    2009-08-01

    In this paper, space charge in a multi-layer oil-paper insulation system was investigated using the pulsed electroacoustic (PEA) technique. A series of measurements had been carried following subjection of the insulation system to different applied voltages and different temperatures. Charge behaviours in the insulation system were analyzed and the influence of temperature on charge dynamics was discussed. The test results shows that homocharge injection takes place under all the test conditions, the applied DC voltage mainly affects the amount of space charge, while the temperature has greater influence on the distribution and mobility of space charge inside oil-paper samples.

  14. Cellulose Insulation

    Science.gov (United States)

    1980-01-01

    Fire retardant cellulose insulation is produced by shredding old newspapers and treating them with a combination of chemicals. Insulating material is blown into walls and attics to form a fiber layer which blocks the flow of air. All-Weather Insulation's founders asked NASA/UK-TAP to help. They wanted to know what chemicals added to newspaper would produce an insulating material capable of meeting federal specifications. TAP researched the query and furnished extensive information. The information contributed to successful development of the product and helped launch a small business enterprise which is now growing rapidly.

  15. New mechanism of semiconductor polarization at the interface with an organic insulator

    International Nuclear Information System (INIS)

    Yafyasov, A. M.; Bogevolnov, V. B.; Ryumtsev, E. I.; Kovshik, A. P.; Mikhailovski, V. Yu.

    2017-01-01

    A semiconductor—organic-insulator system with spatially distributed charge is created with a uniquely low density of fast surface states (N_s_s) at the interface. A system with N_s_s ≈ 5 × 10"1"0 cm"–"2 is obtained for the example of n-Ge and the physical characteristics of the interface are measured for this system with liquid and metal field electrodes. For a system with an organic insulator, the range of variation of the surface potential from enrichment of the space-charge region of the semiconductor to the inversion state is first obtained without changing the mechanism of interaction between the adsorbed layer and the semiconductor surface. The effect of enhanced polarization of the space-charge region of the semiconductor occurs due to a change in the spatial structure of mobile charge in the organic dielectric layer. The system developed in the study opens up technological opportunities for the formation of a new generation of electronic devices based on organic film structures and for experimental modeling of the electronic properties of biological membranes.

  16. New mechanism of semiconductor polarization at the interface with an organic insulator

    Energy Technology Data Exchange (ETDEWEB)

    Yafyasov, A. M., E-mail: yafyasov@gmail.com; Bogevolnov, V. B.; Ryumtsev, E. I.; Kovshik, A. P. [St. Petersburg State University (Russian Federation); Mikhailovski, V. Yu. [Interdisciplinary Resource Center for Nanotechnology at St. Petersburg University (Russian Federation)

    2017-02-15

    A semiconductor—organic-insulator system with spatially distributed charge is created with a uniquely low density of fast surface states (N{sub ss}) at the interface. A system with N{sub ss} ≈ 5 × 10{sup 10} cm{sup –2} is obtained for the example of n-Ge and the physical characteristics of the interface are measured for this system with liquid and metal field electrodes. For a system with an organic insulator, the range of variation of the surface potential from enrichment of the space-charge region of the semiconductor to the inversion state is first obtained without changing the mechanism of interaction between the adsorbed layer and the semiconductor surface. The effect of enhanced polarization of the space-charge region of the semiconductor occurs due to a change in the spatial structure of mobile charge in the organic dielectric layer. The system developed in the study opens up technological opportunities for the formation of a new generation of electronic devices based on organic film structures and for experimental modeling of the electronic properties of biological membranes.

  17. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  18. Solid state synthesis of Mn{sub 5}Ge{sub 3} in Ge/Ag/Mn trilayers: Structural and magnetic studies

    Energy Technology Data Exchange (ETDEWEB)

    Myagkov, V.G.; Bykova, L.E.; Matsynin, A.A.; Volochaev, M.N.; Zhigalov, V.S.; Tambasov, I.A. [Kirensky Institute of Physics, SB RAS, Krasnoyarsk 660036 (Russian Federation); Mikhlin, Yu L. [Institute of Chemistry and Chemical Technology, SB RAS, Krasnoyarsk 660049 (Russian Federation); Velikanov, D.A. [Kirensky Institute of Physics, SB RAS, Krasnoyarsk 660036 (Russian Federation); Bondarenko, G.N. [Institute of Chemistry and Chemical Technology, SB RAS, Krasnoyarsk 660049 (Russian Federation)

    2017-02-15

    The thin-film solid-state reaction between elemental Ge and Mn across chemically inert Ag layers with thicknesses of (0, 0.3, 1 and 2.2 µm) in Ge/Ag/Mn trilayers was studied for the first time. The initial samples were annealed at temperatures between 50 and 500 °C at 50 °C intervals for 1 h. The initiation temperature of the reaction for Ge/Mn (without a Ag barrier layer) was ~ 120 °C and increased slightly up to ~ 250 °C when the Ag barrier layer thickness increased up to 2.2 µm. In spite of the Ag layer, only the ferromagnetic Mn{sub 5}Ge{sub 3} compound and the Nowotny phase were observed in the initial stage of the reaction after annealing at 500 °C. The cross-sectional studies show that during Mn{sub 5}Ge{sub 3} formation the Ge is the sole diffusing species. The magnetic and cross-sectional transmission electron microscopy (TEM) studies show an almost complete transfer of Ge atoms from the Ge film, via a 2.2 µm Ag barrier layer, into the Mn layer. We attribute the driving force of the long-range transfer to the long-range chemical interactions between reacting Mn and Ge atoms. - Graphical abstract: The direct visualization of the solid state reaction between Mn and Ge across a Ag buffer layer at 500 °C. - Highlights: • The migration of Ge, via an inert 2.2 µm Ag barrier, into a Mn layer. • The first Mn{sub 5}Ge{sub 3} phase was observed in reactions with different Ag layers. • The Ge is the sole diffusing species during Mn{sub 5}Ge{sub 3} formation • The long-range chemical interactions control the Ge atomic transfer.

  19. Electromodulation spectroscopy of direct optical transitions in Ge{sub 1−x}Sn{sub x} layers under hydrostatic pressure and built-in strain

    Energy Technology Data Exchange (ETDEWEB)

    Dybała, F.; Żelazna, K.; Maczko, H.; Gladysiewicz, M.; Misiewicz, J.; Kudrawiec, R., E-mail: robert.kudrawiec@pwr.wroc.pl [Faculty of Fundamental Problems of Technology, Wroclaw University of Technology, Wybrzeze Wyspianskiego 27, 50-370 Wrocław (Poland); Lin, H.; Chen, R.; Shang, C.; Huo, Y.; Kamins, T. I.; Harris, J. S. [Solid State and Photonics Laboratory, Stanford University, Stanford, California 94305-4075 (United States)

    2016-06-07

    Unstrained Ge{sub 1−x}Sn{sub x} layers of various Sn concentration (1.5%, 3%, 6% Sn) and Ge{sub 0.97}Sn{sub 0.03} layers with built-in compressive (ε = −0.5%) and tensile (ε = 0.3%) strain are grown by molecular beam epitaxy and studied by electromodulation spectroscopy (i.e., contactless electroreflectance and photoreflectance (PR)). In order to obtain unstrained GeSn layers and layers with different built-in in-plane strains, virtual InGaAs substrates of different compositions are grown prior to the deposition of GeSn layers. For unstrained Ge{sub 1−x}Sn{sub x} layers, the pressure coefficient for the direct band gap transition is determined from PR measurements at various hydrostatic pressures to be 12.2 ± 0.2 meV/kbar, which is very close to the pressure coefficient for the direct band gap transition in Ge (12.9 meV/kbar). This suggests that the hydrostatic deformation potentials typical of Ge can be applied to describe the pressure-induced changes in the electronic band structure of Ge{sub 1−x}Sn{sub x} alloys with low Sn concentrations. The same conclusion is derived for the uniaxial deformation potential, which describes the splitting between heavy-hole (HH) and light-hole (LH) bands as well as the strain-related shift of the spin-orbit (SO) split-off band. It is observed that the HH, LH, and SO related transitions shift due to compressive and tensile strain according to the Bir-Pikus theory. The dispersions of HH, LH, and SO bands are calculated for compressive and tensile strained Ge{sub 0.97}Sn{sub 0.03} with the 8-band kp Hamiltonian including strain effects, and the mixing of HH and LH bands is discussed. In addition, the dispersion of the electronic band structure is calculated for unstrained Ge{sub 1−x}Sn{sub x} layers (3% and 6% Sn) at high hydrostatic pressure with the 8-band kp Hamiltonian, and the pressure-induced changes in the electronic band structure are discussed.

  20. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  1. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  2. Super-insulation

    International Nuclear Information System (INIS)

    Gerold, J.

    1985-01-01

    The invention concerns super-insulation, which also acts as spacing between two pressurized surfaces, where the crossing bars in at least two layers are provided, with interposed foil. The super-insulation is designed so that it can take compression forces and limits thermal radiation and thermal conduction sufficiently, where the total density of heat flow is usually limited to a few watts per m 2 . The solution to the problem is characterized by the fact that the bars per layer are parallel and from layer to layer they are at an angle to each other and the crossover positions of the bars of different layers are at fixed places and so form contact columns. The basic idea is that bars crossing over each other to support compression forces are used so that contact columns are formed, which are compressed to a certain extent by the load. (orig./PW) [de

  3. Performance enhancement of Ge-on-Insulator tunneling FETs with source junctions formed by low-energy BF2 ion implantation

    Science.gov (United States)

    Katoh, Takumi; Matsumura, Ryo; Takaguchi, Ryotaro; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    To clarify the process of formation of source regions of high-performance Ge n-channel tunneling field-effect transistors (TFETs), p+-n junctions formed by low-energy ion implantation (I/I) of BF2 atoms are characterized. Here, the formation of p+-n junctions with steep B profiles and low junction leakage is a key issue. The steepness of 5.7 nm/dec in profiles of B implanted into Ge is obtained for BF2 I/I at 3 keV with a dose of 4 × 1014 cm-2. Ge-on-insulator (GOI) n-TFETs with the source tunnel junctions formed by low-energy B and BF2 I/I are fabricated on GOI substrates and the device operation is confirmed. Although the performance at room temperature is significantly degraded by the source junction leakage current, an I on/I off ratio of 105 and the minimum sub-threshold swing (S.S.) of 130 mV/dec are obtained at 10 K. It is found that GOI n-TFETs with steeper B profiles formed by BF2 I/I have led to higher on current and a lower sub-threshold slope, demonstrating the effectiveness of steep B profiles in enhancing the GOI TFET performance.

  4. 2D layered insulator hexagonal boron nitride enabled surface passivation in dye sensitized solar cells.

    Science.gov (United States)

    Shanmugam, Mariyappan; Jacobs-Gedrim, Robin; Durcan, Chris; Yu, Bin

    2013-11-21

    A two-dimensional layered insulator, hexagonal boron nitride (h-BN), is demonstrated as a new class of surface passivation materials in dye-sensitized solar cells (DSSCs) to reduce interfacial carrier recombination. We observe ~57% enhancement in the photo-conversion efficiency of the DSSC utilizing h-BN coated semiconductor TiO2 as compared with the device without surface passivation. The h-BN coated TiO2 is characterized by Raman spectroscopy to confirm the presence of highly crystalline, mixed monolayer/few-layer h-BN nanoflakes on the surface of TiO2. The passivation helps to minimize electron-hole recombination at the TiO2/dye/electrolyte interfaces. The DSSC with h-BN passivation exhibits significantly lower dark saturation current in the low forward bias region and higher saturation in the high forward bias region, respectively, suggesting that the interface quality is largely improved without impeding carrier transport at the material interface. The experimental results reveal that the emerging 2D layered insulator could be used for effective surface passivation in solar cell applications attributed to desirable material features such as high crystallinity and self-terminated/dangling-bond-free atomic planes as compared with high-k thin-film dielectrics.

  5. Integrated Multilayer Insulation

    Science.gov (United States)

    Dye, Scott

    2009-01-01

    Integrated multilayer insulation (IMLI) is being developed as an improved alternative to conventional multilayer insulation (MLI), which is more than 50 years old. A typical conventional MLI blanket comprises between 10 and 120 metallized polymer films separated by polyester nets. MLI is the best thermal- insulation material for use in a vacuum, and is the insulation material of choice for spacecraft and cryogenic systems. However, conventional MLI has several disadvantages: It is difficult or impossible to maintain the desired value of gap distance between the film layers (and consequently, it is difficult or impossible to ensure consistent performance), and fabrication and installation are labor-intensive and difficult. The development of IMLI is intended to overcome these disadvantages to some extent and to offer some additional advantages over conventional MLI. The main difference between IMLI and conventional MLI lies in the method of maintaining the gaps between the film layers. In IMLI, the film layers are separated by what its developers call a micro-molded discrete matrix, which can be loosely characterized as consisting of arrays of highly engineered, small, lightweight, polymer (typically, thermoplastic) frames attached to, and placed between, the film layers. The term "micro-molded" refers to both the smallness of the frames and the fact that they are fabricated in a process that forms precise small features, described below, that are essential to attainment of the desired properties. The term "discrete" refers to the nature of the matrix as consisting of separate frames, in contradistinction to a unitary frame spanning entire volume of an insulation blanket.

  6. Raman and photoluminescence spectroscopy of SiGe layer evolution on Si(100) induced by dewetting

    Science.gov (United States)

    Shklyaev, A. A.; Volodin, V. A.; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2018-01-01

    High temperature annealing of thick (40-100 nm) Ge layers deposited on Si(100) at ˜400 °C leads to the formation of continuous films prior to their transformation into porous-like films due to dewetting. The evolution of Si-Ge composition, lattice strain, and surface morphology caused by dewetting is analyzed using scanning electron microscopy, Raman, and photoluminescence (PL) spectroscopies. The Raman data reveal that the transformation from the continuous to porous film proceeds through strong Si-Ge interdiffusion, reducing the Ge content from 60% to about 20%, and changing the stress from compressive to tensile. We expect that Ge atoms migrate into the Si substrate occupying interstitial sites and providing thereby the compensation of the lattice mismatch. Annealing generates only one type of radiative recombination centers in SiGe resulting in a PL peak located at about 0.7 and 0.8 eV for continuous and porous film areas, respectively. Since annealing leads to the propagation of threading dislocations through the SiGe/Si interface, we can tentatively associate the observed PL peak to the well-known dislocation-related D1 band.

  7. Dry sand as a specialized layer to improve the acoustic insulation between rooms one above another

    Directory of Open Access Journals (Sweden)

    Díaz, C.

    2013-09-01

    Full Text Available This work presents and analyses the experimental field results of the sound insulation from airborne and impact noise of the horizontal separating elements commonly used in the past, in which a uniform layer of sand was placed on top of the floor construction to serve as a base for the ceramic tiling. The results of the acoustic measurements show that when there is an intermediate layer of sand in the horizontal separating element between rooms, the sound insulation is greater than would be obtained with other construction systems with equal mass per unit area, where the floor is joined rigidly to the floor construction. The effect on the sound insulation between the rooms produced by this layer of sand placed between the floor construction and the tiling is that of a cushioning layer, and demonstrates that this type of structure acts as a floating floor.En este trabajo se exponen y se analizan los resultados experimentales in situ del aislamiento acústico a ruido aéreo y a ruido de impactos de elementos de separación horizontales, habituales hace años, en los que sobre el forjado se coloca una capa uniforme de arena que sirve de asiento al suelo cerámico. Los resultados de las mediciones acústicas muestran que, cuando en el elemento de separación horizontal entre los recintos hay una capa intermedia de arena, el aislamiento acústico es mejor que el que se obtendría con otros sistemas constructivos de igual masa por unidad de superficie, con el suelo unido rígidamente al forjado. El efecto de la capa de arena colocada entre el forjado y el suelo, en el aislamiento acústico entre los recintos, es el de una capa amortiguadora, que hace que este tipo de suelo pueda considerarse como flotante.

  8. Ultrathin highly uniform Ni(Al) germanosilicide layer with modulated B8 type Ni5(SiGe)3 phase formed on strained Si1−xGex layers

    International Nuclear Information System (INIS)

    Liu, Linjie; Xu, Dawei; Jin, Lei; Knoll, Lars; Wirths, Stephan; Nichau, Alexander; Buca, Dan; Mussler, Gregor; Holländer, Bernhard; Zhao, Qing-Tai; Mantl, Siegfried; Feng Di, Zeng; Zhang, Miao

    2013-01-01

    We present a method to form ultrathin highly uniform Ni(Al) germanosilicide layers on compressively strained Si 1−x Ge x substrates and their structural characteristics. The uniform Ni(Al) germanosilicide film is formed with Ni/Al alloy at an optimized temperature of 400 °C with an optimized Al atomic content of 20 at. %. We find only two kinds of grains in the layer. Both grains show orthogonal relationship with modified B8 type phase. The growth plane is identified to be (10-10)-type plane. After germanosilicidation the strain in the rest Si 1−x Ge x layer is conserved, which provides a great advantage for device application

  9. Comparative study of the luminescence of structures with Ge nanocrystals formed by dry and wet oxidation of SiGe films

    International Nuclear Information System (INIS)

    RodrIguez, A; Ortiz, M I; Sangrador, J; RodrIguez, T; Avella, M; Prieto, A C; Torres, A; Jimenez, J; Kling, A; Ballesteros, C

    2007-01-01

    The luminescence emission of structures containing Ge nanocrystals embedded in a dielectric matrix obtained by dry and wet oxidation of polycrystalline SiGe layers has been studied as a function of the oxidation time and initial SiGe layer thickness. A clear relationship between the intensity of the luminescence, the structure of the sample, the formation of Ge nanocrystals and the oxidation process parameters that allows us to select the appropriate process conditions to get the most efficient emission has been established. The evolution of the composition and thickness of the growing oxides and the remaining SiGe layer during the oxidation processes has been characterized using Raman spectroscopy, x-ray diffraction, Fourier-transform infrared spectroscopy, Rutherford backscattering spectrometry and transmission electron microscopy. For dry oxidation, the luminescence appears suddenly, regardless of the initial SiGe layer thickness, when all the Si of the SiGe has been oxidized and the remaining layer of the segregated Ge starts to be oxidized forming Ge nanocrystals. Luminescence is observed as long as Ge nanocrystals are present. For wet oxidation, the luminescence appears from the first stages of the oxidation, and is related to the formation of Ge-rich nanoclusters trapped in the mixed (Si and Ge) growing oxide. A sharp increase of the luminescence intensity for long oxidation times is also observed, due to the formation of Ge nanocrystals by the oxidation of the layer of segregated Ge. For both processes the luminescence is quenched when the oxidation time is long enough to cause the full oxidation of the Ge nanocrystals. The intensity of the luminescence in the dry oxidized samples is about ten times higher than in the wet oxidized ones for equal initial thickness of the SiGe layer

  10. Optimization of intrinsic layer thickness, dopant layer thickness and concentration for a-SiC/a-SiGe multilayer solar cell efficiency performance using Silvaco software

    Directory of Open Access Journals (Sweden)

    Wei Yuan Wong

    2017-01-01

    Full Text Available Solar cell is expanding as green renewable alternative to conventional fossil fuel electricity generation, but compared to other land-used electrical generators, it is a comparative beginner. Many applications covered by solar cells starting from low power mobile devices, terrestrial, satellites and many more. To date, the highest efficiency solar cell is given by GaAs based multilayer solar cell. However, this material is very expensive in fabrication and material costs compared to silicon which is cheaper due to the abundance of supply. Thus, this research is devoted to develop multilayer solar cell by combining two different layers of P-I-N structures with silicon carbide and silicon germanium. This research focused on optimising the intrinsic layer thickness, p-doped layer thickness and concentration, n-doped layer thickness and concentration in achieving the highest efficiency. As a result, both single layer a-SiC and a-SiGe showed positive efficiency improvement with the record of 27.19% and 9.07% respectively via parametric optimization. The optimized parameters is then applied on both SiC and SiGe P-I-N layers and resulted the convincing efficiency of 33.80%.

  11. Optimization of intrinsic layer thickness, dopant layer thickness and concentration for a-SiC/a-SiGe multilayer solar cell efficiency performance using Silvaco software

    Science.gov (United States)

    Yuan, Wong Wei; Natashah Norizan, Mohd; Salwani Mohamad, Ili; Jamalullail, Nurnaeimah; Hidayah Saad, Nor

    2017-11-01

    Solar cell is expanding as green renewable alternative to conventional fossil fuel electricity generation, but compared to other land-used electrical generators, it is a comparative beginner. Many applications covered by solar cells starting from low power mobile devices, terrestrial, satellites and many more. To date, the highest efficiency solar cell is given by GaAs based multilayer solar cell. However, this material is very expensive in fabrication and material costs compared to silicon which is cheaper due to the abundance of supply. Thus, this research is devoted to develop multilayer solar cell by combining two different layers of P-I-N structures with silicon carbide and silicon germanium. This research focused on optimising the intrinsic layer thickness, p-doped layer thickness and concentration, n-doped layer thickness and concentration in achieving the highest efficiency. As a result, both single layer a-SiC and a-SiGe showed positive efficiency improvement with the record of 27.19% and 9.07% respectively via parametric optimization. The optimized parameters is then applied on both SiC and SiGe P-I-N layers and resulted the convincing efficiency of 33.80%.

  12. Tin surface segregation, desorption, and island formation during post-growth annealing of strained epitaxial Ge{sub 1−x}Sn{sub x} layer on Ge(0 0 1) substrate

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Wei; Li, Lingzi; Zhou, Qian [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Pan, Jisheng; Zhang, Zheng [Institute of Materials Research and Engineering, A*STAR (Agency for Science, Technology and Research), 3 Research Link, Singapore 117602 (Singapore); Tok, Eng Soon [Department of Physics, National University of Singapore, Singapore 117551 (Singapore); Yeo, Yee-Chia, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore)

    2014-12-01

    Highlights: • Ge{sub 0.915}Sn{sub 0.085} was grown on Ge (0 0 1) by molecular beam epitaxy (MBE). • The impact of annealing on surface morphology and Sn composition was studied. • Sn is found to preferentially segregate towards the surface at 200 °C. • A Sn-rich layer would form on the Ge{sub 1−x}Sn{sub x} surface after annealing at 300 °C. • Sn desorption and formation of Sn-rich islands were found when T > 300 °C. - Abstract: Annealing of strained Ge{sub 1−x}Sn{sub x} epitaxial layers grown on Ge(0 0 1) substrate results in two distinctive regimes marked by changes in composition and morphology. Annealing at low temperatures (200–300 °C or Regime-I) leads to surface enrichment of Sn due to Sn segregation, as indicated by X-ray photoelectron spectroscopy (XPS) results, while the bulk Sn composition (from X-ray diffraction (XRD)) and the surface morphology (from atomic force microscopy (AFM)) do not show discernible changes as compared to the as-grown sample. Annealing at temperatures ranging from 300 °C to 500 °C (Regime-II) leads to a decrease in the surface Sn composition. While the Ge{sub 1−x}Sn{sub x} layer remains fully strained, a reduction in the bulk Sn composition is observed when the annealing temperature reaches 500 °C. At this stage, surface roughening also occurs with formation of 3D islands. The island size increases as the annealing temperature is raised to 600 °C. The decrease in the Sn composition at the surface and in the bulk in Regime-II is attributed to additional thermally activated kinetic processes associated with Sn desorption and formation of Sn-rich 3D islands on the surface.

  13. UV light induced insulator-metal transition in ultra-thin ZnO/TiO{sub x} stacked layer grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2016-08-28

    In the present study, atomic layer deposition has been used to grow a series of Ti incorporated ZnO thin films by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers on (0001) sapphire substrates. The effects of defect states mediated chemisorption of O{sub 2} and/OH groups on the electrical properties of these films have been investigated by illuminating the samples under UV light inside a high vacuum optical cryostat. The ultra-thin film having one stacked layer (n = 1) did not show any change in its electrical resistance upon UV light exposure. On the contrary, marginal drop in the electrical resistivity was measured for the samples with n ≥ 3. Most surprisingly, the sample with n = 2 (thickness ∼ 12 nm) showed an insulator to metal transition upon UV light exposure. The temperature dependent electrical resistivity measurement on the as grown film (n = 2) showed insulating behaviour, i.e., diverging resistivity on extrapolation to T→ 0 K. However, upon UV light exposure, it transformed to a metallic state, i.e., finite resistivity at T → 0 K. Such an insulator-metal transition plausibly arises due to the de-trapping of conduction electrons from the surface defect sites which resulted in an upward shift of the Fermi level above the mobility edge. The low-temperature electron transport properties on the insulating film (n = 2) were investigated by a combined study of zero field electrical resistivity ρ(T) and magnetoresistance (MR) measurements. The observed negative MR was found to be in good agreement with the magnetic field induced suppression of quantum interference between forward-going paths of tunnelling electrons. Both ρ(T) and MR measurements provided strong evidence for the Efros-Shklovskii type variable range hopping conduction in the low-temperature (≤40 K) regime. Such studies on electron transport in ultra-thin n-type doped ZnO films are crucial to achieve optimum functionality

  14. Thermal Insulation System for Non-Vacuum Applications Including a Multilayer Composite

    Science.gov (United States)

    Fesmire, James E. (Inventor)

    2017-01-01

    The thermal insulation system of the present invention is for non-vacuum applications and is specifically tailored to the ambient pressure environment with any level of humidity or moisture. The thermal insulation system includes a multilayered composite including i) at least one thermal insulation layer and at least one compressible barrier layer provided as alternating, successive layers, and ii) at least one reflective film provided on at least one surface of the thermal insulation layer and/or said compressible barrier layer. The different layers and materials and their combinations are designed to provide low effective thermal conductivity for the system by managing all modes of heat transfer. The thermal insulation system includes an optional outer casing surrounding the multilayered composite. The thermal insulation system is particularly suited for use in any sub-ambient temperature environment where moisture or its adverse effects are a concern. The thermal insulation system provides physical resilience against damaging mechanical effects including compression, flexure, impact, vibration, and thermal expansion/contraction.

  15. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    Science.gov (United States)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  16. Role of boundary layer diffusion in vapor deposition growth of chalcogenide nanosheets: the case of GeS.

    Science.gov (United States)

    Li, Chun; Huang, Liang; Snigdha, Gayatri Pongur; Yu, Yifei; Cao, Linyou

    2012-10-23

    We report a synthesis of single-crystalline two-dimensional GeS nanosheets using vapor deposition processes and show that the growth behavior of the nanosheet is substantially different from those of other nanomaterials and thin films grown by vapor depositions. The nanosheet growth is subject to strong influences of the diffusion of source materials through the boundary layer of gas flows. This boundary layer diffusion is found to be the rate-determining step of the growth under typical experimental conditions, evidenced by a substantial dependence of the nanosheet's size on diffusion fluxes. We also find that high-quality GeS nanosheets can grow only in the diffusion-limited regime, as the crystalline quality substantially deteriorates when the rate-determining step is changed away from the boundary layer diffusion. We establish a simple model to analyze the diffusion dynamics in experiments. Our analysis uncovers an intuitive correlation of diffusion flux with the partial pressure of source materials, the flow rate of carrier gas, and the total pressure in the synthetic setup. The observed significant role of boundary layer diffusions in the growth is unique for nanosheets. It may be correlated with the high growth rate of GeS nanosheets, ~3-5 μm/min, which is 1 order of magnitude higher than other nanomaterials (such as nanowires) and thin films. This fundamental understanding of the effect of boundary layer diffusions may generally apply to other chalcogenide nanosheets that can grow rapidly. It can provide useful guidance for the development of general paradigms to control the synthesis of nanosheets.

  17. Admittance of MIS-Structures Based on HgCdTe with a Double-Layer CdTe/Al2O3 Insulator

    Science.gov (United States)

    Dzyadukh, S. M.; Voitsekhovskii, A. V.; Nesmelov, S. N.; Sidorov, G. Yu.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.

    2018-03-01

    Admittance of MIS structures based on n( p)- Hg1-xCdxTe (at x from 0.22 to 0.40) with SiO2/Si3N4, Al2O3, and CdTe/Al2O3 insulators is studied experimentally at 77 K. Growth of an intermediate CdTe layer during epitaxy results in the almost complete disappearance of the hysteresis of electrophysical characteristics of MIS structures based on graded-gap n-HgCdTe for a small range of the voltage variation. For a wide range of the voltage variation, the hysteresis of the capacitance-voltage characteristics appears for MIS structures based on n-HgCdTe with the CdTe/Al2O3 insulator. However, the hysteresis mechanism differs from that in case of a single-layer Al2O3 insulator. For MIS structures based on p-HgCdTe, introduction of an additional CdTe layer does not lead to a significant decrease of the hysteresis phenomena, which may be due to the degradation of the interface properties when mercury leaves the film as a result of low-temperature annealing changing the conductivity type of the semiconductor.

  18. The influence of a Si cap on self-organized SiGe islands and the underlying wetting layer

    International Nuclear Information System (INIS)

    Brehm, M.; Grydlik, M.; Groiss, H.; Hackl, F.; Schaeffler, F.; Fromherz, T.; Bauer, G.

    2011-01-01

    For the prototypical SiGe/Si(001) Stranski-Krastanow (SK) growth system, the influence of intermixing caused by the deposition of a Si cap layer at temperatures T cap between 300 deg. C and 700 deg. C is studied both for the SiGe wetting layer (WL) and the SiGe islands. Systematic growth experiments were carried out with an ultrahigh resolution of down to 0.005 monolayers (ML) of deposited Ge. The properties of the samples were investigated via photoluminescence (PL) spectroscopy, atomic force microscopy (AFM), and transmission electron microscopy. We studied in detail the influence of T cap in the three main coverage regions of SiGe SK growth, which are (i) the WL build-up regime, (ii) the island nucleation regime, where most of the Ge is supplied via material transfer from the WL, and (iii) the saturation regime, where the WL thickness remains initially stable. At T cap = 300 deg. C, we found that both the WL and the island are essentially preserved in composition and shape, whereas at 500 deg. C the WL becomes heavily alloyed during capping, and at 700 deg. C the islands also become alloyed. At T cap = 500 deg. C we found enhanced WL intermixing in the presence of dome-shaped islands, whereas at T cap 700 deg. C the WL properties become dominated by the dissolution of pyramid-shaped islands upon capping. At Ge coverages above ≅6 ML, we found an unexpected thickening of the WL, almost independently of T cap . This finding suggests that the density and the volume of the dome-shaped islands have an upper limit, beyond which excess Ge from the external source again becomes incorporated into the WL. Finally, we compared PL spectra with AFM-based evaluations of the integral island volumes in order to determine in a straightforward manner the average composition of the SiGe islands.

  19. Fabrication of core-shell nanostructures via silicon on insulator dewetting and germanium condensation: towards a strain tuning method for SiGe-based heterostructures in a three-dimensional geometry.

    Science.gov (United States)

    Naffouti, Meher; David, Thomas; Benkouider, Abdelmalek; Favre, Luc; Cabie, Martiane; Ronda, Antoine; Berbezier, Isabelle; Abbarchi, Marco

    2016-07-29

    We report on a novel method for the implementation of core-shell SiGe-based nanocrystals combining silicon on insulator dewetting in a molecular beam epitaxy reactor with an ex situ Ge condensation process. With an in situ two-step process (annealing and Ge deposition) we produce two families of islands on the same sample: Si-rich, formed during the first step and, all around them, Ge-rich formed after Ge deposition. By increasing the amount of Ge deposited on the annealed samples from 0 to 18 monolayers, the islands' shape in the Si-rich zones can be tuned from elongated and flat to more symmetric and with a larger vertical aspect ratio. At the same time, the spatial extension of the Ge-rich zones is progressively increased as well as the Ge content in the islands. Further processing by ex situ rapid thermal oxidation results in the formation of a core-shell composition profile in both Si and Ge-rich zones with atomically sharp heterointerfaces. The Ge condensation induces a Ge enrichment of the islands' shell of up to 50% while keeping a pure Si core in the Si-rich zones and a ∼25% SiGe alloy in the Ge-rich ones. The large lattice mismatch between core and shell, the absence of dislocations and the islands' monocrystalline nature render this novel class of nanostructures a promising device platform for strain-based band-gap engineering. Finally, this method can be used for the implementation of ultralarge scale meta-surfaces with dielectric Mie resonators for light manipulation at the nanoscale.

  20. Electrical insulator assembly with oxygen permeation barrier

    Science.gov (United States)

    Van Der Beck, Roland R.; Bond, James A.

    1994-01-01

    A high-voltage electrical insulator (21) for electrically insulating a thermoelectric module (17) in a spacecraft from a niobium-1% zirconium alloy wall (11) of a heat exchanger (13) filled with liquid lithium (16) while providing good thermal conductivity between the heat exchanger and the thermoelectric module. The insulator (21) has a single crystal alumina layer (SxAl.sub.2 O.sub.3, sapphire) with a niobium foil layer (32) bonded thereto on the surface of the alumina crystal (26) facing the heat exchanger wall (11), and a molybdenum layer (31) bonded to the niobium layer (32) to act as an oxygen permeation barrier to preclude the oxygen depleting effects of the lithium from causing undesirable niobium-aluminum intermetallic layers near the alumina-niobium interface.

  1. Periodic thermal response of multi-layer walls in a building. Materials of different types used for insulation, both internal and external

    Energy Technology Data Exchange (ETDEWEB)

    Elchinger, M F; Martin, C; Fauchais, P [UER des Sciences, Limoges (France)

    1982-05-01

    The authors analyze the temperature distribution in a wall built of several layers, heated on the inside, and whose outside wall temperatures exhibit a sine distribution: development of a simulation program and validation by comparison with experimental results. They determine the influence of the positioning and thickness of the insulation, the heat flux required to keep the inside surface of a 3, 4 or 5-layer wall at a fixed temperature, and make a comparison between heavy walls and light-weight structure walls. Finally, the study concludes with the determination of the most interesting insulation (external) for slack periods, night and weekend.

  2. Bi surfactant mediated growth for fabrication of Si/Ge nanostructures and investigation of Si/Ge intermixing by STM

    Energy Technology Data Exchange (ETDEWEB)

    Paul, N.

    2007-10-26

    In the thesis work presented here, we show that Bi is more promising surfactant material than Sb. We demonstrate that by using Bi as a terminating layer on Ge/Si surface, it is possible to distinguish between Si and Ge in Scanning tunnelling microscope (STM). Any attempt to utilize surfactant mediated growth must be preceded by a thorough study of its effect on the the system being investigated. Thus, the third chapter of this thesis deals with an extensive study of the Bi surfactant mediated growth of Ge on Si(111) surface as a function of Ge coverage. The growth is investigated from the single bilayer Ge coverage till the Ge coverage of about 15 BL when the further Ge deposition leads to two-dimensional growth. In the fourth chapter, the unique property of Bi terminating layer on Ge/Si surface to result in an STM height contrast between Si and Ge is explained with possible explanations given for the reason of this apparent height contrast. The controlled fabrication of Ge/Si nanostructures such as nanowires and nanorings is demonstrated. A study on Ge-Si diffusion in the surface layers by a direct method such as STM was impossible previously because of the similar electronic structure of Ge and Si. Since with the Bi terminating surface layer, one is able to distinguish between Ge and Si, the study of intermixing between them is also possible using STM. This method to distinguish between Si and Ge allows one to study intermixing on the nanoscale and to identify the fundamental diffusion processes giving rise to the intermixing. In Chapter 5 we discuss how this could prove useful especially as one could get a local probe over a very narrow Ge-Si interface. A new model is proposed to estimate change in the Ge concentration in the surface layer with time. The values of the activation energies of Ge/Si exchange and Si/Ge exchange are estimated by fitting the experimental data with the model. The Ge/Si intermixing has been studied on a surface having 1 ML Bi ({radical

  3. Natural convection of high-temperature, high-pressure gas in a horizontal annular layer of thermal insulator, (1)

    International Nuclear Information System (INIS)

    Ogawa, Masuro; Takizuka, Takakazu; Sanokawa, Konomo

    1979-02-01

    Numerical calculations are described of the natural convection in a horizontal annular layer of thermal insulator. The purpose is to compare the numerical results for variable physical properties with those for constant properties. The numerical procedure and typical results are presented. (author)

  4. Atomic layer deposition of crystalline SrHfO3 directly on Ge (001) for high-k dielectric applications

    International Nuclear Information System (INIS)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G.; Hu, Chengqing; Jiang, Aiting; Yu, Edward T.; Lu, Sirong; Smith, David J.; Posadas, Agham; Demkov, Alexander A.

    2015-01-01

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO 3 (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10 −5 A/cm 2 at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D it ) is estimated to be as low as ∼2 × 10 12  cm −2  eV −1 under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D it value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications

  5. High-Performance Slab-on-Grade Foundation Insulation Retrofits

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Louise F. [NorthernSTAR, St. Paul, MN (United States); Mosiman, Garrett E. [NorthernSTAR, St. Paul, MN (United States)

    2015-09-01

    A more accurate assessment of slab-on-grade foundation insulation energy savings than traditionally possible is now feasible. This has been enabled by advances in whole building energy simulation with 3-dimensional foundation modelling integration at each time step together with an experimental measurement of the site energy savings of SOG foundation insulation. Ten SOG insulation strategies were evaluated on a test building to identify an optimum retrofit insulation strategy in a zone 6 climate (Minneapolis, MN). The optimum insulation strategy in terms of energy savings and cost effectiveness consisted of two components: (a) R-20 XPS insulation above grade, and, (b) R-20 insulation at grade (comprising an outer layer of R-10 insulation and an interior layer of R-12 poured polyurethane insulation) tapering to R-10 XPS insulation at half the below-grade wall height (the lower half of the stem wall was uninsulated).

  6. Carrier transport in flexible organic bistable devices of ZnO nanoparticles embedded in an insulating poly(methyl methacrylate) polymer layer

    International Nuclear Information System (INIS)

    Son, Dong-Ick; Park, Dong-Hee; Choi, Won Kook; Cho, Sung-Hwan; Kim, Won-Tae; Kim, Tae Whan

    2009-01-01

    The bistable effects of ZnO nanoparticles embedded in an insulating poly(methyl methacrylate) (PMMA) polymer single layer by using flexible polyethylene terephthalate (PET) substrates were investigated. Transmission electron microscopy (TEM) images revealed that ZnO nanoparticles were formed inside the PMMA polymer layer. Current-voltage (I-V) measurement on the Al/ZnO nanoparticles embedded in an insulating PMMA polymer layer/ITO/PET structures at 300 K showed a nonvolatile electrical bistability behavior with a flat-band voltage shift due to the existence of the ZnO nanoparticles, indicative of trapping, storing, and emission of charges in the electronic states of the ZnO nanoparticles. The carrier transport mechanism of the bistable behavior for the fabricated organic bistable device (OBD) structures is described on the basis of the I-V results by analyzing the effect of space charge.

  7. Ge interactions on HfO2 surfaces and kinetically driven patterning of Ge nanocrystals on HfO2

    International Nuclear Information System (INIS)

    Stanley, Scott K.; Joshi, Sachin V.; Banerjee, Sanjay K.; Ekerdt, John G.

    2006-01-01

    Germanium interactions are studied on HfO 2 surfaces, which are prepared through physical vapor deposition (PVD) and by atomic layer deposition. X-ray photoelectron spectroscopy and temperature-programed desorption are used to follow the reactions of germanium on HfO 2 . Germanium chemical vapor deposition at 870 K on HfO 2 produces a GeO x adhesion layer, followed by growth of semiconducting Ge 0 . PVD of 0.7 ML Ge (accomplished by thermally cracking GeH 4 over a hot filament) also produces an initial GeO x layer, which is stable up to 800 K. PVD above 2.0 ML deposits semiconducting Ge 0 . Temperature programed desorption experiments of ∼1.0 ML Ge from HfO 2 at 400-1100 K show GeH 4 desorption below 600 K and GeO desorption above 850 K. These results are compared to Ge on SiO 2 where GeO desorption is seen at 550 K. Exploiting the different reactivity of Ge on HfO 2 and SiO 2 allows a kinetically driven patterning scheme for high-density Ge nanoparticle growth on HfO 2 surfaces that is demonstrated

  8. Thermal transport through Ge-rich Ge/Si superlattices grown on Ge(0 0 1)

    Science.gov (United States)

    Thumfart, L.; Carrete, J.; Vermeersch, B.; Ye, N.; Truglas, T.; Feser, J.; Groiss, H.; Mingo, N.; Rastelli, A.

    2018-01-01

    The cross-plane thermal conductivities of Ge-rich Si/Ge superlattices have been measured using both time-domain thermoreflectance and the differential 3ω method. The superlattices were grown by molecular beam epitaxy on Ge(0 0 1) substrates. Crystal quality and structural information were investigated by x-ray diffractometry and transmission electron microscopy. The influence of segregation during growth on the composition profiles was modeled using the experimental growth temperatures and deposition rates. Those profiles were then employed to obtain parameter-free theoretical estimates of the thermal conductivity by combining first-principles calculations, Boltzmann transport theory and phonon Green’s functions. Good agreement between theory and experiment is observed. The thermal conductivity shows a strong dependence on the composition and the thickness of the samples. Moreover, the importance of the composition profile is reflected in the fact that the thermal conductivity of the superlattices is considerably lower than predicted values for alloys with the same average composition and thickness. Measurement on different samples with the same Si layer thickness and number of periods, but different Ge layer thickness, show that the thermal resistance is only weakly dependent on the Ge layers. We analyze this phenomenon based on the first-principles mode, and build an approximate parametrization showing that, in this regime, the resistivity of a SL is roughly linear on the amount of Si.

  9. Evolution of the structure and hydrogen bonding configuration in annealed hydrogenated a-Si/a-Ge multilayers and layers

    International Nuclear Information System (INIS)

    Frigeri, C.; Nasi, L.; Serenyi, M.; Khanh, N.Q.; Csik, A.; Szekrenyes, Zs.; Kamaras, K.

    2012-01-01

    Complete text of publication follows. Among the present available renewable energy sources, energy harvesting from sunlight by means of photovoltaic cells is the most attractive one. In order to win over the traditional energy resources both efficiency and cost effectiveness of photovoltaic conversion must be optimized as far as possible. Efficiency is basically improved by the use of multijunction cells containing semiconductors with different band-gap. In this respect, the III-V compounds guarantee the highest efficiency, up to 41.6 %, but they are quite expensive. The latter drawback also affects other compounds like CdTe and CuIn 1-x Ga x Se 2 (CIGS). Si based solar devices have lower efficiency but are much more cost effective. They can use either crystalline or amorphous Si thin layers or Si nanoparticles. As to the thin films, amorphous Si (a-Si) is preferred to crystalline Si as it has a wider band-gap (1.7 instead of 1.1 eV) thus harvesting a larger portion of solar energy. A tandem cell is formed by using a-SiGe which has a smaller band-gap tunable between 1.1 and 1.7 eV depending on the Ge content. The best value should be 1.4 eV since the material properties seem to degrade below this value whilst the photo-conductivity drops after light soaking if the band gap exceeds 1.4 eV. A key issue of amorphous Si, Ge and SiGe is the high density of defects in the band-gap mostly due to dangling bonds whose density is particularly high (even up to 5 x10 19 cm -3 ) since the lattice is significantly disordered with distorted bond angles and lengths. This increases the probability of rupture of the Si-Si (Ge-Ge) bonds, i.e., formation of dangling bonds. Owing to the fact that hydrogen with its single electron structure can close the dangling bonds, their density can be reduced even by 4 orders of magnitude by doping with hydrogen. However, H is unstable in the host lattice. In fact, several findings showed its evolution from the thin layer upon annealing and that

  10. Tests and Analysis of the Compressive Performance of an Integrated Masonry Structure of a Brick-Stem-Insulating Layer

    Directory of Open Access Journals (Sweden)

    Suizi Jia

    2016-05-01

    Full Text Available This paper proposes, for low buildings, an integrated wall structure of a brick-stem-insulating layer, which plays a major part in both heat preservation and force bearing. The research team has tested the thermal performance of the structure, the results of which are satisfying. To further study the force-bearing performance, the paper carries out compressive tests of specimens of different structural design, with two types of bricks, i.e., clay and recycled concrete bricks; three types of stems, i.e., square-shaped wood, square-shaped steel pipe and circular steel pipe; and one type of insulating layer, i.e., fly ash masonry blocks. Afterward, the force bearing performance, damage that occurred, compressive deformation and ductility of all of the specimens are compared. On the sideline, the structure is applied in the construction of a pilot residence project, yielding favorable outcomes. The results indicate that in comparison with a brick wall with an insulating layer sandwiched in between, the integrated wall structure of bricks and fly ash blocks is a more preferable choice in terms of compressive performance and ductility. The integrated wall structure of brick-stem-fly ash blocks delivers much better performance to this end. Note that regarding the stem’s contribution to compressive strength, circular steel pipe is highest, followed by square-shaped steel pipe and then square-shaped wood. The compressive performance of the sandwiched blocks surpasses that of the two brick wall pieces combined by a large margin.

  11. Total dose hardening of buried insulator in implanted silicon-on-insulator structures

    International Nuclear Information System (INIS)

    Mao, B.Y.; Chen, C.E.; Pollack, G.; Hughes, H.L.; Davis, G.E.

    1987-01-01

    Total dose characteristics of the buried insulator in implanted silicon-on-insulator (SOI) substrates have been studied using MOS transistors. The threshold voltage shift of the parasitic back channel transistor, which is controlled by charge trapping in the buried insulator, is reduced by lowering the oxygen dose as well as by an additional nitrogen implant, without degrading the front channel transistor characteristics. The improvements in the radiation characteristics of the buried insulator are attributed to the decrease in the buried oxide thickness or to the presence of the interfacial oxynitride layer formed by the oxygen and nitrogen implants

  12. Surface segregation of Ge during Si growth on Ge/Si(0 0 1) at low temperature observed by high-resolution RBS

    International Nuclear Information System (INIS)

    Nakajima, K.; Hosaka, N.; Hattori, T.; Kimura, K.

    2002-01-01

    The Si/Ge/Si(0 0 1) multilayer with about 1 ML Ge layer is fabricated by evaporating Si overlayer on a Ge/Si(0 0 1) surface at 20-300 deg. C. The depth profile of the Ge atoms is observed by high-resolution Rutherford backscattering spectroscopy to investigate the possibility of Ge delta doping in Si. The observed profile of the Ge atoms spreads over several atomic layers even at 20 deg. C and a significant amount of Ge is located in the surface layer at higher temperatures. The results at 20-150 deg. C are well explained with two-layer model for surface segregation of the Ge atoms and the segregation rates are estimated. The activation energy for surface segregation of Ge atoms in amorphous Si is evaluated to be 0.035 eV, which is much smaller than the value reported for Si deposition at 500 deg. C. The small activation energy suggests that local heating during the Si deposition is dominant at low temperature

  13. Thermal-Insulation Properties of Multilayer Textile Packages

    Directory of Open Access Journals (Sweden)

    Matusiak Małgorzata

    2014-12-01

    Full Text Available Thermal-insulation properties of textile materials play a significant role in material engineering of protective clothing. Thermal-insulation properties are very important from the point of view of thermal comfort of the clothing user as well as the protective efficiency against low or high temperature. Thermal protective clothing usually is a multilayer construction. Its thermal insulation is a resultant of a number of layers and their order, as well as the thermalinsulation properties of a single textile material creating particular layers. The aim of the presented work was to investigate the relationships between the thermal-insulation properties of single materials and multilayer textile packages composed of these materials. Measurement of the thermal-insulation properties of single and multilayer textile materials has been performed with the Alambeta. The following properties have been investigated: thermal conductivity, resistance and absorptivity. Investigated textile packages were composed of two, three and four layers made of woven and knitted fabrics, as well as nonwovens. On the basis of the obtained results an analysis has been carried out in order to assess the dependency of the resultant values of the thermal-insulation properties of multilayer packages on the appropriate values of particular components.

  14. Atomic layer deposition of crystalline SrHfO{sub 3} directly on Ge (001) for high-k dielectric applications

    Energy Technology Data Exchange (ETDEWEB)

    McDaniel, Martin D.; Ngo, Thong Q.; Ekerdt, John G., E-mail: ekerdt@utexas.edu [Department of Chemical Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Hu, Chengqing; Jiang, Aiting; Yu, Edward T. [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Lu, Sirong; Smith, David J. [Department of Physics, Arizona State University, Tempe, Arizona 85287 (United States); Posadas, Agham; Demkov, Alexander A. [Department of Physics, The University of Texas at Austin, Austin, Texas 78712 (United States)

    2015-02-07

    The current work explores the crystalline perovskite oxide, strontium hafnate, as a potential high-k gate dielectric for Ge-based transistors. SrHfO{sub 3} (SHO) is grown directly on Ge by atomic layer deposition and becomes crystalline with epitaxial registry after post-deposition vacuum annealing at ∼700 °C for 5 min. The 2 × 1 reconstructed, clean Ge (001) surface is a necessary template to achieve crystalline films upon annealing. The SHO films exhibit excellent crystallinity, as shown by x-ray diffraction and transmission electron microscopy. The SHO films have favorable electronic properties for consideration as a high-k gate dielectric on Ge, with satisfactory band offsets (>2 eV), low leakage current (<10{sup −5} A/cm{sup 2} at an applied field of 1 MV/cm) at an equivalent oxide thickness of 1 nm, and a reasonable dielectric constant (k ∼ 18). The interface trap density (D{sub it}) is estimated to be as low as ∼2 × 10{sup 12 }cm{sup −2 }eV{sup −1} under the current growth and anneal conditions. Some interfacial reaction is observed between SHO and Ge at temperatures above ∼650 °C, which may contribute to increased D{sub it} value. This study confirms the potential for crystalline oxides grown directly on Ge by atomic layer deposition for advanced electronic applications.

  15. Optimisation of Multilayer Insulation an Engineering Approach

    CERN Document Server

    Chorowski, M; Parente, C; Riddone, G

    2001-01-01

    A mathematical model has been developed to describe the heat flux through multilayer insulation (MLI). The total heat flux between the layers is the result of three distinct heat transfer modes: radiation, residual gas conduction and solid spacer conduction. The model describes the MLI behaviour considering a layer-to-layer approach and is based on an electrical analogy, in which the three heat transfer modes are treated as parallel thermal impedances. The values of each of the transfer mode vary from layer to layer, although the total heat flux remains constant across the whole MLI blanket. The model enables the optimisation of the insulation with regard to different MLI parameters, such as residual gas pressure, number of layers and boundary temperatures. The model has been tested with experimental measurements carried out at CERN and the results revealed to be in a good agreement, especially for insulation vacuum between 10-5 Pa and 10-3 Pa.

  16. Si/Ge intermixing during Ge Stranski–Krastanov growth

    Directory of Open Access Journals (Sweden)

    Alain Portavoce

    2014-12-01

    Full Text Available The Stranski–Krastanov growth of Ge islands on Si(001 has been widely studied. The morphology changes of Ge islands during growth, from nucleation to hut/island formation and growth, followed by hut-to-dome island transformation and dislocation nucleation of domes, have been well described, even at the atomic scale, using techniques such as scanning tunneling microscopy and transmission electron microscopy. Although it is known that these islands do not consist of pure Ge (due to Si/Ge intermixing, the composition of the Ge islands is not precisely known. In the present work, atom probe tomography was used to study the composition of buried dome islands at the atomic scale, in the three-dimensional space. The core of the island was shown to contain about 55 atom % Ge, while the Ge composition surrounding this core decreases rapidly in all directions in the islands to reach a Ge concentration of about 15 atom %. The Ge distribution in the islands follows a cylindrical symmetry and Ge segregation is observed only in the {113} facets of the islands. The Ge composition of the wetting layer is not homogeneous, varying from 5 to 30 atom %.

  17. Studies on Kondo insulating FeSi

    International Nuclear Information System (INIS)

    Bharathi, A.; Mani, Awadhesh; Ravindran, Nithya; Mathi Jaya, S.; Sundar, C.S.; Hariharan, Y.

    2000-01-01

    Temperature dependent electrical resistivity measurements have been carried out in Fe (1-x) Ru x Si and FeSi (1-x) Ge x to examine the robustness of the Kondo Insulating gap to substitution in the Fe and Si sublattices. The gap is seen to decrease with Ge substitution, while for Ru substitution the gap shows an initial decrease followed by an increase at higher concentration. The results can be understood in terms of the shift in the mobility edge due to disorder and/or pressure effects in combination with changes in band structure

  18. Antimony segregation in Ge and formation of n-type selectively doped Ge films in molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Yurasov, D. V., E-mail: Inquisitor@ipm.sci-nnov.ru; Antonov, A. V.; Drozdov, M. N.; Schmagin, V. B.; Novikov, A. V. [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation); Lobachevsky State University of Nizhni Novgorod, 23 Prospekt Gagarina, 603950 Nizhny Novgorod (Russian Federation); Spirin, K. E. [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation)

    2015-10-14

    Antimony segregation in Ge(001) films grown by molecular beam epitaxy was studied. A quantitative dependence of the Sb segregation ratio in Ge on growth temperature was revealed experimentally and modeled theoretically taking into account both the terrace-mediated and step-edge-mediated segregation mechanisms. A nearly 5-orders-of-magnitude increase in the Sb segregation ratio in a relatively small temperature range of 180–350 °C was obtained, which allowed to form Ge:Sb doped layers with abrupt boundaries and high crystalline quality using the temperature switching method that was proposed earlier for Si-based structures. This technique was employed for fabrication of different kinds of n-type Ge structures which can be useful for practical applications like heavily doped n{sup +}-Ge films or δ-doped layers. Estimation of the doping profiles sharpness yielded the values of 2–5 nm per decade for the concentration gradient at the leading edge and 2–3 nm for the full-width-half-maximum of the Ge:Sb δ-layers. Electrical characterization of grown Ge:Sb structures revealed nearly full electrical activation of Sb atoms and the two-dimensional nature of charge carrier transport in δ-layers.

  19. Development of radiation resistant PEEK insulation cable

    International Nuclear Information System (INIS)

    Mio, Keigo; Ogiwara, Norio; Hikichi, Yusuke; Furukori, Hisayoshi; Arai, Hideyuki; Nishizawa, Daiji; Nishidono, Toshiro

    2009-04-01

    Material characterization and development has been carried out for cable insulation suitable for use in the J-PARC 3-GeV RCS radiation environment. In spite of its high cost, PEEK (polyether-ether-ketone) has emerged as the leading candidate satisfying requirements of being non-halogen based, highly incombustible and with radiation resistant at least 10 MGy, along with the usual mechanical characteristics such as good elongation at break, which are needed in a cable insulation. Gamma-ray irradiation tests have been done in order to study radiation resistance of PEEK cable. Further, mechanical, electrical and fire retardant characteristics of a complete cable such as would be used at the J-PARC RCS were investigated. As a result, PEEK cables were shown to be not degraded by radiation up to at least 10 MGy, and thus could be expected to operate stably under the 3-GeV RCS radiation environment. (author)

  20. Effects of germane flow rate in electrical properties of a-SiGe:H films for ambipolar thin-film transistors

    Energy Technology Data Exchange (ETDEWEB)

    Dominguez, Miguel, E-mail: madominguezj@gmail.com [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Rosales, Pedro, E-mail: prosales@inaoep.mx [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Torres, Alfonso [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Flores, Francisco [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Molina, Joel; Moreno, Mario [National Institute for Astrophysics, Optics and Electronics (INAOE), Electronics Department, Luis Enrique Erro No. 1, Puebla 72840 (Mexico); Luna, Jose [Centro de Investigaciones en Dispositivos Semiconductores, Instituto de Ciencias, Benemerita Universidad Autonoma de Puebla (BUAP), Puebla 72570 (Mexico); Orduña, Abdu [Centro de Investigación en Biotecnología Aplicada (CIBA), IPN, Tlaxcala, Tlaxcala 72197 (Mexico)

    2014-07-01

    In this work, the study of germane flow rate in electrical properties of a-SiGe:H films is presented. The a-SiGe:H films deposited by low frequency plasma-enhanced chemical vapor deposition at 300 °C were characterized by Fourier transform infrared spectroscopy, measurements of temperature dependence of conductivity and UV–visible spectroscopic ellipsometry. After finding the optimum germane flow rate conditions, a-SiGe:H films were deposited at 200 °C and analyzed. The use of a-SiGe:H films at 200 °C as active layer of low-temperature ambipolar thin-film transistors (TFTs) was demonstrated. The inverted staggered a-SiGe:H TFTs with Spin-On Glass as gate insulator were fabricated. These results suggest that there is an optimal Ge content in the a-SiGe:H films that improves its electrical properties. - Highlights: • As the GeH{sub 4} flow rate increases the content of oxygen decreases. • Ge-H bonds show the highest value in a-SiGe:H films with GeH{sub 4} flow of 105 sccm. • Films with GeH{sub 4} flow of 105 sccm show the highest activation energy. • An optimum incorporation of germanium is obtained with GeH{sub 4} flow rate of 105 sccm. • At 200 °C the optimum condition of the a-SiGe:H films remain with no changes.

  1. Simulating characteristics of Si/Ge tandem monolithic solar cell with Si1-xGex buffer layer

    Directory of Open Access Journals (Sweden)

    Gnilenko A. B.

    2015-12-01

    Full Text Available In spite of many efforts to propose new semiconductor materials and sophisticated constructions of solar cells, crystalline silicone remains the main photovoltaic material widely used up to now. There are various methods to enhance the efficiency of silicone solar cells. One of them is to combine silicone with an additional semiconductor material with the different bandgap to form a tandem construction. For example, the germanium sub-cell used as the bottom cascade for the silicone sub-cell in the tandem monolithic solar cell makes it possible to utilize the "red" sub-band of solar spectra increasing overall solar cell efficiency. The problem of the 4.2% mismatch in lattice constant between Si and Ge can be resolved in such a case by the use of SiGe buffer layer. In the paper the results of the computer simulation for Si/Ge tandem monolithic solar cell with Si1-xGex buffer layer are presented. In the solar cell under consideration, the step graded Si1-xGex buffer layer is located between the top silicone and the bottom germanium cascades to reduce the threading dislocation density in mismatched materials. The cascades are commutated by the use of the germanium tunnel diode between the bottom sub-cell and the buffer layer. For the solar cell modeling, the physically-based device simulator ATLAS of Silvaco TCAD software is employed to predict the electrical behavior of the semiconductor structure and to provide a deep insight into the internal physical processes. The voltage-current characteristic, photovoltaic parameters and the distribution of basic physical values are obtained for the investigated tandem solar cell. The influence of layer thicknesses on the photovoltaic parameters is studied. The calculated efficiency of the tandem solar cell reaches 13% which is a quarter more than the efficiency of a simple silicone solar cell with the same constructive parameters and under the same illumination conditions.

  2. Influence of the Localization of Ge Atoms within the Si(001(4 × 2 Surface Layer on Semicore One-Electron States

    Directory of Open Access Journals (Sweden)

    Olha I. Tkachuk

    2016-03-01

    Full Text Available Adsorption complexes of germanium on the reconstructed Si(001(4 × 2 surface have been simulated by the Si96Ge2Н84 cluster. For Ge atoms located on the surface layer, DFT calculations (B3LYP/6-31G** of their 3d semicore-level energies have shown a clear-cut correlation between the 3d5/2 chemical shifts and mutual arrangement of Ge atoms. Such a shift is positive when only one Ge atom penetrates into the crystalline substrate, while being negative for both penetrating Ge atoms. We interpret these results in terms of the charge distribution in clusters under consideration.

  3. Defect design of insulation systems for photovoltaic modules

    Science.gov (United States)

    Mon, G. R.

    1981-01-01

    A defect-design approach to sizing electrical insulation systems for terrestrial photovoltaic modules is presented. It consists of gathering voltage-breakdown statistics on various thicknesses of candidate insulation films where, for a designated voltage, module failure probabilities for enumerated thickness and number-of-layer film combinations are calculated. Cost analysis then selects the most economical insulation system. A manufacturing yield problem is solved to exemplify the technique. Results for unaged Mylar suggest using fewer layers of thicker films. Defect design incorporates effects of flaws in optimal insulation system selection, and obviates choosing a tolerable failure rate, since the optimization process accomplishes that. Exposure to weathering and voltage stress reduces the voltage-withstanding capability of module insulation films. Defect design, applied to aged polyester films, promises to yield reliable, cost-optimal insulation systems.

  4. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Science.gov (United States)

    Budak, S.; Heidary, K.; Johnson, R. B.; Colon, T.; Muntele, C.; Ila, D.

    2014-08-01

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S2σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  5. MeV Si ion modifications on the thermoelectric generators from Si/Si + Ge superlattice nano-layered films

    Energy Technology Data Exchange (ETDEWEB)

    Budak, S., E-mail: satilmis.budak@aamu.edu [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Heidary, K. [Department of Electrical Engineering and Computer Science, Alabama A and M University, Huntsville, AL (United States); Johnson, R.B.; Colon, T. [Department of Physics, Alabama A and M University, Huntsville, AL (United States); Muntele, C. [Cygnus Scientific Services, Huntsville, AL (United States); Ila, D. [Department of Physics, Fayetteville St. University, Fayetteville, NC (United States)

    2014-08-15

    The performance of thermoelectric materials and devices is characterized by a dimensionless figure of merit, ZT = S{sup 2}σT/K, where, S and σ denote, respectively, the Seebeck coefficient and electrical conductivity, T is the absolute temperature in Kelvin and K represents the thermal conductivity. The figure of merit may be improved by means of raising either S or σ or by lowering K. In our laboratory, we have fabricated and characterized the performance of a large variety of thermoelectric generators (TEG). Two TEG groups comprised of 50 and 100 alternating layers of Si/Si + Ge multi-nanolayered superlattice films have been fabricated and thoroughly characterized. Ion beam assisted deposition (IBAD) was utilized to assemble the alternating sandwiched layers, resulting in total thickness of 300 nm and 317 nm for 50 and 100 layer devices, respectively. Rutherford Backscattering Spectroscopy (RBS) was employed in order to monitor the precise quantity of Si and Ge utilized in the construction of specific multilayer thin films. The material layers were subsequently impregnated with quantum dots and/or quantum clusters, in order to concurrently reduce the cross plane thermal conductivity, increase the cross plane Seebeck coefficient and raise the cross plane electrical conductivity. The quantum dots/clusters were implanted via the 5 MeV Si ion bombardment which was performed using a Pelletron high energy ion beam accelerator. We have achieved remarkable results for the thermoelectric and optical properties of the Si/Si + Ge multilayer thin film TEG systems. We have demonstrated that with optimal setting of the 5 MeV Si ion beam bombardment fluences, one can fabricate TEG systems with figures of merits substantially higher than the values previously reported.

  6. Interfacial and Electrical Properties of Ge MOS Capacitor by ZrLaON Passivation Layer and Fluorine Incorporation

    Science.gov (United States)

    Huang, Yong; Xu, Jing-Ping; Liu, Lu; Cheng, Zhi-Xiang; Lai, Pui-To; Tang, Wing-Man

    2017-09-01

    Ge Metal-Oxide-Semiconductor (MOS) capacitor with HfTiON/ZrLaON stacked gate dielectric and fluorine-plasma treatment is fabricated, and its interfacial and electrical properties are compared with its counterparts without the ZrLaON passivation layer or the fluorine-plasma treatment. Experimental results show that the sample exhibits excellent performances: low interface-state density (3.7×1011 cm-2eV-1), small flatband voltage (0.21 V), good capacitance-voltage behavior, small frequency dispersion and low gate leakage current (4.41×10-5 A/cm2 at Vg = Vfb + 1V). These should be attributed to the suppressed growth of unstable Ge oxides on the Ge surface during gate-dielectric annealing by the ZrLaON interlayer and fluorine incorporation, thus greatly reducing the defective states at/near the ZrLaON/Ge interface and improving the electrical properties of the device.

  7. Testing of ITER central solenoid coil insulation in an array

    International Nuclear Information System (INIS)

    Jayakumar, R.; Martovetsky, N.N.; Perfect, S.A.

    1995-01-01

    A glass-polyimide insulation system has been proposed by the US team for use in the Central Solenoid (CS) coil of the international Thermonuclear Experimental Reactor (ITER) machine and it is planned to use this system in the CS model coil inner module. The turn insulation will consist of 2 layers of combined prepreg and Kapton. Each layer is 50% overlapped with a butt wrap of prepreg and an overwrap of S glass. The coil layers will be separated by a glass-resin composite and impregnated in a VPI process. Small scale tests on the various components of the insulation are complete. It is planned to fabricate and test the insulation in a 4 x 4 insulated CS conductor array which will include the layer insulation and be vacuum impregnated. The conductor array will be subjected to 20 thermal cycles and 100000 mechanical load cycles in a Liquid Nitrogen environment. These loads are similar to those seen in the CS coil design. The insulation will be electrically tested at several stages during mechanical testing. This paper will describe the array configuration, fabrication: process, instrumentation, testing configuration, and supporting analyses used in selecting the array and test configurations

  8. Growth Mechanism and Surface Structure of Ge Nanocrystals Prepared by Thermal Annealing of Cosputtered GeSiO Ternary Precursor

    Directory of Open Access Journals (Sweden)

    Bo Zhang

    2014-01-01

    Full Text Available Ge nanocrystals (Ge-ncs embedded in a SiO2 superlattice structure were prepared by magnetron cosputtering and postdeposition annealing. The formation of spherical nanocrystals was confirmed by transmission electron microscopy and their growth process was studied by a combination of spectroscopic techniques. The crystallinity volume fraction of Ge component was found to increase with crystallite size, but its overall low values indicated a coexistence of crystalline and noncrystalline phases. A reduction of Ge-O species was observed in the superlattice during thermal annealing, accompanied by a transition from oxygen-deficient silicon oxide to silicon dioxide. A growth mechanism involving phase separation of Ge suboxides (GeOx was then proposed to explain these findings and supplement the existing growth models for Ge-ncs in SiO2 films. Further analysis of the bonding structure of Ge atoms suggested that Ge-ncs are likely to have a core-shell structure with an amorphous-like surface layer, which is composed of GeSiO ternary complex. The surface layer thickness was extracted to be a few angstroms and equivalent to several atomic layer thicknesses.

  9. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R.G.; Kringhoj, P. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  10. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R G; Kringhoj, P [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  11. The Leakage Current Improvement of a Ni-Silicided SiGe/Si Junction Using a Si Cap Layer and the PAI Technique

    International Nuclear Information System (INIS)

    Chang Jian-Guang; Wu Chun-Bo; Ji Xiao-Li; Ma Hao-Wen; Yan Feng; Shi Yi; Zhang Rong

    2012-01-01

    We investigate the leakage current of ultra-shallow Ni-silicided SiGe/Si junctions for 45 nm CMOS technology using a Si cap layer and the pre-amorphization implantation (PAI) process. It is found that with the conventional Ni silicide method, the leakage current of a p + (SiGe)—n(Si) junction is large and attributed to band-to-band tunneling and the generation-recombination process. The two leakage contributors can be suppressed quite effectively when a Si cap layer is added in the Ni silicide method. The leakage reduction is about one order of magnitude and could be associated with the suppression of the agglomeration of the Ni germano-silicide film. In addition, the PAI process after the application of a Si cap layer has little effect on improving the junction leakage but reduces the sheet resistance of the silicide film. As a result, the novel Ni silicide method using a Si cap combined with PAI is a promising choice for SiGe junctions in advanced technology. (cross-disciplinary physics and related areas of science and technology)

  12. Development and preliminary experimental study on micro-stacked insulator

    International Nuclear Information System (INIS)

    Ren Chengyan; Yuan Weiqun; Zhang Dongdong; Yan Ping; Wang Jue

    2009-01-01

    High gradient insulating technology is one of the key technologies in new type dielectric wall accelerator(DWA). High gradient insulator, namely micro-stacked insulator, was developed and preliminary experimental study was done. Based on the finite element and particle simulating method, surface electric field distribution and electron movement track of micro-stacked insulator were numerated, and then the optimized design proposal was put forward. Using high temperature laminated method, we developed micro-stacked insulator samples which uses exhaustive fluorinated ethylene propylene(FEP) as dielectric layer and stainless steel as metal layer. Preliminary experiment of vacuum surface flashover in nanosecond pulse voltage was done and micro-stacked insulator exhibited favorable vacuum surface flashover performance with flashover field strength of near 180 kV/cm. (authors)

  13. Fabrication of multilayered Ge nanocrystals embedded in SiOxGeNy films

    International Nuclear Information System (INIS)

    Gao Fei; Green, Martin A.; Conibeer, Gavin; Cho, Eun-Chel; Huang Yidan; Perez-Wurfl, Ivan; Flynn, Chris

    2008-01-01

    Multilayered Ge nanocrystals embedded in SiO x GeN y films have been fabricated on Si substrate by a (Ge + SiO 2 )/SiO x GeN y superlattice approach, using a rf magnetron sputtering technique with a Ge + SiO 2 composite target and subsequent thermal annealing in N 2 ambient at 750 deg. C for 30 min. X-ray diffraction (XRD) measurement indicated the formation of Ge nanocrystals with an average size estimated to be 5.4 nm. Raman scattering spectra showed a peak of the Ge-Ge vibrational mode downward shifted to 299.4 cm -1 , which was caused by quantum confinement of phonons in the Ge nanocrystals. Transmission electron microscopy (TEM) revealed that Ge nanocrystals were confined in (Ge + SiO 2 ) layers. This superlattice approach significantly improved both the size uniformity of Ge nanocrystals and their uniformity of spacing on the 'Z' growth direction

  14. Tunnel field-effect transistors with germanium/strained-silicon hetero-junctions for low power applications

    International Nuclear Information System (INIS)

    Kim, Minsoo; Kim, Younghyun; Yokoyama, Masafumi; Nakane, Ryosho; Kim, SangHyeon; Takenaka, Mitsuru; Takagi, Shinichi

    2014-01-01

    We have studied a simple structure n-channel tunnel field-effect transistor with a pure-Ge/strained-Si hetero-junction. The device operation was demonstrated for the devices fabricated by combining epitaxially-grown Ge on strained-silicon-on-insulator substrates. Atomic-layer-deposition-Al 2 O 3 -based gate stacks were formed with electron cyclotron resonance plasma post oxidation to ensure the high quality metal–oxide–semiconductor interface between the high-k insulator and Ge. While the gate leakage current and drain current saturation are well controlled, relatively higher minimum subthreshold swing of 125 mV/dec and lower I ON /I OFF ratio of 10 3 –10 4 were obtained. It is expected that these device characteristics can be improved by further process optimization. - Highlights: • Layer by layer growth of Ge • Uniform interface between Ge and the insulator • Gate leakage current and drain current saturation seem to be well controlled. • The output characteristics show good saturation

  15. A high-sensitivity fiber-optic evanescent wave sensor with a three-layer structure composed of Canada balsam doped with GeO2.

    Science.gov (United States)

    Zhong, Nianbing; Zhao, Mingfu; Zhong, Lianchao; Liao, Qiang; Zhu, Xun; Luo, Binbin; Li, Yishan

    2016-11-15

    In this paper, we present a high-sensitivity polymer fiber-optic evanescent wave (FOEW) sensor with a three-layer structure that includes bottom, inter-, and surface layers in the sensing region. The bottom layer and inter-layer are POFs composed of standard cladding and the core of the plastic optical fiber, and the surface layer is made of dilute Canada balsam in xylene doped with GeO2. We examine the morphology of the doped GeO2, the refractive index and composition of the surface layer and the surface luminous properties of the sensing region. We investigate the effects of the content and morphology of the GeO2 particles on the sensitivity of the FOEW sensors by using glucose solutions. In addition, we examine the response of sensors incubated with staphylococcal protein A plus mouse IgG isotype to goat anti-mouse IgG solutions. Results indicate very good sensitivity of the three-layer FOEW sensor, which showed a 3.91-fold improvement in the detection of the target antibody relative to a conventional sensor with a core-cladding structure, and the novel sensor showed a lower limit of detection of 0.2ng/l and a response time around 320s. The application of this high-sensitivity FOEW sensor can be extended to biodefense, disease diagnosis, biomedical and biochemical analysis. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Dielectric-wall linear accelerator with a high voltage fast rise time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators

    Science.gov (United States)

    Caporaso, George J.; Sampayan, Stephen E.; Kirbie, Hugh C.

    1998-01-01

    A dielectric-wall linear accelerator is improved by a high-voltage, fast rise-time switch that includes a pair of electrodes between which are laminated alternating layers of isolated conductors and insulators. A high voltage is placed between the electrodes sufficient to stress the voltage breakdown of the insulator on command. A light trigger, such as a laser, is focused along at least one line along the edge surface of the laminated alternating layers of isolated conductors and insulators extending between the electrodes. The laser is energized to initiate a surface breakdown by a fluence of photons, thus causing the electrical switch to close very promptly. Such insulators and lasers are incorporated in a dielectric wall linear accelerator with Blumlein modules, and phasing is controlled by adjusting the length of fiber optic cables that carry the laser light to the insulator surface.

  17. Unravelling the local structure of topological crystalline insulators using hyperfine interactions

    CERN Multimedia

    Phenomena emerging from relativistic electrons in solids have become one the main topical subjects in condensed matter physics. Among a wealth of intriguing new phenomena, several classes of materials have emerged including graphene, topological insulators and Dirac semi-metals. This project is devoted to one such class of materials, in which a subtle distortion of the crystalline lattice drives a material through different topological phases: Z$_{2}$ topological insulator (Z$_{2}$-TI), topological crystalline insulator (TCI), or ferroelectric Rashba semiconductor (FERS). We propose to investigate the local structure of Pb$_{1-x}$Sn$_{x}$Te and Ge$_{1-x}$Sn$_{x}$Te (with $\\textit{x}$ from 0 to 1) using a combination of experimental techniques based on hyperfine interactions: emission Mössbauer spectroscopy (eMS) and perturbed angular correlation spectroscopy (PAC). In particular, we propose to study the effect of composition ($\\textit{x}$ in Pb$_{1-x}$Sn$_{x}$Te and Ge$_{1-x}$Sn$_{x}$Te) on: \\\\ \\\\(1) the mag...

  18. External Insulation of Masonry Walls and Wood Framed Walls

    Energy Technology Data Exchange (ETDEWEB)

    Baker, P.

    2013-01-01

    The use of exterior insulation on a building is an accepted and effective means to increase the overall thermal resistance of the assembly that also has other advantages of improved water management and often increased air tightness of building assemblies. For thin layers of insulation (1" to 1 1/2"), the cladding can typically be attached directly through the insulation back to the structure. For thicker insulation layers, furring strips have been added as a cladding attachment location. This approach has been used in the past on numerous Building America test homes and communities (both new and retrofit applications), and has been proven to be an effective and durable means to provide cladding attachment. However, the lack of engineering data has been a problem for many designers, contractors, and code officials. This research project developed baseline engineering analysis to support the installation of thick layers of exterior insulation on existing masonry and frame walls. Furthermore, water management details necessary to integrate windows, doors, decks, balconies and roofs were created to provide guidance on the integration of exterior insulation strategies with other enclosure elements.

  19. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    International Nuclear Information System (INIS)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo; Fin, Samuele; Guidi, Vincenzo; Vincenzi, Donato

    2014-01-01

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm, as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers

  20. Interfacial, Electrical, and Band Alignment Characteristics of HfO2/Ge Stacks with In Situ-Formed SiO2 Interlayer by Plasma-Enhanced Atomic Layer Deposition

    Science.gov (United States)

    Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong

    2017-05-01

    In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.

  1. Electrical machining method of insulating ceramics

    International Nuclear Information System (INIS)

    Fukuzawa, Y.; Mohri, N.; Tani, T.

    1999-01-01

    This paper describes a new electrical discharge machining method for insulating ceramics using an assisting electrode with either a sinking electrical discharge machine or a wire electrical discharge machine. In this method, the metal sheet or mesh is attached to the ceramic surface as an assisting material for the discharge generation around the insulator surface. When the machining condition changes from the attached material to the workpiece, a cracked carbon layer is formed on the workpiece surface. As this layer has an electrical conductivity, electrical discharge occurs in working oil between the tool electrode and the surface of the workpiece. The carbon is formed from the working oil during this electrical discharge. Even after the material is machined, an electrical discharge occurs in the gap region between the tool electrode and the ceramic because an electrically conductive layer is generated continuously. Insulating ceramics can be machined by the electrical discharge machining method using the above mentioned surface modification phenomenon. In this paper the authors show a machined example demonstrating that the proposed method is available for machining a complex shape on insulating ceramics. Copyright (1999) AD-TECH - International Foundation for the Advancement of Technology Ltd

  2. Use and benefit summary of General Electric Company thermocase insulated tubulars for steam enhanced oil recovery

    Energy Technology Data Exchange (ETDEWEB)

    Traynor, B.V. Jr.; Hawley, J.R.; Marziani, V.J.; Prevost, W.M.

    1982-01-01

    General Electric Co.'s (GE) first well-bore insulation in 1969 resulted from the industry's need to produce hot oil on Alaska's North Slope without damaging the permafrost. In the past 3 yr, over 500,000 linear ft of GE's Thermocase has been sold. Thermocase tubulars are in use in California, Wyoming, Texas, Canada, Venezuela, and the USSR. Thermocase insulated tubulars are being used in a wide range of reservoirs under a variety of completion designs. This study discusses field experience, thermal completion benefits afforded by Thermocase tubulars, a quantified economic evaluation in a 1000-ft application, as well as GE's product verification, test and rigid quality control program.

  3. Detection of UV Pulse from Insulators and Application in Estimating the Conditions of Insulators

    Science.gov (United States)

    Wang, Jingang; Chong, Junlong; Yang, Jie

    2014-10-01

    Solar radiation in the band of 240-280 nm is absorbed by the ozone layer in the atmosphere, and corona discharges from high-voltage apparatus emit in air mainly in the 230-405 nm range of ultraviolet (UV), so the band of 240-280 nm is called UV Solar Blind Band. When the insulators in a string deteriorate or are contaminated, the voltage distribution along the string will change, which causes the electric fields in the vicinity of insulators change and corona discharge intensifies. An UV pulse detection method to check the conditions of insulators is presented based on detecting the UV pulse among the corona discharge, then it can be confirmed that whether there exist faulty insulators and whether the surface contamination of insulators is severe for the safe operation of power systems. An UV-I Insulator Detector has been developed, and both laboratory tests and field tests have been carried out which demonstrates the practical viability of UV-I Insulator Detector for online monitoring.

  4. Microstructure and chemical analysis of Hf-based high-k dielectric layers in metal-insulator-metal capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Thangadurai, P. [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Mikhelashvili, V.; Eisenstein, G. [Department of Electrical Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel); Kaplan, W.D., E-mail: kaplan@tx.technion.ac.i [Department of Materials Engineering, Technion - Israel Institute of Technology, Haifa 32000 (Israel)

    2010-05-31

    The microstructure and chemistry of the high-k gate dielectric significantly influences the performance of metal-insulator-metal (MIM) and metal-oxide-semiconductor devices. In particular, the local structure, chemistry, and inter-layer mixing are important phenomena to be understood. In the present study, high resolution and analytical transmission electron microscopy are combined to study the local structure, morphology, and chemistry in MIM capacitors containing a Hf-based high-k dielectric. The gate dielectric, bottom and gate electrodes were deposited on p-type Si(100) wafers by electron beam evaporation. Four chemically distinguishable sub-layers were identified within the dielectric stack. One is an unintentionally formed 4.0 nm thick interfacial layer of Ta{sub 2}O{sub 5} at the interface between the Ta electrode and the dielectric. The other three layers are based on HfN{sub x}O{sub y} and HfTiO{sub y}, and intermixing between the nearby sub-layers including deposited SiO{sub 2}. Hf-rich clusters were found in the HfN{sub x}O{sub y} layer adjacent to the Ta{sub 2}O{sub 5} layer.

  5. Effects of C+ ion implantation on electrical properties of NiSiGe/SiGe contacts

    International Nuclear Information System (INIS)

    Zhang, B.; Yu, W.; Zhao, Q.T.; Buca, D.; Breuer, U.; Hartmann, J.-M.; Holländer, B.; Mantl, S.; Zhang, M.; Wang, X.

    2013-01-01

    We have investigated the morphology and electrical properties of NiSiGe/SiGe contact by C + ions pre-implanted into relaxed Si 0.8 Ge 0.2 layers. Cross-section transmission electron microscopy revealed that both the surface and interface of NiSiGe were improved by C + ions implantation. In addition, the effective hole Schottky barrier heights (Φ Bp ) of NiSiGe/SiGe were extracted. Φ Bp was observed to decrease substantially with an increase in C + ion implantation dose

  6. High Performance Slab-on-Grade Foundation Insulation Retrofits

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Louise F. [NorthernSTAR, St. Paul, MN (United States); Mosiman, Garrett E. [NorthernSTAR, St. Paul, MN (United States)

    2015-09-01

    ?A more accurate assessment of SOG foundation insulation energy savings than traditionally possible is now feasible. This has been enabled by advances in whole building energy simulation with 3-dimensional foundation modelling integration at each time step together with an experimental measurement of the site energy savings of SOG foundation insulation. Ten SOG insulation strategies were evaluated on a test building to identify an optimum retrofit insulation strategy in a zone 6 climate (Minneapolis, MN). The optimum insulation strategy in terms of energy savings and cost effectiveness consisted of two components: (a) R-20 XPS insulation above grade, and, (b) R-20 insulation at grade (comprising an outer layer of R-10 insulation and an interior layer of R-12 poured polyurethane insulation) tapering to R-10 XPS insulation at half the below-grade wall height (the lower half of the stem wall was uninsulated). The optimum insulation strategy was applied to single and multi-family residential buildings in climate zone 4 - 7. The highest site energy savings of 5% was realized for a single family home in Duluth, MN, and the lowest savings of 1.4 % for a 4-unit townhouse in Richmond, VA. SOG foundation insulation retrofit simple paybacks ranged from 18 to 47 years. There are other benefits of SOG foundation insulation resulting from the increase in the slab surface temperatures. These include increased occupant thermal comfort, and a decrease in slab surface condensation particularly around the slab perimeter.

  7. Growth and characterization of low composition Ge, x in epi-Si1‑x Gex (x  ⩽  10%) active layer for fabrication of hydrogenated bottom solar cell

    Science.gov (United States)

    Ajmal Khan, M.; Sato, R.; Sawano, K.; Sichanugrist, P.; Lukianov, A.; Ishikawa, Y.

    2018-05-01

    Semiconducting epi-Si1‑x Ge x alloys have promising features as solar cell materials and may be equally important for some other semiconductor device applications. Variation of the germanium compositional, x in epi-Si1‑x Ge x , makes it possible to control the bandgap between 1.12 eV and 0.68 eV for application in bottom solar cells. A low proportion of Ge in SiGe alloy can be used for photovoltaic application in a bottom cell to complete the four-terminal tandem structure with wide bandgap materials. In this research, we aimed to use a low proportion of Ge—about 10%—in strained or relaxed c-Si1‑x Ge x /c-Si heterojunctions (HETs), with or without insertion of a Si buffer layer grown by molecular beam epitaxy, to investigate the influence of the relaxed or strained SiGe active layer on the performance of HET solar cells grown using the plasma enhanced chemical vapor deposition system. Thanks to the c-Si buffer layer at the hetero-interface, the efficiency of these SiGe based HET solar cells was improved from 2.3% to 3.5% (fully strained and with buffer layer). The Jsc was improved, from 8 mA cm‑2 to 15.46 mA cm‑2, which might be supported by strained c-Si buffer layer at the hetero-interface, by improving the crystalline quality.

  8. Layered insulator hexagonal boron nitride for surface passivation in quantum dot solar cell

    International Nuclear Information System (INIS)

    Shanmugam, Mariyappan; Jain, Nikhil; Jacobs-Gedrim, Robin; Yu, Bin; Xu, Yang

    2013-01-01

    Single crystalline, two dimensional (2D) layered insulator hexagonal boron nitride (h-BN), is demonstrated as an emerging material candidate for surface passivation on mesoporous TiO 2 . Cadmium selenide (CdSe) quantum dot based bulk heterojunction (BHJ) solar cell employed h-BN passivated TiO 2 as an electron acceptor exhibits photoconversion efficiency ∼46% more than BHJ employed unpassivated TiO 2 . Dominant interfacial recombination pathways such as electron capture by TiO 2 surface states and recombination with hole at valence band of CdSe are efficiently controlled by h-BN enabled surface passivation, leading to improved photovoltaic performance. Highly crystalline, confirmed by transmission electron microscopy, dangling bond-free 2D layered h-BN with self-terminated atomic planes, achieved by chemical exfoliation, enables efficient passivation on TiO 2 , allowing electronic transport at TiO 2 /h-BN/CdSe interface with much lower recombination rate compared to an unpassivated TiO 2 /CdSe interface

  9. External Insulation of Masonry Walls and Wood Framed Walls

    Energy Technology Data Exchange (ETDEWEB)

    Baker, P. [Building Science Corporation, Somerville, MA (United States)

    2013-01-01

    The use of exterior insulation on a building is an accepted and effective means to increase the overall thermal resistance of the assembly that also has other advantages of improved water management and often increased air tightness of building assemblies. For thin layers of insulation (1” to 1 ½”), the cladding can typically be attached directly through the insulation back to the structure. For thicker insulation layers, furring strips have been added as a cladding attachment location. This approach has been used in the past on numerous Building America test homes and communities (both new and retrofit applications), and has been proven to be an effective and durable means to provide cladding attachment. However, the lack of engineering data has been a problem for many designers, contractors, and code officials. This research project developed baseline engineering analysis to support the installation of thick layers of exterior insulation on existing masonry and frame walls. Furthermore, water management details necessary to integrate windows, doors, decks, balconies and roofs were created to provide guidance on the integration of exterior insulation strategies with other enclosure elements.

  10. High-speed flame spraying, an alternative process for producing thermal insulation layers; Hochgeschwindigkeitsflammspritzen - Ein alternatives Verfahren zum Herstellen von Waermedaemmschichten

    Energy Technology Data Exchange (ETDEWEB)

    Steffens, H.D.; Wilden, J.; Josefiak, L.; Moebus, S. [Dortmund Univ. (Germany). Lehrstuhl fuer Werkstofftechnologie

    1996-12-31

    Ceramic thermal insulation layers on a ZrO{sub 2} basis produced by high-speed flame spraying differ in their structure from layers produced by atmospheric plasma spraying. If suitable powder modifications are chosen, the reulting layer structure can compensate thermally induced stresses efficiently. The layers also had a higher thermoshock resistance than APS layers. [Deutsch] Mittels Hochgeschwindigkeitsflammspritzens erzeugte keramische Waermedaemmschichten auf Basis von ZrO{sub 2} unterscheiden sich bezueglich ihrer Gefuegestruktur deutlich von atmosphaerisch plasmagespritzten WDS. Bei der Wahl geeigneter Pulvermodifikationen ermoeglicht die entstehende Schichtstruktur in hohem Mass den Ausgleich thermisch induzierter Spannungen. In vergleichenden Thermoschockversuchen erreichten HGFS-gespritzte WDS bei gleicher thermischer Isolationsfaehigkeit bessere Werte der Thermoschockbestaendigkeit als ASP-gespritzte. (orig.)

  11. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    Science.gov (United States)

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  12. Characterization of light element impurities in ultrathin silicon-on-insulator layers by luminescence activation using electron irradiation

    International Nuclear Information System (INIS)

    Nakagawa-Toyota, Satoko; Tajima, Michio; Hirose, Kazuyuki; Ohshima, Takeshi; Itoh, Hisayoshi

    2009-01-01

    We analyzed light element impurities in ultrathin top Si layers of silicon-on-insulator (SOI) wafers by luminescence activation using electron irradiation. Photoluminescence (PL) analysis under ultraviolet (UV) light excitation was performed on various commercial SOI wafers after the irradiation. We detected the C-line related to a complex of interstitial carbon and oxygen impurities and the G-line related to a complex of interstitial and substitutional carbon impurities in the top Si layer with a thickness down to 62 nm after electron irradiation. We showed that there were differences in the impurity concentration depending on the wafer fabrication methods and also that there were variations in these concentrations in the respective wafers. Xenon ion implantation was used to activate top Si layers selectively so that we could confirm that the PL signal under the UV light excitation comes not from substrates but from top Si layers. The present method is a very promising tool to evaluate the light element impurities in top Si layers. (author)

  13. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    Science.gov (United States)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  14. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  15. Intrinsic Ge nanowire nonvolatile memory based on a simple core–shell structure

    International Nuclear Information System (INIS)

    Chen, Wen-Hua; Liu, Chang-Hai; Li, Qin-Liang; Sun, Qi-Jun; Liu, Jie; Gao, Xu; Sun, Xuhui; Wang, Sui-Dong

    2014-01-01

    Intrinsic Ge nanowires (NWs) with a Ge core covered by a thick Ge oxide shell are utilized to achieve nanoscale field-effect transistor nonvolatile memories, which show a large memory window and a high ON/OFF ratio with good retention. The retainable surface charge trapping is considered to be responsible for the memory effect, and the Ge oxide shell plays a key role as the insulating tunneling dielectric which must be thick enough to prevent stored surface charges from leaking out. Annealing the device in air is demonstrated to be a simple and effective way to attain thick Ge oxide on the Ge NW surface, and the Ge-NW-based memory corresponding to thick Ge oxide exhibits a much better retention capability compared with the case of thin Ge oxide. (paper)

  16. Tunnel field-effect transistors with germanium/strained-silicon hetero-junctions for low power applications

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Minsoo, E-mail: minsoo@mosfet.t.u-tokyo.ac.jp; Kim, Younghyun; Yokoyama, Masafumi; Nakane, Ryosho; Kim, SangHyeon; Takenaka, Mitsuru; Takagi, Shinichi

    2014-04-30

    We have studied a simple structure n-channel tunnel field-effect transistor with a pure-Ge/strained-Si hetero-junction. The device operation was demonstrated for the devices fabricated by combining epitaxially-grown Ge on strained-silicon-on-insulator substrates. Atomic-layer-deposition-Al{sub 2}O{sub 3}-based gate stacks were formed with electron cyclotron resonance plasma post oxidation to ensure the high quality metal–oxide–semiconductor interface between the high-k insulator and Ge. While the gate leakage current and drain current saturation are well controlled, relatively higher minimum subthreshold swing of 125 mV/dec and lower I{sub ON}/I{sub OFF} ratio of 10{sup 3}–10{sup 4} were obtained. It is expected that these device characteristics can be improved by further process optimization. - Highlights: • Layer by layer growth of Ge • Uniform interface between Ge and the insulator • Gate leakage current and drain current saturation seem to be well controlled. • The output characteristics show good saturation.

  17. The effect of van der Waal's gap expansions on the surface electronic structure of layered topological insulators

    International Nuclear Information System (INIS)

    Eremeev, S V; Vergniory, M G; Chulkov, E V; Menshchikova, T V; Shaposhnikov, A A

    2012-01-01

    On the basis of relativistic ab initio calculations, we show that an expansion of van der Waal's (vdW) spacings in layered topological insulators caused by intercalation of deposited atoms, leads to the simultaneous emergence of parabolic and M-shaped two-dimensional electron gas (2DEG) bands as well as Rashba-splitting of the former states. The expansion of vdW spacings and the emergence of the 2DEG states localized in the (sub)surface region are also accompanied by a relocation of the topological surface state to the lower quintuple layers, that can explain the absence of inter-band scattering found experimentally. (paper)

  18. Diamond anvil cells using boron-doped diamond electrodes covered with undoped diamond insulating layer

    Science.gov (United States)

    Matsumoto, Ryo; Yamashita, Aichi; Hara, Hiroshi; Irifune, Tetsuo; Adachi, Shintaro; Takeya, Hiroyuki; Takano, Yoshihiko

    2018-05-01

    Diamond anvil cells using boron-doped metallic diamond electrodes covered with undoped diamond insulating layers have been developed for electrical transport measurements under high pressure. These designed diamonds were grown on a bottom diamond anvil via a nanofabrication process combining microwave plasma-assisted chemical vapor deposition and electron beam lithography. The resistance measurements of a high-quality FeSe superconducting single crystal under high pressure were successfully demonstrated by just putting the sample and gasket on the bottom diamond anvil directly. The superconducting transition temperature of the FeSe single crystal was increased to up to 43 K by applying uniaxial-like pressure.

  19. Sn-based Ge/Ge{sub 0.975}Sn{sub 0.025}/Ge p-i-n photodetector operated with back-side illumination

    Energy Technology Data Exchange (ETDEWEB)

    Chang, C.; Li, H.; Huang, S. H.; Cheng, H. H., E-mail: hhcheng@ntu.edu.tw [Center for Condensed Matter Sciences and Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 106, Taiwan (China); Sun, G.; Soref, R. A. [Department of Engineering, University of Massachusetts Boston, Boston, Massachusetts 02125 (United States)

    2016-04-11

    We report an investigation of a GeSn-based p-i-n photodetector grown on a Ge wafer that collects light signal from the back of the wafer. Temperature dependent absorption measurements performed over a wide temperature range (300 K down to 25 K) show that (a) absorption starts at the indirect bandgap of the active GeSn layer and continues up to the direct bandgap of the Ge wafer, and (b) the peak responsivity increases rapidly at first with decreasing temperature, then increases more slowly, followed by a decrease at the lower temperatures. The maximum responsivity happens at 125 K, which can easily be achieved with the use of liquid nitrogen. The temperature dependence of the photocurrent is analyzed by taking into consideration of the temperature dependence of the electron and hole mobility in the active layer, and the analysis result is in reasonable agreement with the data in the temperature regime where the rapid increase occurs. This investigation demonstrates the feasibility of a GeSn-based photodiode that can be operated with back-side illumination for applications in image sensing systems.

  20. Fabrication of high gradient insulators by stack compression

    Science.gov (United States)

    Harris, John Richardson; Sanders, Dave; Hawkins, Steven Anthony; Norona, Marcelo

    2014-04-29

    Individual layers of a high gradient insulator (HGI) are first pre-cut to their final dimensions. The pre-cut layers are then stacked to form an assembly that is subsequently pressed into an HGI unit with the desired dimension. The individual layers are stacked, and alignment is maintained, using a sacrificial alignment tube that is removed after the stack is hot pressed. The HGI's are used as high voltage vacuum insulators in energy storage and transmission structures or devices, e.g. in particle accelerators and pulsed power systems.

  1. Layered Halide Double Perovskites Cs3+nM(II)nSb2X9+3n (M = Sn, Ge) for Photovoltaic Applications.

    Science.gov (United States)

    Tang, Gang; Xiao, Zewen; Hosono, Hideo; Kamiya, Toshio; Fang, Daining; Hong, Jiawang

    2018-01-04

    Over the past few years, the development of lead-free and stable perovskite absorbers with excellent performance has attracted extensive attention. Much effort has been devoted to screening and synthesizing this type of solar cell absorbers. Here, we present a general design strategy for designing the layered halide double perovskites Cs 3+n M(II) n Sb 2 X 9+3n (M = Sn, Ge) with desired photovoltaic-relevant properties by inserting [MX 6 ] octahedral layers, based on the principles of increased electronic dimensionality. Compared to Cs 3 Sb 2 I 9 , more suitable band gaps, smaller carrier effective masses, larger dielectric constants, lower exciton binding energies, and higher optical absorption can be achieved by inserting variable [SnI 6 ] or [GeI 6 ] octahedral layers into the [Sb 2 I 9 ] bilayers. Moreover, our results show that adjusting the thickness of inserted octahedral layers is an effective approach to tune the band gaps and carrier effective masses in a large range. Our work provides useful guidance for designing the promising layered antimony halide double perovskite absorbers for photovoltaic applications.

  2. Measurements of the apparent thermal conductivity of multi-layer insulation between 20 K and 90 K

    International Nuclear Information System (INIS)

    Hurd, Joseph A.; Van Sciver, Steven W.

    2014-01-01

    NASA has the need to efficiently store cryogenic propellants in space for long periods of time. One method to improve storage efficiency is to use multi-layer insulation (MLI), a technique that minimizes the boiling rate due to radiation heat transfer. Typically, the thermal performance of MLI is determined by measuring the rate of evaporation of liquid nitrogen from a calibrated cryostat. The main limitation with this method is that testing conditions are restricted by the boiling temperature of the LN 2 , which may not match the requirements of the application. The Multi-Layer Insulation Thermal Conductivity Experiment (MIKE) at the National High Magnetic Field Laboratory is capable of measuring the effective thermal conductivity of MLI at variable boundary temperatures. MIKE uses cryo-refrigerators to control boundary temperatures in the calorimeter and a calibrated thermal link to measure the heat load. To make the measurements requested by NASA, MIKE needed to be recalibrated for the 20 K to 90 K range. Also, due to the expectation of a lower heat transfer rate, the heat load support rod material was changed to one with a lower thermal conductivity to ensure the temperature difference seen on the cold rod could be measurable at the estimated heat load. Presented are the alterations to MIKE including calibration data and heat load measurements on new load-bearing MLI supplied by NASA

  3. Ion beam induced epitaxy in Ge- and B- coimplanted silicon

    International Nuclear Information System (INIS)

    Hayashi, N.; Hasegawa, M.; Tanoue, H.; Takahashi, H.; Shimoyama, K.; Kuriyama, K.

    1992-01-01

    The epitaxial regrowth of amorphous surface layers in and Si substrate has been studied under irradiation with 400 keV Ar + ions at the temperature range from 300 to 435degC. The amorphous layers were obtained by Ge + implantation, followed by B + implantation. The ion beam assisted epitaxy was found to be sensitive to both the substrate orientation and the implanted Ge concentration, and the layer-by-layer epitaxial regrowth seemed to be precluded in Si layers with high doses of Ge implants, e.g., 2.5 x 10 15 ions/cm 2 . Electrical activation of implanted dopant B was also measured in the recrystallized Si layer. (author)

  4. Measure Guideline. Hybrid Foundation Insulation Retrofits

    Energy Technology Data Exchange (ETDEWEB)

    Ueno, K. [Building Science Corporation, Somerville, MA (United States); Lstiburek, J. [Building Science Corporation, Somerville, MA (United States)

    2012-05-01

    This measure guideline provides recommendations for designs and variations for retrofit hybrid assemblies in improving interior foundation insulation and water management of basements. Variations include closed cell spray foam (ccSPF) with membrane waterproofing or air gap membrane drainage layers, rigid board foam insulation at flat walls (cast concrete or CMU block), a “partial drainage” detail making use of the bulk water drainage that occurs through the field of a rubble stone wall, and non-drained spray foam assemblies (including slab insulation).

  5. Modeling thermal performance of exterior walls retrofitted from insulation and modified laterite based bricks materials

    Science.gov (United States)

    Wati, Elvis; Meukam, Pierre; Damfeu, Jean Claude

    2017-12-01

    Uninsulated concrete block walls commonly found in tropical region have to be retrofitted to save energy. The thickness of insulation layer used can be reduced with the help of modified laterite based bricks layer (with the considerably lower thermal conductivity than that of concrete block layer) during the retrofit building fabrics. The aim of this study is to determine the optimum location and distribution of different materials. The investigation is carried out under steady periodic conditions under the climatic conditions of Garoua in Cameroon using a Simulink model constructed from H-Tools (the library of Simulink models). Results showed that for the continuous air-conditioned space, the best wall configuration from the maximum time lag, minimum decrement factor and peak cooling transmission load perspective, is dividing the insulation layer into two layers and placing one at the exterior surface and the other layer between the two different massive layers with the modified laterite based bricks layer at the interior surface. For intermittent cooling space, the best wall configuration from the minimum energy consumption depends on total insulation thickness. For the total insulation thickness less than 8 cm approximately, the best wall configuration is placing the half layer of insulation material at the interior surface and the other half between the two different massive layers with the modified earthen material at the exterior surface. Results also showed that, the optimum insulation thickness calculated from the yearly cooling transmission (estimated only during the occupied period) and some economic considerations slightly depends on the location of that insulation.

  6. Improved Thermal-Insulation Systems for Low Temperatures

    Science.gov (United States)

    Fesmire, James E.; Augustynowicz, Stanislaw D.

    2003-01-01

    Improved thermal-insulation materials and structures and the techniques for manufacturing them are undergoing development for use in low-temperature applications. Examples of low-temperature equipment for which these thermal insulation systems could provide improved energy efficiency include storage tanks for cryogens, superconducting electric-power-transmission equipment, containers for transport of food and other perishable commodities, and cold boxes for low-temperature industrial processes. These systems could also be used to insulate piping used to transfer cryogens and other fluids, such as liquefied natural gas, refrigerants, chilled water, crude oil, or low-pressure steam. The present thermal-insulation systems are layer composites based partly on the older class of thermal-insulation systems denoted generally as multilayer insulation (MLI). A typical MLI structure includes an evacuated jacket, within which many layers of radiation shields are stacked or wrapped close together. Low-thermal-conductivity spacers are typically placed between the reflection layers to keep them from touching. MLI can work very well when a high vacuum level (less than 10(exp-4) torr) is maintained and utmost care is taken during installation, but its thermal performance deteriorates sharply as the pressure in the evacuated space rises into the soft vacuum range [pressures greater than 0.1 torr (greater than 13 Pa)]. In addition, the thermal performance of MLI is extremely sensitive to mechanical compression and edge effects and can easily decrease from one to two orders of magnitude from its ideal value even when the MLI is kept under high vacuum condition. The present thermal-insulation systems are designed to perform well under soft vacuum level, in particular the range of 1 to 10 torr. They are also designed with larger interlayer spacings to reduce vulnerability to compression (and consequent heat leak) caused by installation and use. The superiority of these systems is the

  7. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    Energy Technology Data Exchange (ETDEWEB)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.; Takenaka, M.; Takagi, S. [Department of Electrical Engineering and Information Systems, The University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656, Japan and JST-CREST, K' s Gobancho 6F, 7 Gobancho, Chiyoda-ku, Tokyo 102-0076 (Japan)

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locate in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.

  8. Biaxially oriented CdTe films on glass substrate through nanostructured Ge/CaF2 buffer layers

    Science.gov (United States)

    Lord, R. J.; Su, P.-Y.; Bhat, I.; Zhang, S. B.; Lu, T.-M.; Wang, G.-C.

    2015-09-01

    Heteroepitaxial CdTe films were grown by metal organic chemical vapor deposition on glass substrates through nanostructured Ge/CaF2 buffer layers which were biaxially oriented. It allows us to explore the structural properties of multilayer biaxial semiconductor films which possess small angle grain boundaries and to test the principle of a solar cell made of such low-cost, low-growth-temperature semiconductor films. Through the x-ray diffraction and x-ray pole figure analysis, the heteroepitaxial relationships of the mutilayered films are determined as [111] in the out-of-plane direction and CdTe//Ge//{ }{{{CaF}}2} in the in-plane direction. The I-V curves measured from an ITO/CdS/CdTe/Ge/CaF2/glass solar cell test structure shows a power conversion efficiency of ˜η = 1.26%, illustrating the initial success of such an approach. The observed non-ideal efficiency is believed to be due to a low shunt resistance and high series resistance as well as some residual large-angle grain boundary effects, leaving room for significant further improvement.

  9. Luminescence properties of ZnO layers grown on Si-on-insulator substrates

    International Nuclear Information System (INIS)

    Kumar, Bhupendra; Gong, Hao; Vicknesh, S.; Chua, S. J.; Tripathy, S.

    2006-01-01

    The authors report on the photoluminescence properties of polycrystalline ZnO thin films grown on compliant silicon-on-insulator (SOI) substrates by radio frequency magnetron sputtering. The ZnO thin films on SOI were characterized by micro-Raman and photoluminescence (PL) spectroscopy. The observation of E 2 high optical phonon mode near 438 cm -1 in the Raman spectra of the ZnO samples represents the wurtzite crystal structure. Apart from the near-band-edge free exciton (FX) transition around 3.35 eV at 77 K, the PL spectra of such ZnO films also showed a strong defect-induced violet emission peak in the range of 3.05-3.09 eV. Realization of such ZnO layers on SOI would be useful for heterointegration with SOI-based microelectronics and microelectromechanical systems

  10. High-performance insulator structures for accelerator applications

    International Nuclear Information System (INIS)

    Sampayan, S.E.; Caporaso, G.J.; Sanders, D.M.; Stoddard, R.D.; Trimble, D.O.; Elizondo, J.; Krogh, M.L.; Wieskamp, T.F.

    1997-05-01

    A new, high gradient insulator technology has been developed for accelerator systems. The concept involves the use of alternating layers of conductors and insulators with periods of order 1 mm or less. These structures perform many times better (about 1.5 to 4 times higher breakdown electric field) than conventional insulators in long pulse, short pulse, and alternating polarity applications. We describe our ongoing studies investigating the degradation of the breakdown electric field resulting from alternate fabrication techniques, the effect of gas pressure, the effect of the insulator-to-electrode interface gap spacing, and the performance of the insulator structure under bi-polar stress

  11. Novel Synchronous Linear and Rotatory Micro Motors Based on Polymer Magnets with Organic and Inorganic Insulation Layers

    Directory of Open Access Journals (Sweden)

    Andreas WALDSCHIK

    2008-12-01

    Full Text Available In this work, we report on the development of several synchronous motors with rotatory or linear movements. The synchronous micro motors are brushless DC motors or stepper motors with electrically controlled commutation consisting of a stator and a rotor. The rotor is mounted onto the stator and is adjusted by an integrated guidance. Inside the stator different coil systems are realized, like double layer sector coils or special nested coils. The coil systems can be controlled by three or six phases depending on the operational mode. Furthermore, inorganic insulation layers were used in order to reduce the thickness of the system. By this means four layers of electrical conductors can be realized especially for the 2D devices. The smallest diameter of the rotatory motor is 1 mm and could be successfully driven.

  12. Simulation studies of current transport in metal-insulator-semiconductor Schottky barrier diodes

    International Nuclear Information System (INIS)

    Chand, Subhash; Bala, Saroj

    2007-01-01

    The current-voltage characteristics of Schottky diodes with an interfacial insulator layer are analysed by numerical simulation. The current-voltage data of the metal-insulator-semiconductor Schottky diode are simulated using thermionic emission diffusion (TED) equation taking into account an interfacial layer parameter. The calculated current-voltage data are fitted into ideal TED equation to see the apparent effect of interfacial layer parameters on current transport. Results obtained from the simulation studies shows that with mere presence of an interfacial layer at the metal-semiconductor interface the Schottky contact behave as an ideal diode of apparently high barrier height (BH), but with same ideality factor and series resistance as considered for a pure Schottky contact without an interfacial layer. This apparent BH decreases linearly with decreasing temperature. The effects giving rise to high ideality factor in metal-insulator-semiconductor diode are analysed. Reasons for observed temperature dependence of ideality factor in experimentally fabricated metal-insulator-semiconductor diodes are analysed and possible mechanisms are discussed

  13. The inaccuracy of heat transfer characteristics for non-insulated and insulated spherical containers neglecting the influence of heat radiation

    International Nuclear Information System (INIS)

    Wong, King-Leung; Salazar, Jose Luis Leon; Prasad, Leo; Chen, Wen-Lih

    2011-01-01

    In this investigation, the differences of heat transfer characteristics for insulated and non-insulated spherical containers between considering and neglecting the influence of heat radiation are studied by the simulations in some practical situations. It is found that the heat radiation effect cannot be ignored in conditions of low ambient convection heat coefficients (such ambient air) and high surface emissivities, especially for the non-insulated and thin insulated cases. In most practical situations when ambient temperature is different from surroundings temperature and the emissivity of insulation surface is different from that of metal wall surface, neglecting heat radiation will result in inaccurate insulation effect and heat transfer errors even with very thick insulation. However, the insulation effect considering heat radiation will only increase a very small amount after some dimensionless insulated thickness (such insulation thickness/radius ≥0.2 in this study), thus such dimensionless insulated thickness can be used as the optimum thickness in practical applications. Meanwhile, wrapping a material with low surface emissivity (such as aluminum foil) around the oxidized metal wall or insulation layer (always with high surface emissivity) can achieve very good insulated effect for the non-insulated or thin insulated containers.

  14. Investigation on the dominant key to achieve superior Ge surface passivation by GeOx based on the ozone oxidation

    International Nuclear Information System (INIS)

    Wang, Xiaolei; Xiang, Jinjuan; Wang, Wenwu; Xiong, Yuhua; Zhang, Jing; Zhao, Chao

    2015-01-01

    Highlights: • The dominant key to achieve superior Ge passivation by GeO x is investigated. • The interface state density decreases with increasing the GeO x thickness. • The Ge 3+ oxide component is the dominant key to passivate the Ge surface. • The atomic structure at the GeO x /Ge interface is built by XPS. - Abstract: The dominant key to achieve superior Ge surface passivation by GeO x interfacial layer is investigated based on ozone oxidation. The interface state density (D it ) measured from low temperature conduction method is found to decrease with increasing the GeO x thickness (0.26–1.06 nm). The X-ray photoelectron spectroscopy (XPS) is employed to demonstrate the interfacial structure of GeO x /Ge with different GeO x thicknesses. And the XPS results show that Ge 3+ oxide component is responsible to the decrease of the D it due to the effective passivation of Ge dangling bonds. Therefore, the formation of Ge 3+ component is the dominant key to achieve low D it for Ge gate stacks. Our work confirms that the same physical mechanism determines the Ge surface passivation by the GeO x regardless of the oxidation methods to grow the GeO x interfacial layer. As a result, to explore a growth process that can realize sufficient Ge 3+ component in the GeO x interlayer as thin as possible is important to achieve both equivalent oxide thickness scaling and superior interfacial property simultaneously. This conclusion is helpful to engineer the optimization of the Ge gate stacks.

  15. Impact of nitrogen plasma passivation on the Al/n-Ge contact

    Energy Technology Data Exchange (ETDEWEB)

    Lai, Shumei; Mao, Danfeng [Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China); Ruan, Yujiao [Xiamen Institute of Measurement and Testing, Xiamen, Fujian 361004 (China); Xu, Yihong; Huang, Zhiwei; Huang, Wei [Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China); Chen, Songyan, E-mail: sychen@xmu.edu.cn [Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China); Li, Cheng; Wang, Jianyuan [Department of Physics, Xiamen University, Xiamen, Fujian 361005 (China); Tang, Dingliang [College of Chemistry and Chemical Engineering, Xiamen University, Xiamen, Fujian 361005 (China)

    2016-09-15

    Highlights: • A thin GeO{sub x}N{sub y} layer was formed by N{sub 2} plasma. • The principal parameters of N{sub 2} plasma treatment and additional post anneal have a great impact on the Al/n-Ge contact. • A model was proposed to explain the variation of Schottky barrier height. • The GeO{sub x}N{sub y} layer was also benefit to achieve a low leakage current density for HfO{sub 2}/Ge MOS capacitors. - Abstract: Severe Fermi level pinning at the interface of metal/n-Ge leads to the formation of a Schottky barrier. Therefore, a high contact resistance is introduced, debasing the performance of Ge devices. In this study, a Ge surface was treated by nitrogen plasma to form an ultra-thin Germanium oxynitride (GeO{sub x}N{sub y}) passivation layer. It was found that the Schottky barrier height (SBH) of metal/n-Ge contact was strongly modulated by the GeO{sub x}N{sub y} interlayer, indicating alleviation of Fermi-level pinning effect. By adjusting the principal parameters of N{sub 2} plasma treatment and additional post anneal, a Quasi-ohmic Al/n-Ge contact was achieved. Furthermore, the introduced GeO{sub x}N{sub y} layer gave extremely lower leakage current density of the gate stack for HfO{sub 2}/Ge devices. These results demonstrate that GeO{sub x}N{sub y} formed by N{sub 2} plasma would be greatly beneficial to the fabrication of the Ge-based devices.

  16. Measure Guideline: Hybrid Foundation Insulation Retrofits

    Energy Technology Data Exchange (ETDEWEB)

    Ueno, K.; Lstiburek, J.

    2012-05-01

    This measure guideline provides recommendations for designs and variations for retrofit hybrid assemblies in improving interior foundation insulation and water management of basements. Variations include closed cell spray foam (ccSPF) with membrane waterproofing or air gap membrane drainage layers, rigid board foam insulation at flat walls (cast concrete or CMU block), a 'partial drainage' detail making use of the bulk water drainage that occurs through the field of a rubble stone wall, and non-drained spray foam assemblies (including slab insulation).

  17. Electrical circuit model of ITO/AZO/Ge photodetector.

    Science.gov (United States)

    Patel, Malkeshkumar; Kim, Joondong

    2017-10-01

    In this data article, ITO/AZO/Ge photodetector was investigated for electrical circuit model. Due to the double (ITO and AZO) transparent metal-oxide films (DOI:10.1016/j.mssp.2016.03.007) (Yun et al., 2016) [1], the Ge heterojunction device has a better interface quality due to the AZO layer with a low electrical resistance due to the ITO layer (Yun et al., 2015) [2]. The electrical and interfacial benefitted ITO/AZO/Ge heterojunction shows the quality Schottky junction. In order to investigate the device, the ITO/AZO/Ge heterojunction was analyzed by R-C circuit model using the impedance spectroscopy.

  18. Wrapped Multilayer Insulation

    Science.gov (United States)

    Dye, Scott A.

    2015-01-01

    New NASA vehicles, such as Earth Departure Stage (EDS), Orion, landers, and orbiting fuel depots, need improved cryogenic propellant transfer and storage for long-duration missions. Current cryogen feed line multilayer insulation (MLI) performance is 10 times worse per area than tank MLI insulation. During each launch, cryogenic piping loses approximately 150,000 gallons (equivalent to $300,000) in boil-off during transfer, chill down, and ground hold. Quest Product Development Corp., teaming with Ball Aerospace, developed an innovative advanced insulation system, Wrapped MLI (wMLI), to provide improved thermal insulation for cryogenic feed lines. wMLI is high-performance multilayer insulation designed for cryogenic piping. It uses Quest's innovative discrete-spacer technology to control layer spacing/ density and reduce heat leak. The Phase I project successfully designed, built, and tested a wMLI prototype with a measured heat leak 3.6X lower than spiral-wrapped conventional MLI widely used for piping insulation. A wMLI prototype had a heat leak of 7.3 W/m2, or 27 percent of the heat leak of conventional MLI (26.7 W/m2). The Phase II project is further developing wMLI technology with custom, molded polymer spacers and advancing the product toward commercialization via a rigorous testing program, including developing advanced vacuuminsulated pipe for ground support equipment.

  19. Improved thermal stability and hole mobilities in a strained-Si/strained-Si1-yGe y/strained-Si heterostructure grown on a relaxed Si1-xGe x buffer

    International Nuclear Information System (INIS)

    Gupta, Saurabh; Lee, Minjoo L.; Isaacson, David M.; Fitzgerald, Eugene A.

    2005-01-01

    A dual channel heterostructure consisting of strained-Si/strained-Si 1-y Ge y on relaxed Si 1-x Ge x (y > x), provides a platform for fabricating metal-oxide-semiconductor field-effect transistors (MOSFETs) with high hole mobilities (μ eff ) which depend directly on Ge concentration and strain in the strained-Si 1-y Ge y layer. Ge out-diffuses from the strained-Si 1-y Ge y layer into relaxed Si 1-x Ge x during high temperature processing, reducing peak Ge concentration and strain in the strained-Si 1-y Ge y layer and degrades hole μ eff in these dual channel heterostructures. A heterostructure consisting of strained-Si/strained-Si 1-y Ge y /strained-Si, referred to as a trilayer heterostructure, grown on relaxed Si 1-x Ge x has much reduced Ge out-flux from the strained-Si 1-y Ge y layer and retains higher μ eff after thermal processing. Improved hole μ eff over similar dual channel heterostructures is also observed in this heterostructure. This could be a result of preventing the hole wavefunction tunneling into the low μ eff relaxed Si 1-x Ge x layer due to the additional valence band offset provided by the underlying strained-Si layer. A diffusion coefficient has been formulated and implemented in a finite difference scheme for predicting the thermal budget of the strained SiGe heterostructures. It shows that the trilayer heterostructures have superior thermal budgets at higher Ge concentrations. Ring-shaped MOSFETs were fabricated on both platforms and subjected to various processing temperatures in order to compare the extent of μ eff reduction with thermal budget. Hole μ eff enhancements are retained to a much higher extent in a trilayer heterostructure after high temperature processing as compared to a dual channel heterostructure. The improved thermal stability and hole μ eff of a trilayer heterostructure makes it an ideal platform for fabricating high μ eff MOSFETs that can be processed over higher temperatures without significant losses in hole

  20. Ge nanoclusters in PECVD-deposited glass caused only by heat treatment

    DEFF Research Database (Denmark)

    Ou, Haiyan; Rørdam, Troels Peter; Rottwitt, Karsten

    2008-01-01

    This paper reports the formation of Ge nanoclusters in a multi-layer structure consisting of alternating thin films of Ge-doped silica glass and SiGe, deposited by plasma-enhanced chemical vapor deposition (PECVD) and post annealed at 1100 °C in N2 atmosphere. We studied the annealed samples...... embedded with Ge nanoclusters after annealing. These nanoclusters are crystalline and varied in size. There were no clusters in the Ge-doped glass layer. Raman spectra verified the existence of crystalline Ge clusters. The positional shift of the Ge vibrational peak with the change of the focus depth...

  1. HfO2 as gate dielectric on Ge: Interfaces and deposition techniques

    International Nuclear Information System (INIS)

    Caymax, M.; Van Elshocht, S.; Houssa, M.; Delabie, A.; Conard, T.; Meuris, M.; Heyns, M.M.; Dimoulas, A.; Spiga, S.; Fanciulli, M.; Seo, J.W.; Goncharova, L.V.

    2006-01-01

    To fabricate MOS gate stacks on Ge, one can choose from a multitude of metal oxides as dielectric material which can be deposited by many chemical or physical vapor deposition techniques. As a few typical examples, we will discuss here the results from atomic layer deposition (ALD), metal organic CVD (MOCVD) and molecular beam deposition (MBD) using HfO 2 /Ge as materials model system. It appears that a completely interface layer free HfO 2 /Ge combination can be made in MBD, but this results in very bad capacitors. The same bad result we find if HfGe y (Hf germanides) are formed like in the case of MOCVD on HF-dipped Ge. A GeO x interfacial layer appears to be indispensable (if no other passivating materials are applied), but the composition of this interfacial layer (as determined by XPS, TOFSIMS and MEIS) is determining for the C/V quality. On the other hand, the presence of Ge in the HfO 2 layer is not the most important factor that can be responsible for poor C/V, although it can still induce bumps in C/V curves, especially in the form of germanates (Hf-O-Ge). We find that most of these interfacial GeO x layers are in fact sub-oxides, and that this could be (part of) the explanation for the high interfacial state densities. In conclusion, we find that the Ge surface preparation is determining for the gate stack quality, but it needs to be adapted to the specific deposition technique

  2. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  3. Temperature-dependent evolution of the wetting layer thickness during Ge deposition on Si(001).

    Science.gov (United States)

    Bergamaschini, R; Brehm, M; Grydlik, M; Fromherz, T; Bauer, G; Montalenti, F

    2011-07-15

    The evolution of the wetting layer (WL) thickness during Ge deposition on Si(001) is analyzed with the help of a rate-equation approach. The combined role of thickness, island volume and shape-dependent chemical potentials is considered. Several experimental observations, such as WL thinning following the pyramid-to-dome transformation, are captured by the model, as directly demonstrated by a close comparison with photoluminescence measurements (PL) on samples grown at three different temperatures. The limitations of the model in describing late stages of growth are critically addressed.

  4. Structure, Mechanism, and Application of Vacuum Insulation Panels in Chinese Buildings

    Directory of Open Access Journals (Sweden)

    Changhai Peng

    2016-01-01

    Full Text Available Thermal insulation is one of the most used approaches to reduce energy consumption in buildings. Vacuum insulation panels (VIPs are new thermal insulation materials that have been used in the domestic and overseas market in the last 20 years. Due to the vacuum thermal insulation technology of these new materials, their thermal conductivity can be as low as 0.004 W/(m·K at the center of panels. In addition, VIPs that are composites with inorganic core and an envelope out of commonly three metallized PET layers and a PE sealing layer can provide B class fire resistance (their core materials are not flammable and are classified as A1. Compared with other conventional thermal insulation materials, the thermal insulation and fire resistance performances form the foundation of VIP’s applications in the construction industry. The structure and thermal insulation mechanism of VIP and their application potential and problems in Chinese buildings are described in detail.

  5. Controllable growth of stable germanium dioxide ultra-thin layer by means of capacitively driven radio frequency discharge

    Energy Technology Data Exchange (ETDEWEB)

    Svarnas, P., E-mail: svarnas@ece.upatras.gr [High Voltage Laboratory, Department of Electrical and Computer Engineering, University of Patras, Rion 26 504, Patras (Greece); Botzakaki, M.A. [Department of Physics, University of Patras, Rion 26 504 (Greece); Skoulatakis, G.; Kennou, S.; Ladas, S. [Surface Science Laboratory, Department of Chemical Engineering, University of Patras, Rion 26 504 (Greece); Tsamis, C. [NCSR “Demokritos”, Institute of Advanced Materials, Physicochemical Processes, Nanotechnology & Microsystems, Aghia Paraskevi 15 310, Athens (Greece); Georga, S.N.; Krontiras, C.A. [Department of Physics, University of Patras, Rion 26 504 (Greece)

    2016-01-29

    It is well recognized that native oxide of germanium is hygroscopic and water soluble, while germanium dioxide is thermally unstable and it is converted to volatile germanium oxide at approximately 400 °C. Different techniques, implementing quite complicated plasma setups, gas mixtures and substrate heating, have been used in order to grow a stable germanium oxide. In the present work a traditional “RF diode” is used for germanium oxidation by cold plasma. Following growth, X-ray photoelectron spectroscopy demonstrates that traditional capacitively driven radio frequency discharges, using molecular oxygen as sole feedstock gas, provide the possibility of germanium dioxide layer growth in a fully reproducible and controllable manner. Post treatment ex-situ analyses on day-scale periods disclose the stability of germanium oxide at room ambient conditions, offering thus the ability to grow (ex-situ) ultra-thin high-k dielectrics on top of germanium oxide layers. Atomic force microscopy excludes any morphological modification in respect to the bare germanium surface. These results suggest a simple method for a controllable and stable germanium oxide growth, and contribute to the challenge to switch to high-k dielectrics as gate insulators for high-performance metal-oxide-semiconductor field-effect transistors and to exploit in large scale the superior properties of germanium as an alternative channel material in future technology nodes. - Highlights: • Simple one-frequency reactive ion etcher develops GeO{sub 2} thin layers controllably. • The layers remain chemically stable at ambient conditions over day-scale periods. • The layers are unaffected by the ex-situ deposition of high-k dielectrics onto them. • GeO{sub 2} oxidation and high-k deposition don't affect the Ge morphology significantly. • These conditions contribute to improved Ge-based MOS structure fabrication.

  6. Investigation of Vacuum Insulator Surface Dielectric Strength with Nanosecond Pulses

    International Nuclear Information System (INIS)

    Nunnally, W.C.; Krogh, M.; Williams, C.; Trimble, D.; Sampayan, S.; Caporaso, G.

    2003-01-01

    The maximum vacuum insulator surface dielectric strength determines the acceleration electric field gradient possible in a short pulse accelerator. Previous work has indicated that higher electric field strengths along the insulator-vacuum interface might be obtained as the pulse duration is decreased. In this work, a 250 kV, single ns wide impulse source was applied to small diameter, segmented insulators samples in a vacuum to evaluate the multi-layer surface dielectric strength of the sample construction. Resonances in the low inductance test geometry were used to obtain unipolar, pulsed electric fields in excess of 100 MV/m on the insulator surface. The sample construction, experimental arrangement and experimental results are presented for the initial data in this work. Modeling of the multi-layer structure is discussed and methods of improving insulator surface dielectric strength in a vacuum are proposed

  7. Sustainable wall construction and exterior insulation retrofit technology process and structure

    Science.gov (United States)

    Vohra, Arun

    2000-01-01

    A low-cost process for exterior wall insulation retrofit, or new wall construction by stacking layers of fabric tube filled with insulating material against a wall and covering them with mesh and stucco provides a durable structure with good insulating value.

  8. Fabrication and performance of ACTFEL display devices using manganese-doped zinc germanate as a green-emitting electroluminescent layer

    International Nuclear Information System (INIS)

    Kim, Joo Han; Yoon, Kyung Ho

    2010-01-01

    Alternating-current thin-film electroluminescent (ACTFEL) display devices fabricated using manganese-doped zinc germanate (Zn 2 GeO 4 :Mn) as a green-emitting electroluminescent layer material are described. The ACTFEL display devices were fabricated with a standard bottom emission structure having a multilayer stack of thin films in the metal/semiconductor/insulator/ metal (MSIM) configuration. The device was constructed on a transparent Corning glass substrate through which the emitted EL light passed. The Zn 2 GeO 4 :Mn emission layer was synthesized by using a RF magnetron sputter deposition method, followed by post-annealing at 700 .deg. C in air ambient for 1 hour. The obtained Zn 2 GeO 4 :Mn films were found to be polycrystalline with a rhombohedral crystal structure. A green emission spectrum with a maximum at approximately 538 nm was produced from the fabricated device. The chromaticity color coordinates of the EL emission were measured to be x = 0.308 and y = 0.657. The device demonstrated a sharp increase in the intensity of green EL emission upon increasing the AC peak voltage applied to the device above a threshold of 148 V.

  9. Electrical circuit model of ITO/AZO/Ge photodetector

    Directory of Open Access Journals (Sweden)

    Malkeshkumar Patel

    2017-10-01

    Full Text Available In this data article, ITO/AZO/Ge photodetector was investigated for electrical circuit model. Due to the double (ITO and AZO transparent metal-oxide films (DOI:10.1016/j.mssp.2016.03.007 (Yun et al., 2016 [1], the Ge heterojunction device has a better interface quality due to the AZO layer with a low electrical resistance due to the ITO layer (Yun et al., 2015 [2]. The electrical and interfacial benefitted ITO/AZO/Ge heterojunction shows the quality Schottky junction. In order to investigate the device, the ITO/AZO/Ge heterojunction was analyzed by R–C circuit model using the impedance spectroscopy.

  10. Optical and structural characterization of Ge clusters embedded in ZrO2

    Science.gov (United States)

    Agocs, E.; Zolnai, Z.; Rossall, A. K.; van den Berg, J. A.; Fodor, B.; Lehninger, D.; Khomenkova, L.; Ponomaryov, S.; Gudymenko, O.; Yukhymchuk, V.; Kalas, B.; Heitmann, J.; Petrik, P.

    2017-11-01

    The change of optical and structural properties of Ge nanoclusters in ZrO2 matrix have been investigated by spectroscopic ellipsometry versus annealing temperatures. Radio-frequency top-down magnetron sputtering approach was used to produce the samples of different types, i.e. single-layers of pure Ge, pure ZrO2 and Ge-rich-ZrO2 as well as multi-layers stacked of 40 periods of 5-nm-Ge-rich-ZrO2 layers alternated by 5-nm-ZrO2 ones. Germanium nanoclusters in ZrO2 host were formed by rapid-thermal annealing at 600-800 °C during 30 s in nitrogen atmosphere. Reference optical properties for pure ZrO2 and pure Ge have been extracted using single-layer samples. As-deposited multi-layer structures can be perfectly modeled using the effective medium theory. However, annealed multi-layers demonstrated a significant diffusion of elements that was confirmed by medium energy ion scattering measurements. This fact prevents fitting of such annealed structure either by homogeneous or by periodic multi-layer models.

  11. Evaluation of conjugate, radial heat transfer in an internally insulated composite pipe

    NARCIS (Netherlands)

    Reurings, C.; Koussios, S.; Bergsma, O.K.; Vergote, K.

    2015-01-01

    In order to compete with steel, a fibre-reinforced composite exhaust wall with a general-purpose resin system requires an effective but lightweight insulation layer. However a lack of experimental methods for heat transfer from turbulent gas flow to pipe walls lined with a porous insulation layer

  12. Insulator-insulator and insulator-conductor transitions in the phase diagram of aluminium trichloride

    Directory of Open Access Journals (Sweden)

    Romina Ruberto

    2009-01-01

    Full Text Available We report a classical computer-simulation study of the phase diagram of AlCl3 in the pressure-temperature (p, T plane, showing (i that melting from a layered crystal structure occurs into a molecular liquid at low (p, T and into a dissociated ionic liquid at high (p, T, and (ii that a broad transition from a molecular insulator to an ionic conductor takes place in the liquid state.

  13. The effects of imperfect insulator coatings on MHD and heat transfer in rectangular duct

    International Nuclear Information System (INIS)

    Ying, A.Y.; Gaizer, A.A.

    1994-01-01

    In self cooled liquid metal blankets, the use of an insulator coating to reduce the flow of the eddy current to the structure leads to a significant reduction in MHD pressure drop. Furthermore, this insulating layer alters the velocity structure by reducing the potential difference between the side wall and boundary layer. The questions which arise are: (1) How the imperfections in the insulator coating affect the velocity profiles and their consequent impacts on heat transfer performance?; and, (2) How much crack can lead to an unacceptable MHD pressure drop? The dynamics of the crack healing in an insulator coating duct is one of the important subjects requiring study. The purpose of this work is to present numerical simulations of fully developed MHD flow and developing heat transfer characteristics in imperfectly insulated ducts, and to quantify the influences of crack locations, sizes and resistivities on 2-D MHD pressure drops. Comparisons of finite element solutions of pressure drops in partially insulated ducts with analytical solutions obtained from a circuit analogy show excellent agreement. In addition, the remarkable side layer velocity profile observed in a laminar MHD flow of a conducting duct gradually diminishes as the resistance of the insulating layer increases. The average side wall Nusselt number drops by a factor of 2 as the duct becomes fully insulated

  14. Pseudo single crystal, direct-band-gap Ge{sub 0.89}Sn{sub 0.11} on amorphous dielectric layers towards monolithic 3D photonic integration

    Energy Technology Data Exchange (ETDEWEB)

    Li, Haofeng; Brouillet, Jeremy; Wang, Xiaoxin; Liu, Jifeng, E-mail: Jifeng.Liu@dartmouth.edu [Thayer School of Engineering, Dartmouth College, Hanover, New Hampshire 03755 (United States)

    2014-11-17

    We demonstrate pseudo single crystal, direct-band-gap Ge{sub 0.89}Sn{sub 0.11} crystallized on amorphous layers at <450 °C towards 3D Si photonic integration. We developed two approaches to seed the lateral single crystal growth: (1) utilize the Gibbs-Thomson eutectic temperature depression at the tip of an amorphous GeSn nanotaper for selective nucleation; (2) laser-induced nucleation at one end of a GeSn strip. Either way, the crystallized Ge{sub 0.89}Sn{sub 0.11} is dominated by a single grain >18 μm long that forms optoelectronically benign twin boundaries with others grains. These pseudo single crystal, direct-band-gap Ge{sub 0.89}Sn{sub 0.11} patterns are suitable for monolithic 3D integration of active photonic devices on Si.

  15. Optical and structural characterization of Ge clusters embedded in ZrO2

    OpenAIRE

    Agocs, E; Zolnai, Z.; Rossall, A. K.; Van den Berg, Jakob; Fodor, B.; Lehninger, D.; Khomenkova, L.; Ponomaryov, S.; Gudymenko, O.; Yukhymchuk, V.; Kalas, B.; Heitmann, J.; Petrik, P.

    2017-01-01

    The change of optical and structural properties of Ge nanoclusters in ZrO2 matrix have been investigated by spectroscopic ellipsometry versus annealing temperatures. Radio-frequency top-down magnetron sputtering approach was used to produce the samples of different types, i.e. single-layers of pure Ge, pure ZrO2 and Ge-rich-ZrO2 as well as multi-layers stacked of 40 periods of 5-nm-Ge-rich-ZrO2 layers alternated by 5-nm-ZrO2 ones. Germanium nanoclusters in ZrO2 host were formed by rapid-therm...

  16. Experimental evidence of zone-center optical phonon softening by accumulating holes in thin Ge

    Directory of Open Access Journals (Sweden)

    Shoichi Kabuyanagi

    2016-01-01

    Full Text Available We discuss the impact of free carriers on the zone-center optical phonon frequency in germanium (Ge. By taking advantage of the Ge-on-insulator structure, we measured the Raman spectroscopy by applying back-gate bias. Phonon softening by accumulating holes in Ge film was clearly observed. This fact strongly suggests that the phonon softening in heavily-doped Ge is mainly attributed to the free carrier effect rather than the dopant atom counterpart. Furthermore, we propose that the free carrier effect on phonon softening is simply understandable from the viewpoint of covalent bonding modification by free carriers.

  17. Metal-doped graphene layers composed with boron nitride-graphene as an insulator: a nano-capacitor.

    Science.gov (United States)

    Monajjemi, Majid

    2014-11-01

    A model of a nanoscale dielectric capacitor composed of a few dopants has been investigated in this study. This capacitor includes metallic graphene layers which are separated by an insulating medium containing a few h-BN layers. It has been observed that the elements from group IIIA of the periodic table are more suitable as dopants for hetero-structures of the {metallic graphene/hBN/metallic graphene} capacitors compared to those from groups IA or IIA. In this study, we have specifically focused on the dielectric properties of different graphene/h-BN/graphene including their hetero-structure counterparts, i.e., Boron-graphene/h-BN/Boron-graphene, Al-graphene/h-BN/Al-graphene, Mg-graphene/h-BN/Mg-graphene, and Be-graphene/h-BN/Be-graphene stacks for monolayer form of dielectrics. Moreover, we studied the multi dielectric properties of different (h-BN)n/graphene hetero-structures of Boron-graphene/(h-BN)n/Boron-graphene.

  18. Ultrathin silicon oxynitride layer on GaN for dangling-bond-free GaN/insulator interface.

    Science.gov (United States)

    Nishio, Kengo; Yayama, Tomoe; Miyazaki, Takehide; Taoka, Noriyuki; Shimizu, Mitsuaki

    2018-01-23

    Despite the scientific and technological importance of removing interface dangling bonds, even an ideal model of a dangling-bond-free interface between GaN and an insulator has not been known. The formation of an atomically thin ordered buffer layer between crystalline GaN and amorphous SiO 2 would be a key to synthesize a dangling-bond-free GaN/SiO 2 interface. Here, we predict that a silicon oxynitride (Si 4 O 5 N 3 ) layer can epitaxially grow on a GaN(0001) surface without creating dangling bonds at the interface. Our ab initio calculations show that the GaN/Si 4 O 5 N 3 structure is more stable than silicon-oxide-terminated GaN(0001) surfaces. The electronic properties of the GaN/Si 4 O 5 N 3 structure can be tuned by modifying the chemical components near the interface. We also propose a possible approach to experimentally synthesize the GaN/Si 4 O 5 N 3 structure.

  19. Electron Band Alignment at Interfaces of Semiconductors with Insulating Oxides: An Internal Photoemission Study

    Directory of Open Access Journals (Sweden)

    Valeri V. Afanas'ev

    2014-01-01

    Full Text Available Evolution of the electron energy band alignment at interfaces between different semiconductors and wide-gap oxide insulators is examined using the internal photoemission spectroscopy, which is based on observations of optically-induced electron (or hole transitions across the semiconductor/insulator barrier. Interfaces of various semiconductors ranging from the conventional silicon to the high-mobility Ge-based (Ge, Si1-xGex, Ge1-xSnx and AIIIBV group (GaAs, InxGa1-xAs, InAs, GaP, InP, GaSb, InSb materials were studied revealing several general trends in the evolution of band offsets. It is found that in the oxides of metals with cation radii larger than ≈0.7 Å, the oxide valence band top remains nearly at the same energy (±0.2 eV irrespective of the cation sort. Using this result, it becomes possible to predict the interface band alignment between oxides and semiconductors as well as between dissimilar insulating oxides on the basis of the oxide bandgap width which are also affected by crystallization. By contrast, oxides of light elements, for example, Be, Mg, Al, Si, and Sc exhibit significant shifts of the valence band top. General trends in band lineup variations caused by a change in the composition of semiconductor photoemission material are also revealed.

  20. Dependence of magnetic properties on ferromagnetic layer thickness in trilayer Co/Ge/Co films with granular semiconducting spacer

    International Nuclear Information System (INIS)

    Patrin, G.S.; Lee, C.-G.; Turpanov, I.A.; Zharkov, S.M.; Velikanov, D.A.; Maltsev, V.K.; Li, L.A.; Lantsev, V.V.

    2006-01-01

    We have investigated the magnetic properties of trilayer films of Co-Ge-Co. At a fixed thickness of germanium of 3.5 nm, the formation and distribution of metastable amorphous and cubic phases depends on the thickness of the ferromagnetic layer. The portion of the stable hexagonal phase is affected, too. Possible mechanisms for forming the observed magnetic structure are discussed

  1. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    International Nuclear Information System (INIS)

    Onojima, Norio; Kasamatsu, Akihumi; Hirose, Nobumitsu; Mimura, Takashi; Matsui, Toshiaki

    2008-01-01

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g m ) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f T compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel

  2. C-V characterization of Schottky- and MIS-gate SiGe/Si HEMT structures

    Energy Technology Data Exchange (ETDEWEB)

    Onojima, Norio [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)], E-mail: nonojima@nict.go.jp; Kasamatsu, Akihumi; Hirose, Nobumitsu [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Mimura, Takashi [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan); Fujitsu Laboratories Ltd., Atsugi, Kanagawa 243-0197 (Japan); Matsui, Toshiaki [National Institute of Information and Communications Technology (NICT), Koganei, Tokyo 184-8795 (Japan)

    2008-07-30

    Electrical properties of Schottky- and metal-insulator-semiconductor (MIS)-gate SiGe/Si high electron mobility transistors (HEMTs) were investigated with capacitance-voltage (C-V) measurements. The MIS-gate HEMT structure was fabricated using a SiN gate insulator formed by catalytic chemical vapor deposition (Cat-CVD). The Cat-CVD SiN thin film (5 nm) was found to be an effective gate insulator with good gate controllability and dielectric properties. We previously investigated device characteristics of sub-100-nm-gate-length Schottky- and MIS-gate HEMTs, and reported that the MIS-gate device had larger maximum drain current density and transconductance (g{sub m}) than the Schottky-gate device. The radio frequency (RF) measurement of the MIS-gate device, however, showed a relatively lower current gain cutoff frequency f{sub T} compared with that of the Schottky-gate device. In this study, C-V characterization of the MIS-gate HEMT structure demonstrated that two electron transport channels existed, one at the SiGe/Si buried channel and the other at the SiN/Si surface channel.

  3. Humidity-dependent stability of amorphous germanium nitrides fabricated by plasma nitridation

    International Nuclear Information System (INIS)

    Kutsuki, Katsuhiro; Okamoto, Gaku; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2007-01-01

    We have investigated the stability of amorphous germanium nitride (Ge 3 N 4 ) layers formed by plasma nitridation of Ge(100) surfaces using x-ray photoelectron spectroscopy and atomic force microscopy. We have found that humidity in the air accelerates the degradation of Ge 3 N 4 layers and that under 80% humidity condition, most of the Ge-N bonds convert to Ge-O bonds, producing a uniform GeO 2 layer, within 12 h even at room temperature. After this conversion of nitrides to oxides, the surface roughness drastically increased by forming GeO 2 islands on the surfaces. These findings indicate that although Ge 3 N 4 layers have superior thermal stability compared to the GeO 2 layers, Ge 3 N 4 reacts readily with hydroxyl groups and it is therefore essential to take the best care of the moisture in the fabrication of Ge-based devices with Ge 3 N 4 insulator or passivation layers

  4. Interfacial processes in the Pd/a-Ge:H system

    Science.gov (United States)

    Edelman, F.; Cytermann, C.; Brener, R.; Eizenberg, M.; Weil, R.; Beyer, W.

    1993-06-01

    The kinetics of phase transformation has been studied in a two-layer structure of Pd/a-Ge:H after vacuum annealing at temperatures from 180 to 500°C. The a-Ge:H was deposited at 250°C on both c-Si and cleaved NaCl substrates in an RF glow discharge from a GeH 4/H 2 mixture. It was found that, similarly to the Pd/c-Ge and the Pd/a-Ge (e-gun deposited) systems, in the case of 0.15-0.2 μm Pd/0.6-1.0 μm a-Ge:H interfacial germanides formed first through the production of Pd 2Ge (plus a small amount of PdGe), and then PdGe was produced. The growth of both compounds was found to be diffusion-controlled. The nonreacted a-Ge:H layer beneath the germanide overlayer crystallized at 400-500°C. A reverse sequence of germanides formation was revealed in the case of 50 nm Pd/30 nm a-Ge:H, studied by in situ heat treatment in the TEM utilizing non-supported samples. The first germanide detected was PdGe and then, as a result of PdGe and Ge reaction or the PdGe decomposition, Pd 2Ge formed. The temperature dependence of the incubation time before the first ˜ 10 nm PdGe grains formed, followed an Arrhenius curve with an activation energy of 1.45 eV.

  5. SiGe derivatization by spontaneous reduction of aryl diazonium salts

    Science.gov (United States)

    Girard, A.; Geneste, F.; Coulon, N.; Cardinaud, C.; Mohammed-Brahim, T.

    2013-10-01

    Germanium semiconductors have interesting properties for FET-based biosensor applications since they possess high surface roughness allowing the immobilization of a high amount of receptors on a small surface area. Since SiGe combined low cost of Si and intrinsic properties of Ge with high mobility carriers, we focused the study on this particularly interesting material. The comparison of the efficiency of a functionalization process involving the spontaneous reduction of diazonium salts is studied on Si(1 0 0), SiGe and Ge semiconductors. XPS analysis of the functionalized surfaces reveals the presence of a covalent grafted layer on all the substrates that was confirmed by AFM. Interestingly, the modified Ge derivatives have still higher surface roughness after derivatization. To support the estimated thickness by XPS, a step measurement of the organic layers is done by AFM or by profilometer technique after a O2 plasma etching of the functionalized layer. This original method is well-adapted to measure the thickness of thin organic films on rough substrates such as germanium. The analyses show a higher chemical grafting on SiGe substrates compared with Si and Ge semiconductors.

  6. New pathway for the formation of metallic cubic phase Ge-Sb-Te compounds induced by an electric current.

    Science.gov (United States)

    Park, Yong-Jin; Cho, Ju-Young; Jeong, Min-Woo; Na, Sekwon; Joo, Young-Chang

    2016-02-23

    The novel discovery of a current-induced transition from insulator to metal in the crystalline phase of Ge2Sb2Te5 and GeSb4Te7 have been studied by means of a model using line-patterned samples. The resistivity of cubic phase Ge-Sb-Te compound was reduced by an electrical current (~1 MA/cm(2)), and the final resistivity was determined based on the stress current density, regardless of the initial resistivity and temperature, which indicates that the conductivity of Ge-Sb-Te compound can be modulated by an electrical current. The minimum resistivity of Ge-Sb-Te materials can be achieved at high kinetic rates by applying an electrical current, and the material properties change from insulating to metallic behavior without a phase transition. The current-induced metal transition is more effective in GeSb4Te7 than Ge2Sb2Te5, which depends on the intrinsic vacancy of materials. Electromigration, which is the migration of atoms induced by a momentum transfer from charge carriers, can easily promote the rearrangement of vacancies in the cubic phase of Ge-Sb-Te compound. This behavior differs significantly from thermal annealing, which accompanies a phase transition to the hexagonal phase. This result suggests a new pathway for modulating the electrical conductivity and material properties of chalcogenide materials by applying an electrical current.

  7. Calcium carbonate electronic-insulating layers improve the charge collection efficiency of tin oxide photoelectrodes in dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Shaikh, Shoyebmohamad F.; Mane, Rajaram S.; Hwang, Yun Jeong; Joo, Oh-Shim

    2015-01-01

    In dye-sensitized solar cells (DSSCs), a surface passivation layer has been employed on the tin oxide (SnO 2 ) photoanodes to enhance the charge collection efficiency, and thus the power conversion efficiency. Herein, we demonstrate that the electronic-insulating layering of calcium carbonate (CaCO 3 ) can improve the charge collection efficiency in dye-sensitized solar cells designed with photoanodes. In order to evaluate the effectiveness of CaCO 3 layering, both layered and pristine SnO 2 photoanodes are characterized with regard to their structures, morphologies, and photo-electrochemical measurements. The SnO 2 -6L CaCO 3 photoanode has demonstrated as high as 3.5% power conversion efficiency; 3.5-fold greater than that of the pristine SnO 2 photoanode. The enhancement in the power conversion efficiency is corroborated with the number of the dye molecules, the passivation of surface states, a negative shift in the conduction band position, and the reduced electron recombination rate of photoelectrons following the coating of the CaCO 3 surface layer

  8. Influence of void defects on partial discharge behavior of superconducting busbar insulation

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chunyu; Huang, Xiongyi, E-mail: huangxy@ipp.ac.cn; Lu, Kun; Li, Guoliang; Zhu, Haisheng; Wang, Jun; Wang, Cao; Dai, Zhiheng; Fang, Linlin; Song, Yuntao

    2017-06-15

    Highlights: • PD detection method was used to check the quality of the superconducting busbar insulation. • The samples with different void fraction were manufactured for comparing. • The discharge inception voltage, PRPD pattern was tested and studied for the samples with different void content. • The PD behaviors in oil bath and air condition were compared. - Abstract: For a magnetic confinement fusion device, the superconducting magnets and busbars need to be insulated with one layer of solid insulation to isolate the high voltage potential from the ground. The insulation layer commonly consists of several interleaved layers of epoxy resin-impregnated glass fiber tapes and polyimide films. The traditional electrical inspection methods for such solidified insulation on the magnet and busbar are a DC voltage test or a Paschen test. These tests measure the quality of the insulation based on the value of leakage currents. However, even if there is a larger quantity of high dielectric strength material implemented, if there are some microcavities or delaminations in the insulation system, the leakage current may be limited to microampere levels under testing levels over dozens of kilovolts. Therefore, it is difficult to judge the insulation quality just by the magnitudes of leakage current. Under long-term operation, such imperceptible defects will worsen and finally completely break down the insulation because of partial discharge (PD) incidents. Therefore, a PD detection test is an important complement to the DC voltage and Paschen tests for magnet and busbar insulations in the field of fusion. It is known that the PD detection test is a mature technique in the electric power industry. In this paper, the PD characteristics of samples containing glass fiber-reinforced composite insulations for use with the superconducting busbar were presented and discussed. Various samples with different void contents were prepared and the PD behaviors were tested.

  9. Influence of void defects on partial discharge behavior of superconducting busbar insulation

    International Nuclear Information System (INIS)

    Wang, Chunyu; Huang, Xiongyi; Lu, Kun; Li, Guoliang; Zhu, Haisheng; Wang, Jun; Wang, Cao; Dai, Zhiheng; Fang, Linlin; Song, Yuntao

    2017-01-01

    Highlights: • PD detection method was used to check the quality of the superconducting busbar insulation. • The samples with different void fraction were manufactured for comparing. • The discharge inception voltage, PRPD pattern was tested and studied for the samples with different void content. • The PD behaviors in oil bath and air condition were compared. - Abstract: For a magnetic confinement fusion device, the superconducting magnets and busbars need to be insulated with one layer of solid insulation to isolate the high voltage potential from the ground. The insulation layer commonly consists of several interleaved layers of epoxy resin-impregnated glass fiber tapes and polyimide films. The traditional electrical inspection methods for such solidified insulation on the magnet and busbar are a DC voltage test or a Paschen test. These tests measure the quality of the insulation based on the value of leakage currents. However, even if there is a larger quantity of high dielectric strength material implemented, if there are some microcavities or delaminations in the insulation system, the leakage current may be limited to microampere levels under testing levels over dozens of kilovolts. Therefore, it is difficult to judge the insulation quality just by the magnitudes of leakage current. Under long-term operation, such imperceptible defects will worsen and finally completely break down the insulation because of partial discharge (PD) incidents. Therefore, a PD detection test is an important complement to the DC voltage and Paschen tests for magnet and busbar insulations in the field of fusion. It is known that the PD detection test is a mature technique in the electric power industry. In this paper, the PD characteristics of samples containing glass fiber-reinforced composite insulations for use with the superconducting busbar were presented and discussed. Various samples with different void contents were prepared and the PD behaviors were tested.

  10. Experimental and Mathematical Analysis of Multilayer Insulation below 80 K

    CERN Document Server

    Chorowski, M; Parente, C; Riddone, G

    2000-01-01

    The Large Hadron Collider [1], presently under construction at CERN, will make an extensive use of multilayer insulation system (MLI). The total surface to be insulated will be of about 80000 m2. A mathematical model has been developed to describe the heat flux through MLI from 80 K to 4.2 K. The total heat flux between the layers is the result of three distinct heat transfer modes: radiation, residual gas conduction and solid conduction. The mathematical model enables prediction of MLI behavior with regard to different MLI parameters, such as gas insulation pressure, number of layers and boundary temperatures. The calculated values have been compared to the experimental measurements carried out at CERN. Theoretical and experimental results revealed to be in good agreement, especially for insulation vacuum between 10-5 Pa and 10-3 Pa.

  11. Giant magneto-spin-Seebeck effect and magnon transfer torques in insulating spin valves

    Science.gov (United States)

    Cheng, Yihong; Chen, Kai; Zhang, Shufeng

    2018-01-01

    We theoretically study magnon transport in an insulating spin valve (ISV) made of an antiferromagnetic insulator sandwiched between two ferromagnetic insulator (FI) layers. In the conventional metal-based spin valve, the electron spins propagate between two metallic ferromagnetic layers, giving rise to giant magnetoresistance and spin transfer torque. Here, the incoherent magnons in the ISV serve as angular momentum carriers and are responsible for the angular momentum transport between two FI layers across the antiferromagnetic spacer. We predict two transport phenomena in the presence of the temperature gradient: a giant magneto-spin-Seebeck effect in which the output voltage signal is controlled by the relative orientation of the two FI layers and magnon transfer torque that can be used for switching the magnetization of the FI layers with a temperature gradient of the order of 0.1 Kelvin per nanometer.

  12. Characterization of epitaxial GaAs MOS capacitors using atomic layer-deposited TiO2/Al2O3 gate stack: study of Ge auto-doping and p-type Zn doping.

    Science.gov (United States)

    Dalapati, Goutam Kumar; Shun Wong, Terence Kin; Li, Yang; Chia, Ching Kean; Das, Anindita; Mahata, Chandreswar; Gao, Han; Chattopadhyay, Sanatan; Kumar, Manippady Krishna; Seng, Hwee Leng; Maiti, Chinmay Kumar; Chi, Dong Zhi

    2012-02-02

    Electrical and physical properties of a metal-oxide-semiconductor [MOS] structure using atomic layer-deposited high-k dielectrics (TiO2/Al2O3) and epitaxial GaAs [epi-GaAs] grown on Ge(100) substrates have been investigated. The epi-GaAs, either undoped or Zn-doped, was grown using metal-organic chemical vapor deposition method at 620°C to 650°C. The diffusion of Ge atoms into epi-GaAs resulted in auto-doping, and therefore, an n-MOS behavior was observed for undoped and Zn-doped epi-GaAs with the doping concentration up to approximately 1017 cm-3. This is attributed to the diffusion of a significant amount of Ge atoms from the Ge substrate as confirmed by the simulation using SILVACO software and also from the secondary ion mass spectrometry analyses. The Zn-doped epi-GaAs with a doping concentration of approximately 1018 cm-3 converts the epi-GaAs layer into p-type since the Zn doping is relatively higher than the out-diffused Ge concentration. The capacitance-voltage characteristics show similar frequency dispersion and leakage current for n-type and p-type epi-GaAs layers with very low hysteresis voltage (approximately 10 mV).PACS: 81.15.Gh.

  13. Electronic reconstruction at the interface between the Mott insulator LaVO{sub 3} and the band insulator SrTiO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Stuebinger, Martin; Gabel, Judith; Gagel, Philipp; Sing, Michael; Claessen, Ralph [Universitaet Wuerzburg, Physikalisches Institut and Roentgen Center for Complex Material Systems (RCCM), 97074 Wuerzburg (Germany)

    2016-07-01

    Akin to the well known oxide heterostructure LaAlO{sub 3}/SrTiO{sub 3} (LAO/STO) the formation of a conducting interface is found between the strongly correlated, polar Mott insulator LaV{sup 3+}O{sub 3} (LVO) and the non-polar band insulator STO. Since LaV{sup 3+}O{sub 3} tends to overoxidize to the thermodynamically more favourable LaV{sup 5+}O{sub 4} phase when exposed to air, a suitable passivation is required. Therefore, we have employed pulsed laser deposition thin film growth of LVO films with a crystalline LAO capping layer. In situ photoemission measurements of samples before and after being exposed to air show that the V oxidation state can indeed be stabilized by the LAO capping layer. By transport measurements, we identify an insulator-to-metal transition at a combined LAO/LVO overlayer thickness of 4 to 5 unit cells. With LVO being a Mott insulator, passivation by the LAO capping opens the opportunity to study a band-filling controlled Mott insulator to metal transition induced by a purely electrostatic mechanism without interfering overoxidation of the LVO film.

  14. Impact Verification of Aerogel Insulation Paint on Historic Brick Facades

    Science.gov (United States)

    Ganobjak, Michal; Kralova, Eva

    2017-10-01

    Increasing the sustainability of existing buildings is being motivated by reduction of their energy demands. It is the above all the building envelope and its refurbishment by substitution or addition of new materials that makes the opportunity for reduction of energy consumption. A special type of refurbishment is conservation of historical buildings. Preservation of historic buildings permits also application of innovative methods and materials in addition to the original materials if their effects are known and the gained experience ensures their beneficial effect. On the market, there are new materials with addition of silica aerogel in various forms of products. They are also potentially useful in conservation of monuments. However, the effects of aerogel application in these cases are not known. For refurbishment is commercially available additional transparent insulation paint - Nansulate Clear Coat which is containing aerogel and can be used for structured surfaces such as bricks. A series of experiments examined the thermo-physical manifestation of an ultra-thin insulation coating of Nansulate Clear Coat containing silica aerogel on a brick facade. The experiments of active and passive thermography have observed effects of application on the small-scale samples of the brick façade of a protected historical building. Through a series of experiments were measured thermal insulation effect and influence on the aesthetic characteristics such as change in colour and gloss. The treated samples were compared to a reference. Results have shown no thermal-insulating manifestation of the recommended three layers of insulation paint. The three layers recommended by the manufacturer did not significantly affect the appearance of the brick facade. Color and gloss were not significantly changed. Experiments showed the absence of thermal insulation effect of Nansulate transparent triple coating. The thermal insulation effect could likely be reached by more layers of

  15. Electrical insulation and conduction coating for fusion experimental devices

    International Nuclear Information System (INIS)

    Onozuka, Masanori; Tsujimura, Seiji; Toyoda, Masahiko; Inoue, Masahiko; Abe, Tetsuya; Murakami, Yoshio

    1996-01-01

    The development of electrical insulation and conduction coating methods that can be applied to large components of fusion experimental devices has been investigated. A thermal spraying method is used to coat the insulation or conduction materials on the structural components because of its applicability for large surfaces. The insulation material chosen was Al 2 O 3 , while Cr 3 C 2 -NiCr and WC-NiCr were chosen as conduction materials. These materials were coated on stainless steel substrates to examine the basic characteristics of the coated layers, such as their adhesive strength to the substrate, thermal shock resistance, electrical resistance, dielectric breakdown voltage, and thermal conductivity. It was found that they have sufficient electrical insulation and conduction properties, respectively. In addition, the sliding tests of the coated layers showed adequate frictional properties. The spraying method was tested on a 100- x 1000-mm surface and found to be applicable for large surfaces of experimental fusion devices. 9 refs., 6 figs., 15 tabs

  16. Molecular beam epitaxy growth of [CrGe/MnGe/FeGe] superlattices: Toward artificial B20 skyrmion materials with tunable interactions

    Science.gov (United States)

    Ahmed, Adam S.; Esser, Bryan D.; Rowland, James; McComb, David W.; Kawakami, Roland K.

    2017-06-01

    Skyrmions are localized magnetic spin textures whose stability has been shown theoretically to depend on material parameters including bulk Dresselhaus spin orbit coupling (SOC), interfacial Rashba SOC, and magnetic anisotropy. Here, we establish the growth of a new class of artificial skyrmion materials, namely B20 superlattices, where these parameters could be systematically tuned. Specifically, we report the successful growth of B20 superlattices comprised of single crystal thin films of FeGe, MnGe, and CrGe on Si(1 1 1) substrates. Thin films and superlattices are grown by molecular beam epitaxy and are characterized through a combination of reflection high energy electron diffraction, X-ray diffraction, and cross-sectional scanning transmission electron microscopy (STEM). X-ray energy dispersive spectroscopy (XEDS) distinguishes layers by elemental mapping and indicates good interface quality with relatively low levels of intermixing in the [CrGe/MnGe/FeGe] superlattice. This demonstration of epitaxial, single-crystalline B20 superlattices is a significant advance toward tunable skyrmion systems for fundamental scientific studies and applications in magnetic storage and logic.

  17. Investigation of microstructure and morphology for the Ge on porous silicon/Si substrate hetero-structure obtained by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Gouder, S.; Mahamdi, R.; Aouassa, M.; Escoubas, S.; Favre, L.; Ronda, A.; Berbezier, I.

    2014-01-01

    Thick porous silicon (PS) buffer layers are used as sacrificial layers to epitaxially grow planar and fully relaxed Ge membranes. The single crystal Ge layers have been deposited by molecular beam epitaxy (MBE) on PS substrate. During deposition, the pore network of PS layers has been filled with Ge. We investigate the structure and morphology of PS as fabricated and after annealing at various temperatures. We show that the PS crystalline lattice is distorted and expanded in the direction perpendicular to the substrate plane due to the presence of chemisorbed –OH. An annealing at high temperature (> 500 °C), greatly changes the PS morphology and structure. This change is marked by an increase of the pore diameter while the lattice parameter becomes tensily strained in the plane (compressed in the direction perpendicular). The morphology and structure of Ge layers are investigated by transmission electron microscopy, high resolution X-ray diffraction and atomic force microscopy as a function of the deposition temperature and deposited thickness. The results show that the surface roughness, level of relaxation and Si-Ge intermixing (Ge content) depend on the growth temperature and deposited thickness. Two sub-layers are distinguished: the layer incorporated inside the PS pores (high level of intermixing) and the layer on top of the PS surface (low level of intermixing). When deposited at temperature > 500 °C, the Ge layers are fully relaxed with a top Si 1−x Ge x layer x = 0.74 and a very flat surface. Such layer can serve as fully relaxed ultra-thin SiGe pseudo-substrate with high Ge content. The epitaxy of Ge on sacrificial soft PS pseudo-substrate in the experimental conditions described here provides an easy way to fabricate fully relaxed SiGe pseudo-substrates. Moreover, Ge thin films epitaxially deposited by MBE on PS could be used as relaxed pseudo-substrate in conventional microelectronic technology. - Highlights: • We have developed a rapid and low

  18. Fabrication of current confinement aperture structure by transforming a conductive GaN:Si epitaxial layer into an insulating GaOx layer.

    Science.gov (United States)

    Lin, Chia-Feng; Lee, Wen-Che; Shieh, Bing-Cheng; Chen, Danti; Wang, Dili; Han, Jung

    2014-12-24

    We report here a simple and robust process to convert embedded conductive GaN epilayers into insulating GaOx and demonstrate its efficacy in vertical current blocking and lateral current steering in a working LED device. The fabrication processes consist of laser scribing, electrochemical (EC) wet-etching, photoelectrochemical (PEC) oxidation, and thermal oxidization of a sacrificial n(+)-GaN:Si layer. The conversion of GaN is made possible through an intermediate stage of porosification where the standard n-type GaN epilayers can be laterally and selectively anodized into a nanoporous (NP) texture while keeping the rest of the layers intact. The fibrous texture of NP GaN with an average wall thickness of less than 100 nm dramatically increases the surface-to-volume ratio and facilitates a rapid oxidation process of GaN into GaOX. The GaOX aperture was formed on the n-side of the LED between the active region and the n-type GaN layer. The wavelength blueshift phenomena of electroluminescence spectra is observed in the treated aperture-emission LED structure (441.5 nm) when compared to nontreated LED structure (443.7 nm) at 0.1 mA. The observation of aperture-confined electroluminescence from an InGaN LED structure suggests that the NP GaN based oxidation will play an enabling role in the design and fabrication of III-nitride photonic devices.

  19. Extreme IR absorption in group IV-SiGeSn core-shell nanowires

    Science.gov (United States)

    Attiaoui, Anis; Wirth, Stephan; Blanchard-Dionne, André-Pierre; Meunier, Michel; Hartmann, J. M.; Buca, Dan; Moutanabbir, Oussama

    2018-06-01

    Sn-containing Si and Ge (Ge1-y-xSixSny) alloys are an emerging family of semiconductors with the potential to impact group IV material-based devices. These semiconductors provide the ability to independently engineer both the lattice parameter and bandgap, which holds the premise to develop enhanced or novel photonic and electronic devices. With this perspective, we present detailed investigations of the influence of Ge1-y-xSixSny layers on the optical properties of Si and Ge based heterostructures and nanowires. We found that by adding a thin Ge1-y-xSixSny capping layer on Si or Ge greatly enhances light absorption especially in the near infrared range, leading to an increase in short-circuit current density. For the Ge1-y-xSixSny structure at thicknesses below 30 nm, a 14-fold increase in the short-circuit current is observed with respect to bare Si. This enhancement decreases by reducing the capping layer thickness. Conversely, decreasing the shell thickness was found to improve the short-circuit current in Si/Ge1-y-xSixSny and Ge/Ge1-y-xSixSny core/shell nanowires. The optical absorption becomes very important by increasing the Sn content. Moreover, by exploiting an optical antenna effect, these nanowires show extreme light absorption, reaching an enhancement factor, with respect to Si or Ge nanowires, on the order of 104 in Si/Ge0.84Si0.04Sn0.12 and 12 in Ge/Ge0.84Si0.04Sn0.12. Furthermore, we analyzed the optical response after the addition of a dielectric layer of Si3N4 to the Si/Ge1-y-xSixSny core-shell nanowire and found approximatively a 50% increase in the short-circuit current density for a dielectric layer of thickness equal to 45 nm and both a core radius and a shell thickness greater than 40 nm. The core-shell optical antenna benefits from a multiplication of enhancements contributed by leaky mode resonances in the semiconductor part and antireflection effects in the dielectric part.

  20. Experimental charge density determination in iso-structural Tellurides: Hf0.85GeTe4 and ZrGeTe4

    International Nuclear Information System (INIS)

    Israel, S.; Saravana Kumar, S.; Sheeba, R.A.J.R.; Saravanan, R.

    2012-01-01

    Hf 0.85 GeTe 4 is isostructural with stoichiometric ZrGeTe 4 and their crystal structure adopts a two-dimensional layered structure, each layer being composed of two unique one-dimensional chains of face sharing Hf/Zr-centered bicapped trigonal prisms and corner sharing Ge- centered tetrahedra. These layers stack on top of each other to complete the three-dimensional structure with undulating van der Waals gaps. Single crystal XRD is used for the refinement of the structural parameters. The space group Cmc2 1 was considered and the structure was the refined using the harmonic model by the software called JANA2006. The refined structure factors were then subsequently used in MEM (Maximum Entropy Method) technique for the construction of the charge density in the unit cell using software called PRIMA and then visualized with the help of visualization software called VESTA

  1. Analysis and comparison of magnetic sheet insulation tests

    Science.gov (United States)

    Marion-Péra, M. C.; Kedous-Lebouc, A.; Cornut, B.; Brissonneau, P.

    1994-05-01

    Magnetic circuits of electrical machines are divided into coated sheets in order to limit eddy currents. The surface insulation resistance of magnetic sheets is difficult to evaluate because it depends on parameters like pressure and covers a wide range of values. Two methods of measuring insulation resistance are analyzed: the standardized 'Franklin device' and a tester developed by British Steel Electrical. Their main drawback is poor local repeatability. The Franklin method allows better quality control of industrial process because it measures only one insulating layer at a time. It also gives more accurate images of the distribution of possible defects. Nevertheless, both methods lead to similar classifications of insulation efficiency.

  2. Annealing-induced Ge/Si(100) island evolution

    International Nuclear Information System (INIS)

    Zhang Yangting; Drucker, Jeff

    2003-01-01

    Ge/Si(100) islands were found to coarsen during in situ annealing at growth temperature. Islands were grown by molecular-beam epitaxy of pure Ge and annealed at substrate temperatures of T=450, 550, 600, and 650 deg. C, with Ge coverages of 6.5, 8.0, and 9.5 monolayers. Three coarsening mechanisms operate in this temperature range: wetting-layer consumption, conventional Ostwald ripening, and Si interdiffusion. For samples grown and annealed at T=450 deg. C, consumption of a metastably thick wetting layer causes rapid initial coarsening. Slower coarsening at longer annealing times occurs by conventional Ostwald ripening. Coarsening of samples grown and annealed at T=550 deg. C occurs via a combination of Si interdiffusion and conventional Ostwald ripening. For samples grown and annealed at T≥600 deg. C, Ostwald ripening of SiGe alloy clusters appears to be the dominant coarsening mechanism

  3. Shallow acceptors in strained Ge/Ge1-xSix heterostructures with quantum wells

    International Nuclear Information System (INIS)

    Aleshkin, V.Ya.; Andreev, B.A.; Gavrilenko, V.I.; Erofeeva, I.V.; Kozlov, D.V.; Kuznetsov, O.A.

    2000-01-01

    Dependence of acceptor localized state energies in quantum wells (strained layers of Ge in heterostructures Ge/Ge 1-x Si x ) on the width of quantum well and position in it was studied theoretically. Spectrum of impurity absorption in the far infrared range was calculated. Comparison of the results calculated and observed photoconductivity spectra permits estimating acceptor distribution over quantum well and suggesting conclusion that acceptors can be largely concentrated near heteroboundaries. Absorption spectrum was calculated bearing in mind resonance impurity states, which permits explaining the observed specific features in the photoconductivity spectrum short-wave range by transition to resonance energy levels, bound to upper subzones of dimensional quantization [ru

  4. Mobility enhancement in tensile-strained Ge grown on InAlP metamorphic templates

    International Nuclear Information System (INIS)

    Wang, Kai; Gong, Qian; Zhou, Haifei; Kang, Chuanzhen; Yan, Jinyi; Liu, Qingbo; Wang, Shumin

    2014-01-01

    We investigated the growth of tensile-strained Ge on InAlP metamorphic templates by gas source molecular beam epitaxy. Good control of biaxial tensile strain in the Ge layer was demonstrated in the range of 0.5–2.0% by adjusting the In content of the metamorphic template. It was found that the growth of Ge was layer-by-layer (2D) even under high tensile strain of 2.0%, resulting in a smooth surface with roughness less than 1.5 nm. Hall results showed that the electron mobility of Ge increased monotonically with tensile strain.

  5. The impact of non-uniform channel layer growth on device characteristics in state of the Art Si/SiGe/Si p-metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Chang, A.C.K.; Ross, I.M.; Norris, D.J.; Cullis, A.G.; Tang, Y.T.; Cerrina, C.; Evans, A.G.R.

    2006-01-01

    In this study we have highlighted the effect of non-uniform channel layer growth by the direct correlation of the microstructure and electrical characteristics in state-of-the-art pseudomorphic Si/SiGe p-channel metal oxide semiconductor field effect transistor devices fabricated on Si. Two nominally identical sets of devices from adjacent locations of the same wafer were found to have radically different distributions in gate threshold voltages. Due to the close proximity and narrow gate length of the devices, focused ion beam milling was used to prepare a number of thin cross-sections from each of the two regions for subsequent analysis using transmission electron microscopy. It was found that devices from the region giving a very narrow range of gate threshold voltages exhibited a uniform microstructure in general agreement with the intended growth parameters. However, in the second region, which showed a large spread in the gate threshold voltages, profound anomalies in the microstructure were observed. These anomalies consisted of fluctuations in the quality and thickness of the SiGe strained layers. The non-uniform growth of the strained SiGe layer clearly accounted for the poorly controlled threshold voltages of these devices. The results emphasize the importance of good layer growth uniformity to ensure optimum device yield

  6. Effect of spacers on the thermal performance of an annular multi-layer insulation

    International Nuclear Information System (INIS)

    Haim, Y.; Weiss, Y.; Letan, R.

    2014-01-01

    The current study presents a model and is experimentally conducted in a system of 40 stainless steel coaxial foils, of nitrogen gas, entrapped between the foils, and of spacers, which are zirconia, spherical, 50 μm in size particles, widely dispersed in the gaps between the foils. The model, experimentally verified, relates to radiation between the foils, unobstructed by particles, to conduction in the nitrogen gas, and to conduction across the particles. The study was, in particular, aimed to measure the effective thermal conductivity of the particles and to assess its effect upon the array. At vacuum of 0.092 Pa, the effective thermal conductivity of the particles was 2.13 × 10 −4  W/m K, while the effective thermal conductivity of the array was 4.74 × 10 −4  W/m K. Thus, the low contribution of the particles conduction at vacuum conditions improves the insulation. It reaches 45% of the heat transfer rate. At atmospheric pressure, the effective thermal conductivity of the array reaches 4.5 × 10 −2  W/m K. There, the spacers contribution is negligible. - Highlights: •The multi-layer insulation of cylinder consists of foils separated by particles. •The particles are widely spaced in gaps. •Particles heat transfer rate is almost half of the total in vacuum. •At higher pressures the particles contribution is negligible. •The predicted thermal performance agrees with experimental results

  7. Effects of flexible substrate thickness on Al-induced crystallization of amorphous Ge thin films

    Energy Technology Data Exchange (ETDEWEB)

    Oya, Naoki [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan); Toko, Kaoru, E-mail: toko@bk.tsukuba.ac.jp [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan); Saitoh, Noriyuki; Yoshizawa, Noriko [Electron Microscope Facility, TIA, AIST, 16-1 Onogawa, Tsukuba 305-8569 (Japan); Suemasu, Takashi [Institute of Applied Physics, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2015-05-29

    Amorphous germanium (a-Ge) thin films were directly crystallized on flexible plastic substrates at 325 °C using Al-induced crystallization. The thickness of the plastic substrate strongly influenced the crystal quality of the resulting polycrystalline Ge layers. Using a thicker substrate lowered the stress on the a-Ge layer during annealing, which increased the grain size and fraction of (111)-oriented grains within the Ge layer. Employing a 125-μm-thick substrate led to 95% (111)-oriented Ge with grains having an average size of 100 μm. Transmission electron microscopy demonstrated that the Ge grains had a low-defect density. Production of high-quality Ge films on plastic substrates allows for the possibility for developing Ge-based electronic and optical devices on inexpensive flexible substrates. - Highlights: • Polycrystalline Ge thin films are directly formed on flexible plastic substrates. • Al-induced crystallization allows the low-temperature growth (325 °C) of amorphous Ge. • The substrate bending during annealing strongly influences the crystal quality of poly-Ge. • A thick substrate (125 μm) leads to 95% (111)-oriented Ge with grains 100 μm in size.

  8. Thin SiGe virtual substrates for Ge heterostructures integration on silicon

    International Nuclear Information System (INIS)

    Cecchi, S.; Chrastina, D.; Frigerio, J.; Isella, G.; Gatti, E.; Guzzi, M.; Müller Gubler, E.; Paul, D. J.

    2014-01-01

    The possibility to reduce the thickness of the SiGe virtual substrate, required for the integration of Ge heterostructures on Si, without heavily affecting the crystal quality is becoming fundamental in several applications. In this work, we present 1 μm thick Si 1−x Ge x buffers (with x > 0.7) having different designs which could be suitable for applications requiring a thin virtual substrate. The rationale is to reduce the lattice mismatch at the interface with the Si substrate by introducing composition steps and/or partial grading. The relatively low growth temperature (475 °C) makes this approach appealing for complementary metal-oxide-semiconductor integration. For all the investigated designs, a reduction of the threading dislocation density compared to constant composition Si 1−x Ge x layers was observed. The best buffer in terms of defects reduction was used as a virtual substrate for the deposition of a Ge/SiGe multiple quantum well structure. Room temperature optical absorption and photoluminescence analysis performed on nominally identical quantum wells grown on both a thick graded virtual substrate and the selected thin buffer demonstrates a comparable optical quality, confirming the effectiveness of the proposed approach

  9. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  10. Step tunneling enhanced asymmetry in metal-insulator-insulator-metal (MIIM) diodes for rectenna applications

    Science.gov (United States)

    Alimardani, N.; Conley, J. F.

    2013-09-01

    We combine nanolaminate bilayer insulator tunnel barriers (Al2O3/HfO2, HfO2/Al2O3, Al2O3/ZrO2) deposited via atomic layer deposition (ALD) with asymmetric work function metal electrodes to produce MIIM diodes with enhanced I-V asymmetry and non-linearity. We show that the improvements in MIIM devices are due to step tunneling rather than resonant tunneling. We also investigate conduction processes as a function of temperature in MIM devices with Nb2O5 and Ta2O5 high electron affinity insulators. For both Nb2O5 and Ta2O5 insulators, the dominant conduction process is established as Schottky emission at small biases and Frenkel-Poole emission at large biases. The energy depth of the traps that dominate Frenkel-Poole emission in each material are estimated.

  11. Unexpected Ge-Ge contacts in the two-dimensional Ge{sub 4}Se{sub 3}Te phase and analysis of their chemical cause with the density of energy (DOE) function

    Energy Technology Data Exchange (ETDEWEB)

    Kuepers, Michael; Konze, Philipp M.; Maintz, Stefan; Steinberg, Simon [Institute of Inorganic Chemistry, Chair of Solid-State and Quantum Chemistry, RWTH Aachen University (Germany); Mio, Antonio M.; Cojocaru-Miredin, Oana; Zhu, Min; Wuttig, Matthias [I. Physikalisches Institut, RWTH Aachen University (Germany); Mueller, Merlin; Mayer, Joachim [Gemeinschaftslabor fuer Elektronenmikroskopie, RWTH Aachen University (Germany); Luysberg, Martina [Ernst-Ruska-Center, Forschungszentrum Juelich GmbH (Germany); Dronskowski, Richard [Institute of Inorganic Chemistry, Chair of Solid-State and Quantum Chemistry, RWTH Aachen University (Germany); Juelich-Aachen Research Alliance (JARA-HPC), RWTH Aachen University (Germany)

    2017-08-14

    A hexagonal phase in the ternary Ge-Se-Te system with an approximate composition of GeSe{sub 0.75}Te{sub 0.25} has been known since the 1960s but its structure has remained unknown. We have succeeded in growing single crystals by chemical transport as a prerequisite to solve and refine the Ge{sub 4}Se{sub 3}Te structure. It consists of layers that are held together by van der Waals type weak chalcogenide-chalcogenide interactions but also display unexpected Ge-Ge contacts, as confirmed by electron microscopy analysis. The nature of the electronic structure of Ge{sub 4}Se{sub 3}Te was characterized by chemical bonding analysis, in particular by the newly introduced density of energy (DOE) function. The Ge-Ge bonding interactions serve to hold electrons that would otherwise go into antibonding Ge-Te contacts. (copyright 2017 Wiley-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Effect of gradual ordering of Ge/Sb atoms on chemical bonding: A proposed mechanism for the formation of crystalline Ge2Sb2Te5

    Science.gov (United States)

    Singh, Janpreet; Singh, Gurinder; Kaura, Aman; Tripathi, S. K.

    2018-04-01

    Using first principle calculations, we study the atomic arrangement and bonding mechanism in the crystalline phase of Ge2Sb2Te5 (GST). It is found that the stability of GST depends on the gradual ordering of Ge/Sb atoms. The configurations with different concentration of Ge/Sb in layers have been analyzed by the partial density of state, electron localization function and Bader charge distribution. The s and p-states of Ge atom alter with different stacking configurations but there is no change in Sb and Te atom states. Our findings show that the bonding between Ge-Te is not only responsible for the stability of GST alloy but can also predict which composition can show generic features of phase change material. As the number of Ge atoms near to vacancy layer decreases, Ge donates more charge. A growth model has been proposed for the formation of crystalline phase which justifies the structure models proposed in the literature.

  13. ARROW-based silicon-on-insulator photonic crystal waveguides with reduced losses

    DEFF Research Database (Denmark)

    Lavrinenko, Andrei; Novitsky, A.; Zhilko, V.V.

    2006-01-01

    We employ an antiresonant reflecting layers arrangement with silicon-on-insulator based photonic crystal waveguides. The 3D FDTD numerical modelling reveals improved transmission in such structures with a promising potential for their application in photonic circuits.......We employ an antiresonant reflecting layers arrangement with silicon-on-insulator based photonic crystal waveguides. The 3D FDTD numerical modelling reveals improved transmission in such structures with a promising potential for their application in photonic circuits....

  14. Influence of PCMs in thermal insulation on thermal behaviour of building envelopes

    Science.gov (United States)

    Dydek, K.; Furmański, P.; Łapka, P.

    2016-09-01

    A model of heat transfer through a wall consisting of a layer of concrete and PCM enhanced thermal insulation is considered. The model accounts for heat conduction in both layers, thermal radiation and heat absorption/release due to phase change in the insulation as well as time variation in the ambient temperature and insolation. Local thermal equilibrium between encapsulated PCM and light-weight thermal insulation was assumed. Radiation emission, absorption and scattering were also accounted for in the model. Comparison of different cases of heat flow through the building envelope was carried out. These cases included presence or absence of PCM and thermal radiation in the insulation, effect of emissivity of the PCM microcapsules as well as an effect of solar radiation or its lack on the ambient side of the envelope. Two ways of the PCM distribution in thermal insulation were also considered. The results of simulations were presented for conditions corresponding to the mean summer and winter seasons in Warsaw. It was found that thermal radiation plays an important role in heat transfer through thermal insulation layer of the wall while the presence of the PCM in it significantly contributes to damping of temperature fluctuations and a decrease in heat fluxes flowing into or lost by the interior of the building. The similar effect was observed for a decrease in emissivity of the microcapsules containing PCM.

  15. Epitaxial Ge-crystal arrays for X-ray detection

    International Nuclear Information System (INIS)

    Kreiliger, T; Falub, C V; Müller, E; Känel, H von; Isa, F; Isella, G; Chrastina, D; Bergamaschini, R; Marzegalli, A; Miglio, L; Kaufmann, R; Niedermann, P; Neels, A; Dommann, A; Meduňa, M

    2014-01-01

    Monolithic integration of an X-ray absorber layer on a Si CMOS chip might be a potentially attractive way to improve detector performance at acceptable costs. In practice this requires, however, the epitaxial growth of highly mismatched layers on a Si-substrate, both in terms of lattice parameters and thermal expansion coefficients. The generation of extended crystal defects, wafer bowing and layer cracking have so far made it impossible to put the simple concept into practice. Here we present a way in which the difficulties of fabricating very thick, defect-free epitaxial layers may be overcome. It consists of an array of densely packed, three-dimensional Ge-crystals on a patterned Si(001) substrate. The finite gap between neighboring micron-sized crystals prevents layer cracking and substrate bowing, while extended defects are driven to the crystal sidewalls. We show that the Ge-crystals are indeed defect-free, despite the lattice misfit of 4.2%. The electrical characteristics of individual Ge/Si heterojunction diodes are obtained from in-situ measurements inside a scanning electron microscope. The fabrication of monolithically integrated detectors is shown to be compatible with Si-CMOS processing

  16. Density-functional theory molecular dynamics simulations of a-HfO2/a-SiO2/SiGe and a-HfO2/a-SiO2/Ge with a-SiO2 and a-SiO suboxide interfacial layers

    Science.gov (United States)

    Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.

    2018-06-01

    Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.

  17. Electrical insulation and conduction coating for fusion experimental devices

    Energy Technology Data Exchange (ETDEWEB)

    Onozuka, Masanori; Tsujimura, Seiji; Toyoda, Masahiko; Inoue, Masahiko [Mitsubishi Heavy Industries, Ltd., Yokohama (Japan); Abe, Tetsuya; Murakami, Yoshio [Japan Atomic Energy Research Inst., Naka (Japan)

    1996-01-01

    The development of electrical insulation and conduction coating methods that can be applied to large components of fusion experimental devices has been investigated. A thermal spraying method is used to coat the insulation or conduction materials on the structural components because of its applicability for large surfaces. The insulation material chosen was Al{sub 2}O{sub 3}, while Cr{sub 3}C{sub 2}-NiCr and WC-NiCr were chosen as conduction materials. These materials were coated on stainless steel substrates to examine the basic characteristics of the coated layers, such as their adhesive strength to the substrate, thermal shock resistance, electrical resistance, dielectric breakdown voltage, and thermal conductivity. It was found that they have sufficient electrical insulation and conduction properties, respectively. In addition, the sliding tests of the coated layers showed adequate frictional properties. The spraying method was tested on a 100- x 1000-mm surface and found to be applicable for large surfaces of experimental fusion devices. 9 refs., 6 figs., 15 tabs.

  18. Metal-oxide-semiconductor devices based on epitaxial germanium-carbon layers grown directly on silicon substrates by ultra-high-vacuum chemical vapor deposition

    Science.gov (United States)

    Kelly, David Quest

    After the integrated circuit was invented in 1959, complementary metal-oxide-semiconductor (CMOS) technology soon became the mainstay of the semiconductor industry. Silicon-based CMOS has dominated logic technologies for decades. During this time, chip performance has grown at an exponential rate at the cost of higher power consumption and increased process complexity. The performance gains have been made possible through scaling down circuit dimensions by improvements in lithography capabilities. Since scaling cannot continue forever, researchers have vigorously pursued new ways of improving the performance of metal-oxide-semiconductor field-effect transistors (MOSFETs) without having to shrink gate lengths and reduce the gate insulator thickness. Strained silicon, with its ability to boost transistor current by improving the channel mobility, is one of the methods that has already found its way into production. Although not yet in production, high-kappa dielectrics have also drawn wide interest in industry since they allow for the reduction of the electrical oxide thickness of the gate stack without having to reduce the physical thickness of the dielectric. Further out on the horizon is the incorporation of high-mobility materials such as germanium (Ge), silicon-germanium (Si1-xGe x), and the III-V semiconductors. Among the high-mobility materials, Ge has drawn the most attention because it has been shown to be compatible with high-kappa dielectrics and to produce high drive currents compared to Si. Among the most difficult challenges for integrating Ge on Si is finding a suitable method for reducing the number of crystal defects. The use of strain-relaxed Si1- xGex buffers has proven successful for reducing the threading dislocation density in Ge epitaxial layers, but questions remain as to the viability of this method in terms of cost and process complexity. This dissertation presents research on thin germanium-carbon (Ge 1-yCy layers on Si for the fabrication

  19. Stress evolution of Ge nanocrystals in dielectric matrices

    Science.gov (United States)

    Bahariqushchi, Rahim; Raciti, Rosario; Emre Kasapoğlu, Ahmet; Gür, Emre; Sezen, Meltem; Kalay, Eren; Mirabella, Salvatore; Aydinli, A.

    2018-05-01

    Germanium nanocrystals (Ge NCs) embedded in single and multilayer silicon oxide and silicon nitride matrices have been synthesized using plasma enhanced chemical vapor deposition followed by conventional furnace annealing or rapid thermal processing in N2 ambient. Compositions of the films were determined by Rutherford backscattering spectrometry and x-ray photoelectron spectroscopy. The formation of NCs under suitable process conditions was observed with high resolution transmission electron microscope micrographs and Raman spectroscopy. Stress measurements were done using Raman shifts of the Ge optical phonon line at 300.7 cm-1. The effect of the embedding matrix and annealing methods on Ge NC formation were investigated. In addition to Ge NCs in single layer samples, the stress on Ge NCs in multilayer samples was also analyzed. Multilayers of Ge NCs in a silicon nitride matrix separated by dielectric buffer layers to control the size and density of NCs were fabricated. Multilayers consisted of SiN y :Ge ultrathin films sandwiched between either SiO2 or Si3N4 by the proper choice of buffer material. We demonstrated that it is possible to tune the stress state of Ge NCs from compressive to tensile, a desirable property for optoelectronic applications. We also observed that there is a correlation between the stress and the crystallization threshold in which the compressive stress enhances the crystallization, while the tensile stress suppresses the process.

  20. Oxidation kinetics of Si and SiGe by dry rapid thermal oxidation, in-situ steam generation oxidation and dry furnace oxidation

    Science.gov (United States)

    Rozé, Fabien; Gourhant, Olivier; Blanquet, Elisabeth; Bertin, François; Juhel, Marc; Abbate, Francesco; Pribat, Clément; Duru, Romain

    2017-06-01

    The fabrication of ultrathin compressively strained SiGe-On-Insulator layers by the condensation technique is likely a key milestone towards low-power and high performances FD-SOI logic devices. However, the SiGe condensation technique still requires challenges to be solved for an optimized use in an industrial environment. SiGe oxidation kinetics, upon which the condensation technique is founded, has still not reached a consensus in spite of various studies which gave insights into the matter. This paper aims to bridge the gaps between these studies by covering various oxidation processes relevant to today's technological needs with a new and quantitative analysis methodology. We thus address oxidation kinetics of SiGe with three Ge concentrations (0%, 10%, and 30%) by means of dry rapid thermal oxidation, in-situ steam generation oxidation, and dry furnace oxidation. Oxide thicknesses in the 50 Å to 150 Å range grown with oxidation temperatures between 850 and 1100 °C were targeted. The present work shows first that for all investigated processes, oxidation follows a parabolic regime even for thin oxides, which indicates a diffusion-limited oxidation regime. We also observe that, for all investigated processes, the SiGe oxidation rate is systematically higher than that of Si. The amplitude of the variation of oxidation kinetics of SiGe with respect to Si is found to be strongly dependent on the process type. Second, a new quantitative analysis methodology of oxidation kinetics is introduced. This methodology allows us to highlight the dependence of oxidation kinetics on the Ge concentration at the oxidation interface, which is modulated by the pile-up mechanism. Our results show that the oxidation rate increases with the Ge concentration at the oxidation interface.

  1. An experimental study of water absorption characteristics for generator stator winding insulation

    International Nuclear Information System (INIS)

    Lee, D. S.; Bae, Y. C.; Kim, H. S.; Kim, Y. H.; Lee, H.

    2004-01-01

    Leaking water coolant into stator electrical insulation is a growing concern for the aging water-cooled generator since leaks in the generator water-cooled stator winding can affect machine availability and insulation life. But a domestic techniques of such field are insufficient and depend wholly on GE or TOSHIBA technique. Therefore this paper introduces measuring principle and developed measuring system, which has been used to detecting wet absorption. We accomplished the experiment with a stator promotion of virtue which is used in actual power plant. Also, experimental method of generator stator winding, which is investigated into wet absorption test

  2. Core–shell structured FeSiAl/SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite cores with tunable insulating layer thicknesses

    Energy Technology Data Exchange (ETDEWEB)

    Fan, Xi’an, E-mail: groupfxa@163.com [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Wang, Jian, E-mail: snove418562@163.com [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Wu, Zhaoyang, E-mail: wustwuzhaoyang@163.com [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Li, Guangqiang, E-mail: ligq-wust@mail.wust.edu.cn [The State Key Laboratory of Refractories and Metallurgy, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China); Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081 (China)

    2015-11-15

    Graphical abstract: - Highlights: • FeSiAl/SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores were prepared. • SiO{sub 2} surrounding FeSiAl were replaced by Al{sub 2}O{sub 3} during sintering process. • Fe{sub 3}Si particles were separated by Al{sub 2}O{sub 3} with tunable thickness in composite cores. • Fe{sub 3}Si/Al{sub 2}O{sub 3} had lower core loss and better frequency stability than FeSiAl core. • The insulating layer between ferromagnetic particles can reduce core loss. - Abstract: FeSiAl/SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores with tunable insulating layer thicknesses have been synthesized via a modified Stöber method combined with following high temperature sintering process. Most of the conductive FeSiAl particles could be coated by insulating SiO{sub 2} using the modified Stöber method. During the sintering process, the reaction 4Al + 3SiO{sub 2} ≣ 2α-Al{sub 2}O{sub 3} + 3Si took place and the new Fe{sub 3}Si/Al{sub 2}O{sub 3} composite was formed. The Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores displayed more excellent soft magnetic properties, better frequency stability at high frequencies, much higher resistivity and lower core loss than the raw FeSiAl core. Based on this, several types of FeSiAl/SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores with tunable insulating layer thicknesses were selectively prepared by simply varying TEOS contents. The thickness of Al{sub 2}O{sub 3} insulating layer and resistivity of Fe{sub 3}Si/Al{sub 2}O{sub 3} composite cores increased with increasing the TEOS contents, while the permeability and core loss changed in the opposite direction.

  3. The influence of the thermo-phono-insulating glazing structure configuration of some PVC profile windows on the airborne sound insulation – case study

    Directory of Open Access Journals (Sweden)

    Marta Cristina ZAHARIA

    2012-12-01

    Full Text Available After conducting laboratory acoustic measurements of airborne sound insulation for several windows with the same type of PVC profiles, equipped with different types of phono- and thermal - insulating glazings, the influence of the window’s glazed part (glass structure configuration on airborne sound insulation was analyzed. The configuration of the structure’s glazed part requires its composition of glass sheets with different thicknesses or intermediate layers of air with different thicknesses. This configuration has an important influence on the acoustic response of windows, namely on the index of air noise sound insulation, Rw, and on the behavior of the entire measurement frequency range.

  4. Ex situ n+ doping of GeSn alloys via non-equilibrium processing

    Science.gov (United States)

    Prucnal, S.; Berencén, Y.; Wang, M.; Rebohle, L.; Böttger, R.; Fischer, I. A.; Augel, L.; Oehme, M.; Schulze, J.; Voelskow, M.; Helm, M.; Skorupa, W.; Zhou, S.

    2018-06-01

    Full integration of Ge-based alloys like GeSn with complementary-metal-oxide-semiconductor technology would require the fabrication of p- and n-type doped regions for both planar and tri-dimensional device architectures which is challenging using in situ doping techniques. In this work, we report on the influence of ex situ doping on the structural, electrical and optical properties of GeSn alloys. n-type doping is realized by P implantation into GeSn alloy layers grown by molecular beam epitaxy (MBE) followed by flash lamp annealing. We show that effective carrier concentration of up to 1 × 1019 cm‑3 can be achieved without affecting the Sn distribution. Sn segregation at the surface accompanied with an Sn diffusion towards the crystalline/amorphous GeSn interface is found at P fluences higher than 3 × 1015 cm‑2 and electron concentration of about 4 × 1019 cm‑3. The optical and structural properties of ion-implanted GeSn layers are comparable with the in situ doped MBE grown layers.

  5. GeO2/Ge structure submitted to annealing in deuterium: Incorporation pathways and associated oxide modifications

    Science.gov (United States)

    Bom, N. M.; Soares, G. V.; Hartmann, S.; Bordin, A.; Radtke, C.

    2014-10-01

    Deuterium (D) incorporation in GeO2/Ge structures following D2 annealing was investigated. Higher D concentrations were obtained for GeO2/Ge samples in comparison to their SiO2/Si counterparts annealed in the same conditions. Oxygen vacancies produced during the annealing step in D2 constitute defect sites for D incorporation, analogous to defects at the SiO2/Si interfacial region. Besides D incorporation, volatilization of the oxide layer is also observed as a consequence of D2 annealing, especially in the high temperature regime of the present study (>450 °C). In parallel to this volatilization, the stoichiometry and chemical structure of remnant oxide are modified as well. These results evidence the broader impact of forming gas annealing in dielectric/Ge structures with respect to SiO2/Si counterparts.

  6. The Relationship between Nanocluster Precipitation and Thermal Conductivity in Si/Ge Amorphous Multilayer Films: Effects of Cu Addition

    Directory of Open Access Journals (Sweden)

    Ahmad Ehsan Mohd Tamidi

    2016-01-01

    Full Text Available We have used a molecular dynamics technique to simulate the relationship between nanocluster precipitation and thermal conductivity in Si/Ge amorphous multilayer films, with and without Cu addition. In the study, the Green-Kubo equation was used to calculate thermal conductivity in these materials. Five specimens were prepared: Si/Ge layers, Si/(Ge + Cu layers, (Si + Cu/(Ge + Cu layers, Si/Cu/Ge/Cu layers, and Si/Cu/Ge layers. The number of precipitated nanoclusters in these specimens, which is defined as the number of four-coordinate atoms, was counted along the lateral direction of the specimens. The observed results of precipitate formation were considered in relation to the thermal conductivity results. Enhancement of precipitation of nanoclusters by Cu addition, that is, densification of four-coordinate atoms, can prevent the increment of thermal conductivity. Cu dopant increases the thermal conductivity of these materials. Combining these two points, we concluded that Si/Cu/Ge is the best structure to improve the conversion efficiency of the Si/Ge amorphous multilayer films.

  7. Gate-stack engineering for self-organized Ge-dot/SiO2/SiGe-shell MOS capacitors

    Directory of Open Access Journals (Sweden)

    Wei-Ting eLai

    2016-02-01

    Full Text Available We report the first-of-its-kind, self-organized gate-stack heterostructure of Ge-dot/SiO2/SiGe-shell on Si fabricated in a single step through the selective oxidation of a SiGe nano-patterned pillar over a Si3N4 buffer layer on a Si substrate. Process-controlled tunability of the Ge-dot size (7.5−90 nm, the SiO2 thickness (3−4 nm, and as well the SiGe-shell thickness (2−15 nm has been demonstrated, enabling a practically-achievable core building block for Ge-based metal-oxide-semiconductor (MOS devices. Detailed morphologies, structural, and electrical interfacial properties of the SiO2/Ge-dot and SiO2/SiGe interfaces were assessed using transmission electron microscopy, energy dispersive x-ray spectroscopy, and temperature-dependent high/low-frequency capacitance-voltage measurements. Notably, NiGe/SiO2/SiGe and Al/SiO2/Ge-dot/SiO2/SiGe MOS capacitors exhibit low interface trap densities of as low as 3-5x10^11 cm^-2·eV^-1 and fixed charge densities of 1-5x10^11 cm^-2, suggesting good-quality SiO2/SiGe-shell and SiO2/Ge-dot interfaces. In addition, the advantage of having single-crystalline Si1-xGex shell (x > 0.5 in a compressive stress state in our self-aligned gate-stack heterostructure has great promise for possible SiGe (or Ge MOS nanoelectronic and nanophotonic applications.

  8. Growth of Ge films by cluster beam deposition

    CERN Document Server

    Xu, J L; Feng, J Y

    2002-01-01

    Ge epitaxial layers with reasonable quality were grown on the Si(1 1 1) substrates by cluster beam deposition (CBD) process. The growth temperature plays a dominant role in the epitaxial growth of Ge films. The substrate temperature for epitaxial growth is about 500 deg. C, which is lower than the reported critical temperature of Ge epitaxial growth by MBE and CVD. A stress induced phase transition of Ge lattice from cubic to tetragonal is also observed in the CBD process, and the mechanism is discussed.

  9. Efficiency enhancement using a Zn1- x Ge x -O thin film as an n-type window layer in Cu2O-based heterojunction solar cells

    Science.gov (United States)

    Minami, Tadatsugu; Nishi, Yuki; Miyata, Toshihiro

    2016-05-01

    Efficiency enhancement was achieved in Cu2O-based heterojunction solar cells fabricated with a zinc-germanium-oxide (Zn1- x Ge x -O) thin film as the n-type window layer and a p-type Na-doped Cu2O (Cu2O:Na) sheet prepared by thermally oxidizing Cu sheets. The Ge content (x) dependence of the obtained photovoltaic properties of the heterojunction solar cells is mainly explained by the conduction band discontinuity that results from the electron affinity difference between Zn1- x Ge x -O and Cu2O:Na. The optimal value of x in Zn1- x Ge x -O thin films prepared by pulsed laser deposition was observed to be 0.62. An efficiency of 8.1% was obtained in a MgF2/Al-doped ZnO/Zn0.38Ge0.62-O/Cu2O:Na heterojunction solar cell.

  10. Study of Si-Ge interdiffusion with phosphorus doping

    KAUST Repository

    Cai, Feiyang; Anjum, Dalaver H.; Zhang, Xixiang; Xia, Guangrui

    2016-01-01

    Si-Ge interdiffusion with phosphorus doping was investigated by both experiments and modeling. Ge/Si1-x Ge x/Ge multi-layer structures with 0.75Ge<1, a mid-1018 to low-1019 cm−3 P doping, and a dislocation density of 108 to 109 cm−2 range were studied. The P-doped sample shows an accelerated Si-Ge interdiffusivity, which is 2–8 times of that of the undoped sample. The doping dependence of the Si-Ge interdiffusion was modelled by a Fermi-enhancement factor. The results show that the Si-Ge interdiffusion coefficient is proportional to n2/n2i for the conditions studied, which indicates that the interdiffusion in a high Ge fraction range with n-type doping is dominated by V2− defects. The Fermi-enhancement factor was shown to have a relatively weak dependence on the temperature and the Ge fraction. The results are relevant to the structure and thermal processing condition design of n-type doped Ge/Si and Ge/SiGe based devices such as Ge/Si lasers.

  11. Study of Si-Ge interdiffusion with phosphorus doping

    KAUST Repository

    Cai, Feiyang

    2016-10-28

    Si-Ge interdiffusion with phosphorus doping was investigated by both experiments and modeling. Ge/Si1-x Ge x/Ge multi-layer structures with 0.75Ge<1, a mid-1018 to low-1019 cm−3 P doping, and a dislocation density of 108 to 109 cm−2 range were studied. The P-doped sample shows an accelerated Si-Ge interdiffusivity, which is 2–8 times of that of the undoped sample. The doping dependence of the Si-Ge interdiffusion was modelled by a Fermi-enhancement factor. The results show that the Si-Ge interdiffusion coefficient is proportional to n2/n2i for the conditions studied, which indicates that the interdiffusion in a high Ge fraction range with n-type doping is dominated by V2− defects. The Fermi-enhancement factor was shown to have a relatively weak dependence on the temperature and the Ge fraction. The results are relevant to the structure and thermal processing condition design of n-type doped Ge/Si and Ge/SiGe based devices such as Ge/Si lasers.

  12. Strain and defect microstructure in ion-irradiated GeSi/Si strained layers as a function of annealing temperature

    International Nuclear Information System (INIS)

    Glasko, J.M.; Elliman, R.G.; Zou, J.; Cockayne, D.J.H.; Fitz Gerald, J.D.

    1998-01-01

    High energy (1 MeV), ion irradiation of GeSi/Si strained layers at elevated temperatures can cause strain relaxation. In this study, the effect of subsequent thermal annealing was investigated. Three distinct annealing stages were identified and correlated with the evolution of the defect microstructure. In the temperature range from 350 to 600 deg C, a gradual recovery of strain is observed. This is believed to result from the annealing of small defect clusters and the growth of voids. The voids are visible at annealing temperatures in excess of 600 deg C, consistent with an excess vacancy concentration in the irradiated alloy layer. The 600 to 750 deg C range is marked by pronounced maximal recovery of strain, and is correlated with the dissolution of faulted loops in the substrate. At temperatures in the range 750-1000 deg C, strain relaxation is observed and is correlated with the growth of intrinsic dislocations within the alloy layer. These dislocations nucleate at the alloy-substrate interface and grow within the alloy layer, towards the surface. (authors)

  13. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends.

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-08-02

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed.

  14. Temperature dependence of the interband critical points of bulk Ge and strained Ge on Si

    Science.gov (United States)

    Fernando, Nalin S.; Nunley, T. Nathan; Ghosh, Ayana; Nelson, Cayla M.; Cooke, Jacqueline A.; Medina, Amber A.; Zollner, Stefan; Xu, Chi; Menendez, Jose; Kouvetakis, John

    2017-11-01

    Epitaxial Ge layers on a Si substrate experience a tensile biaxial stress due to the difference between the thermal expansion coefficients of the Ge epilayer and the Si substrate, which can be measured using asymmetric X-ray diffraction reciprocal space maps. This stress depends on temperature and affects the band structure, interband critical points, and optical spectra. This manuscripts reports careful measurements of the temperature dependence of the dielectric function and the interband critical point parameters of bulk Ge and Ge epilayers on Si using spectroscopic ellipsometry from 80 to 780 K and from 0.8 to 6.5 eV. The authors find a temperature-dependent redshift of the E1 and E1 + Δ1 critical points in Ge on Si (relative to bulk Ge). This redshift can be described well with a model based on thermal expansion coefficients, continuum elasticity theory, and the deformation potential theory for interband transitions. The interband transitions leading to E0‧ and E2 critical points have lower symmetry and therefore are not affected by the stress.

  15. Effects of insulating vanadium oxide composite in concomitant mixed phases via interface barrier modulations on the performance improvements in metal-insulator-metal diodes

    Directory of Open Access Journals (Sweden)

    Kaleem Abbas

    2018-03-01

    Full Text Available The performance of metal-insulator-metal diodes is investigated for insulating vanadium oxide (VOx composite composed of concomitant mixed phases using the Pt metal as the top and the bottom electrodes. Insulating VOx composite in the Pt/VOx/Pt diode exhibits a high asymmetry of 10 and a very high sensitivity of 2,135V−1 at 0.6 V. The VOx composite provides Schottky-like barriers at the interface, which controls the current flow and the trap-assisted conduction mechanism. Such dramatic enhancement in asymmetry and rectification performance at low applied bias may be ascribed to the dynamic control of the insulating and metallic phases in VOx composites. We find that the nanostructure details of the insulating VOx layer can be critical in enhancing the performance of MIM diodes.

  16. Development of electrical insulation and conduction coating for fusion experimental devices

    Energy Technology Data Exchange (ETDEWEB)

    Onozuka, M. [Mitsubishi Heavy Industries Ltd., Yokohama (Japan); Tsujimura, S. [Mitsubishi Heavy Industries Ltd., Yokohama (Japan); Toyoda, M. [Mitsubishi Heavy Industries Ltd., Yokohama (Japan); Inoue, M. [Mitsubishi Heavy Industries Ltd., Yokohama (Japan); Abe, T. [Japan Atomic Energy Research Inst., Naka (Japan); Murakami, Y. [Japan Atomic Energy Research Inst., Naka (Japan)

    1995-12-31

    Development of electrical insulation and conduction methods that can be applied for large components have been investigated for future large fusion experimental devices. A thermal spraying method is employed to coat the insulation or conduction materials on the structural components. Al{sub 2}O{sub 3} has been selected as an insulation material, while Cr{sub 3}C{sub 2}-NiCr and WC-NiCr have been chosen as conduction materials. These materials were coated on stainless steel base plates to examine the basic characteristics of the coated layers, such as their adhesive strength to the base plate and electrical resistance. It was found that they have sufficient electrical insulation and conduction properties, respectively. In addition, the sliding tests of the coated layers showed sufficient frictional properties. The applicability of the spraying method was examined on a 100mm x 1000mm surface and found to be applicable for large surfaces in fusion experimental devices. (orig.).

  17. Development of electrical insulation and conduction coating for fusion experimental devices

    International Nuclear Information System (INIS)

    Onozuka, M.; Tsujimura, S.; Toyoda, M.; Inoue, M.; Abe, T.; Murakami, Y.

    1995-01-01

    Development of electrical insulation and conduction methods that can be applied for large components have been investigated for future large fusion experimental devices. A thermal spraying method is employed to coat the insulation or conduction materials on the structural components. Al 2 O 3 has been selected as an insulation material, while Cr 3 C 2 -NiCr and WC-NiCr have been chosen as conduction materials. These materials were coated on stainless steel base plates to examine the basic characteristics of the coated layers, such as their adhesive strength to the base plate and electrical resistance. It was found that they have sufficient electrical insulation and conduction properties, respectively. In addition, the sliding tests of the coated layers showed sufficient frictional properties. The applicability of the spraying method was examined on a 100mm x 1000mm surface and found to be applicable for large surfaces in fusion experimental devices. (orig.)

  18. Development of electrical insulator coatings for fusion power applications

    International Nuclear Information System (INIS)

    Park, J.H.; Domenico, T.; Dragel, G.; Clark, R.

    1995-01-01

    In the design of liquid-metal cooling systems for fusion blanket applications, the corrosion resistance of structural materials and the magnetohydrodynamic (MHD) force and its subsequent influence on thermal hydraulics and corrosion are major concerns. The objective of this study was to develop stable corrosion-resistant electrical insulator coatings at the liquid-metal-structural-material interface, with emphasis on electrically insulating coatings that prevent adverse MHD-generated currents from passing through the structural walls. Vanadium and V-base alloys (V-Ti or V-Ti-Cr) are leading candidate materials for structural applications in fusion reactors. When the system is cooled by liquid metals, insulator coatings are required on piping surfaces in contact with the coolant. Various intermetallic films were produced on V, V-5Ti, and V-20Ti, V-5Cr-5Ti, and V-15Cr-5Ti, and Ti, and on types 304 and 316 stainless steel. The intermetallic layers were developed by exposure of the materials to liquid Li containing 3-5at.% dissolved metallic solute (e.g. Al, Be, Mg, Si, Ca, Pt, and Cr) at temperatures of 416-880 C. Subsequently, electrical insulator coatings were produced by reaction of the reactive layers with dissolved N in liquid Li or by air oxidation under controlled conditions at 600-1000 C. These reactions converted the intermetallic layers to electrically insulating oxide-nitride or oxynitride layers. This coating method is applicable to reactor components. The liquid metal can be used over and over because only the solutes are consumed within the liquid metal. The technique can be applied to various shapes (e.g. inside or outside of tubes, complex geometrical shapes) because the coating is formed by liquid-phase reaction. This paper discusses initial results on the nature of the coatings (composition, thickness, adhesion, surface coverage) and their in situ electrical resistivity characteristics in liquid Li at high temperatures. (orig.)

  19. Remote plasma enhanced chemical deposition of non-crystalline GeO2 on Ge and Si substrates.

    Science.gov (United States)

    Lucovsky, Gerald; Zeller, Daniel

    2011-09-01

    Non-crystalline GeO2 films remote were plasma deposited at 300 degrees C onto Ge substrates after a final rinse in NH4OH. The reactant precursors gas were: (i) down-stream injected 2% GeH4 in He as the Ge precursor, and (ii) up-stream, plasma excited O2-He mixtures as the O precursor. Films annealed at 400 degrees C displayed no evidence for loss of O resulting in Ge sub-oxide formation, and for a 5-6 eV mid-gap absorption associated with formation of GeOx suboxide bonding, x deposited on Ge and annealed at 600 degrees C and 700 degrees C display spectra indicative of loss of O-atoms, accompanied with a 5.5 eV absorption. X-ray absorption spectroscopy and many-electron theory are combined to describe symmetries and degeneracies for O-vacancy bonding defects. These include comparisons with remote plasma-deposited non-crystalline SiO2 on Si substrates with SiON interfacial layers. Three different properties of remote plasma GeO2 films are addressed comparisons between (i) conduction band and band edge states of GeO2 and SiO2, and (ii) electronic structure of O-atom vacancy defects in GeO2 and SiO2, and differences between (iii) annealing of GeO2 films on Ge substrates, and Si substrates passivated with SiON interfacial transition regions important for device applications.

  20. Mechanical characterization of poly-SiGe layers for CMOS–MEMS integrated application

    International Nuclear Information System (INIS)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; De Wolf, Ingrid; Puers, Robert

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups

  1. Mechanical characterization of poly-SiGe layers for CMOS-MEMS integrated application

    Science.gov (United States)

    Modlinski, Robert; Witvrouw, Ann; Verbist, Agnes; Puers, Robert; De Wolf, Ingrid

    2010-01-01

    Measuring mechanical properties at the microscale is essential to understand and to fabricate reliable MEMS. In this paper a tensile testing system and matching microscale test samples are presented. The test samples have a dog-bone-like structure. They are designed to mimic standard macro-tensile test samples. The micro-tensile tests are used to characterize 0.9 µm thick polycrystalline silicon germanium (poly-SiGe) films. The poly-SiGe film, that can be considered as a close equivalent to polycrystalline silicon (poly-Si), is studied as a very promising material for use in CMOS/MEMS integration in a single chip due to its low-temperature LPCVD deposition (T < 450 °C). The fabrication process of the poly-SiGe micro-tensile test structure is explained in detail: the design, the processing and post-processing, the testing and finally the results' discussion. The poly-SiGe micro-tensile results are also compared with nanoindentation data obtained on the same poly-SiGe films as well as with results obtained by other research groups.

  2. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  3. Artificial heart system thermal insulation component development

    International Nuclear Information System (INIS)

    Svedberg, R.C.; Buckman, R.W. Jr.

    1975-01-01

    A concentric cup vacuum multifoil insulation system has been selected by virtue of its size, weight, and thermal performance to insulate the hot radioisotope portion of the thermal converter of an artificial implantable heart system. A factor of 2 improvement in thermal performance, based on the heat loss per number of foil layers (minimum system weight and volume) has been realized over conventional spiral wrapped multifoil vacuum insulation. This improvement is the result of the concentric cup construction to maintain a uniform interfoil spacing and the elimination of corner heat losses. Based on external insulation system dimensions (surface area in contact with host body), heat losses of 0.019 W/ cm 2 at 1140 0 K (1600 0 F) and 0.006 W/cm 2 at 920 0 K (1200 0 F) have been achieved. Factors which influence thermal performance of the nickel foil concentric cup insulation system include the number of cups, configuration and method of application of zirconia (ZrO 2 ) spacer material, system pressure, emittance of the cups, and operating temperature

  4. Atomic Layer Deposition of Al2O3 on H-Passivated GeSi: Initial Surface Reaction Pathways with H/GeSi(100)-2 × 1

    International Nuclear Information System (INIS)

    Yu, Shi; Qing-Qing, Sun; Lin, Dong; Han, Liu; Shi-Jin, Ding; Wei, Zhang

    2009-01-01

    The reaction mechanisms of Al(CH 3 ) 3 (TMA) adsorption on H-passivated GeSi(100)-2 × 1 surface are investigated with density functional theory. The Si–Ge and Ge–Ge one-dimer cluster models are employed to represent the GeSi(100)-2 × 1 surface with different Ge compositions. For a Si-Ge dimer of a H-passivated SiGe surface, TMA adsorption on both Si–H * and Ge–H * sites is considered. The activation barrier of TMA with the Si–H * site (1.2eV) is higher than that of TMA with the Ge-H * site (0.91 eV), which indicates that the reaction proceeds more slowly on the Si-H * site than on the Ge-H * site. In addition, adsorption of TMA is more energetically favorable on the Ge–Ge dimer than on the Si–Ge dimer of H-passivated SiGe. (atomic and molecular physics)

  5. P/N InP solar cells on Ge wafers

    Science.gov (United States)

    Wojtczuk, Steven; Vernon, Stanley; Burke, Edward A.

    1994-01-01

    Indium phosphide (InP) P-on-N one-sun solar cells were epitaxially grown using a metalorganic chemical vapor deposition process on germanium (Ge) wafers. The motivation for this work is to replace expensive InP wafers, which are fragile and must be thick and therefore heavy, with less expensive Ge wafers, which are stronger, allowing use of thinner, lighter weight wafers. An intermediate InxGs1-xP grading layer starting as In(0.49)Ga(0.51) at the GaAs-coated Ge wafer surface and ending as InP at the top of the grading layer (backside of the InP cell) was used to attempt to bend some of the threading dislocations generated by lattice-mismatch between the Ge wafer and InP cell so they would be harmlessly confined in this grading layer. The best InP/Ge cell was independently measured by NASA-Lewis with a one-sun 25 C AMO efficiently measured by NASA-Lewis with a one-circuit photocurrent 22.6 mA/sq cm. We believe this is the first published report of an InP cell grown on a Ge wafer. Why get excited over a 9 percent InP/Ge cell? If we look at the cell weight and efficiency, a 9 percent InP cell on an 8 mil Ge wafer has about the same cell power density, 118 W/kg (BOL), as the best InP cell ever made, a 19 percent InP cell on an 18 mil InP wafer, because of the lighter Ge wafer weight. As cell panel materials become lighter, the cell weight becomes more important, and the advantage of lightweight cells to the panel power density becomes more important. In addition, although InP/Ge cells have a low beginning-of-life (BOL) efficiency due to dislocation defects, the InP/Ge cells are very radiation hard (end-of-life power similar to beginning-of-life). We have irradiated an InP/Ge cell with alpha particles to an equivalent fluence of 1.6 x 10(exp 16) 1 MeV electrons/sq cm and the efficiency is still 83 percent of its BOL value. At this fluence level, the power output of these InP/Ge cells matches the GaAs/Ge cell data tabulated in the JPL handbook. Data are presented

  6. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    Energy Technology Data Exchange (ETDEWEB)

    Teys, S.A., E-mail: teys@isp.nsc.ru

    2017-01-15

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  7. Different growth mechanisms of Ge by Stranski-Krastanow on Si (111) and (001) surfaces: An STM study

    International Nuclear Information System (INIS)

    Teys, S.A.

    2017-01-01

    Highlights: • Different atomic mechanisms of transition from two-dimensional to three-dimensional-layer growth on Sransky-Krastanov observed. • The transition from 2D–3D Ge growth on Si (111) and (001) is very different. • Various changes in morphology, surface structures and sequence Ge redistribution during the growth shown. • The sequence of appearance of different incorporation places of Ge atoms was shown. - Abstract: Structural and morphological features of the wetting layer formation and the transition to the three-dimensional Ge growth on (111) and (100) Si surfaces under quasi-equilibrium growth conditions were studied by means of scanning tunneling microscopy. The mechanism of the transition from the wetting layer to the three-dimensional Ge growth on Si was demonstrated. The principal differences and general trends of the atomic processes involved in the wetting layers formation on substrates with different orientations were demonstrated. The Ge growth is accompanied by the Ge atom redistribution and partial strain relaxation due to the formation of new surfaces, vacancies and surface structures of a decreased density. The analysis of three-dimensional Ge islands sites nucleation of after the wetting layer formation was carried out on the (111) surface. The transition to the three-dimensional growth at the Si(100) surface begins with single {105} facets nucleation on the rough Ge(100) surface.

  8. Microstructure evolution in pulsed laser deposited epitaxial Ge-Sb-Te chalcogenide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ross, Ulrich; Lotnyk, Andriy, E-mail: andriy.lotnyk@iom-leipzig.de; Thelander, Erik; Rauschenbach, Bernd

    2016-08-15

    The thin film deposition and structure of highly oriented telluride compounds is of particular interest for phase-change applications in next-generation non-volatile memory such as heterostructure designs, as well as for the investigation of novel optical, thermoelectric and ferroelectric properties in layered telluride compounds. In this work, epitaxial Ge-Sb-Te thin films were successfully produced by pulsed laser deposition on silicon with and without amorphous SiO{sub x} interlayer at elevated process temperatures from a Ge{sub 2}Sb{sub 2}Te{sub 5} target. Aberration-corrected high-resolution scanning transmission electron microscopy (STEM) imaging reveals a distinct interface configuration of the trigonal phase connected by a quasi van der Waals gap (vacancy) to the Sb/Te-passivated single crystalline Si substrate, yet also an intermediate textured growth regime in which the substrate symmetry is only weakly coupled to the thin film orientation, as well as strong deviation of composition at high deposition temperatures. Textured growth of Ge-Sb-Te thin film was also observed on SiO{sub x}/Si substrate with no evidence of an intermediate Sb/Te surface layer on top of an SiO{sub x} layer. In addition, particular defect structures formed by local reorganization of the stacking sequence across the vacancy gap are observed and appear to be intrinsic to these van der Waals-layered compounds. Theoretical image simulations of preferred stacking sequences can be matched to individual building blocks in the Ge-Sb-Te grain. - Highlights: • Atomic-resolution Cs-corrected STEM imaging of PLD deposited Ge-Sb-Te thin films. • Changing of overall composition with increasing deposition temperature. • Direct imaging of surface passivation Sb/Te layer at the Ge-Sb-Te/Si(111) interface. • The Sb/Te passivation layer is not a prerequisite for highly oriented growth of Ge-Sb-Te thin films.

  9. Propagation and generation of Josephson radiation in superconductor/insulator superlattices

    International Nuclear Information System (INIS)

    Auvil, P.R.; Ketterson, J.B.

    1987-01-01

    The wave propagation and generation characteristics of a metal-insulator superlattice are calculated in a low-field Landau--Ginzburg model, including Josephson coupling through the insulating layers. It is shown that a significant increase in the phase velocity of the electromagnetic waves propagating in the superlattice occurs when the thickness of the superconducting layers becomes much less than the London penetration depth, suggesting that increased output of Josephson radiation may be achieved from such structures. Wave generation via the ac Josephson effect (in the presence of applied dc electric and magnetic fields) is studied for both parallel and series driven multilayer structures

  10. Ge/Si(001) heterostructures with dense arrays of Ge quantum dots: morphology, defects, photo-emf spectra and terahertz conductivity.

    Science.gov (United States)

    Yuryev, Vladimir A; Arapkina, Larisa V; Storozhevykh, Mikhail S; Chapnin, Valery A; Chizh, Kirill V; Uvarov, Oleg V; Kalinushkin, Victor P; Zhukova, Elena S; Prokhorov, Anatoly S; Spektor, Igor E; Gorshunov, Boris P

    2012-07-23

    : Issues of Ge hut cluster array formation and growth at low temperatures on the Ge/Si(001) wetting layer are discussed on the basis of explorations performed by high resolution STM and in-situ RHEED. Dynamics of the RHEED patterns in the process of Ge hut array formation is investigated at low and high temperatures of Ge deposition. Different dynamics of RHEED patterns during the deposition of Ge atoms in different growth modes is observed, which reflects the difference in adatom mobility and their 'condensation' fluxes from Ge 2D gas on the surface for different modes, which in turn control the nucleation rates and densities of Ge clusters. Data of HRTEM studies of multilayer Ge/Si heterostructures are presented with the focus on low-temperature formation of perfect films.Heteroepitaxial Si p-i-n-diodes with multilayer stacks of Ge/Si(001) quantum dot dense arrays built in intrinsic domains have been investigated and found to exhibit the photo-emf in a wide spectral range from 0.8 to 5 μm. An effect of wide-band irradiation by infrared light on the photo-emf spectra has been observed. Photo-emf in different spectral ranges has been found to be differently affected by the wide-band irradiation. A significant increase in photo-emf is observed in the fundamental absorption range under the wide-band irradiation. The observed phenomena are explained in terms of positive and neutral charge states of the quantum dot layers and the Coulomb potential of the quantum dot ensemble. A new design of quantum dot infrared photodetectors is proposed.By using a coherent source spectrometer, first measurements of terahertz dynamical conductivity (absorptivity) spectra of Ge/Si(001) heterostructures were performed at frequencies ranged from 0.3 to 1.2 THz in the temperature interval from 300 to 5 K. The effective dynamical conductivity of the heterostructures with Ge quantum dots has been discovered to be significantly higher than that of the structure with the same amount of bulk

  11. Growth of BaSi2 continuous films on Ge(111) by molecular beam epitaxy and fabrication of p-BaSi2/n-Ge heterojunction solar cells

    Science.gov (United States)

    Takabe, Ryota; Yachi, Suguru; Tsukahara, Daichi; Toko, Kaoru; Suemasu, Takashi

    2017-05-01

    We grew BaSi2 films on Ge(111) substrates by various growth methods based on molecular beam epitaxy (MBE). First, we attempted to form BaSi2 films directly on Ge(111) by MBE without templates. We next formed BaSi2 films using BaGe2 templates as commonly used for MBE growth of BaSi2 on Si substrates. Contrary to our prediction, the lateral growth of BaSi2 was not promoted by these two methods; BaSi2 formed not into a continuous film but into islands. Although streaky patterns of reflection high-energy electron diffraction were observed inside the growth chamber, no X-ray diffraction lines of BaSi2 were observed in samples taken out from the growth chamber. Such BaSi2 islands were easily to get oxidized. We finally attempted to form a continuous BaSi2 template layer on Ge(111) by solid phase epitaxy, that is, the deposition of amorphous Ba-Si layers onto MBE-grown BaSi2 epitaxial islands, followed by post annealing. We achieved the formation of an approximately 5-nm-thick BaSi2 continuous layer by this method. Using this BaSi2 layer as a template, we succeeded in forming a-axis-oriented 520-nm-thick BaSi2 epitaxial films on Ge substrates, although (111)-oriented Si grains were included in the grown layer. We next formed a B-doped p-BaSi2(20 nm)/n-Ge(111) heterojunction solar cell. A wide-spectrum response from 400 to 2000 nm was achieved. At an external bias voltage of 1 V, the external quantum efficiency reached as high as 60%, demonstrating the great potential of BaSi2/Ge combination. However, the efficiency of a solar cell under AM1.5 illumination was quite low (0.1%). The origin of such a low efficiency was examined.

  12. Load responsive multilayer insulation performance testing

    Energy Technology Data Exchange (ETDEWEB)

    Dye, S.; Kopelove, A. [Quest Thermal Group, 6452 Fig Street Suite A, Arvada, CO 80004 (United States); Mills, G. L. [Ball Aerospace and Technologies Corp, 1600 Commerce Street, Boulder, CO 80301 (United States)

    2014-01-29

    Cryogenic insulation designed to operate at various pressures from one atmosphere to vacuum, with high thermal performance and light weight, is needed for cryogenically fueled space launch vehicles and aircraft. Multilayer insulation (MLI) performs well in a high vacuum, but the required vacuum shell for use in the atmosphere is heavy. Spray-on foam insulation (SOFI) is often used in these systems because of its light weight, but can have a higher heat flux than desired. We report on the continued development of Load Responsive Multilayer Insulation (LRMLI), an advanced thermal insulation system that uses dynamic beam discrete spacers that provide high thermal performance both in atmosphere and vacuum. LRMLI consists of layers of thermal radiation barriers separated and supported by micromolded polymer spacers. The spacers have low thermal conductance, and self-support a thin, lightweight vacuum shell that provides internal high vacuum in the insulation. The dynamic load responsive spacers compress to support the external load of a vacuum shell in one atmosphere, and decompress under reduced atmospheric pressure for lower heat leak. Structural load testing was performed on the spacers with various configurations. LRMLI was installed on a 400 liter tank and boil off testing with liquid nitrogen performed at various chamber pressures from one atmosphere to high vacuum. Testing was also performed with an MLI blanket on the outside of the LRMLI.

  13. Load responsive multilayer insulation performance testing

    International Nuclear Information System (INIS)

    Dye, S.; Kopelove, A.; Mills, G. L.

    2014-01-01

    Cryogenic insulation designed to operate at various pressures from one atmosphere to vacuum, with high thermal performance and light weight, is needed for cryogenically fueled space launch vehicles and aircraft. Multilayer insulation (MLI) performs well in a high vacuum, but the required vacuum shell for use in the atmosphere is heavy. Spray-on foam insulation (SOFI) is often used in these systems because of its light weight, but can have a higher heat flux than desired. We report on the continued development of Load Responsive Multilayer Insulation (LRMLI), an advanced thermal insulation system that uses dynamic beam discrete spacers that provide high thermal performance both in atmosphere and vacuum. LRMLI consists of layers of thermal radiation barriers separated and supported by micromolded polymer spacers. The spacers have low thermal conductance, and self-support a thin, lightweight vacuum shell that provides internal high vacuum in the insulation. The dynamic load responsive spacers compress to support the external load of a vacuum shell in one atmosphere, and decompress under reduced atmospheric pressure for lower heat leak. Structural load testing was performed on the spacers with various configurations. LRMLI was installed on a 400 liter tank and boil off testing with liquid nitrogen performed at various chamber pressures from one atmosphere to high vacuum. Testing was also performed with an MLI blanket on the outside of the LRMLI

  14. Local charge trapping in Ge nanoclustersdetected by Kelvin probe force microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Kondratenko, S.V., E-mail: kondr@univ.kiev.ua [Taras Shevchenko National University of Kyiv, 64/13 Volodymyrska Str., 01601, Kyiv (Ukraine); Lysenko, V.S. [Institute of Semiconductor Physics, 41 Prospect Nauki, 03028, Kyiv (Ukraine); Kozyrev, Yu. N. [O.O. Chuiko Institute of Surface Chemistry, 17 GeneralaNaumova Str. 03164, Kiev (Ukraine); Kratzer, M. [Institute of Physics, MontanuniversitätLeoben, Franz Josef Str. 18, A-8700, Leoben (Austria); Storozhuk, D.P.; Iliash, S.A. [Taras Shevchenko National University of Kyiv, 64/13 Volodymyrska Str., 01601, Kyiv (Ukraine); Czibula, C. [Institute of Physics, MontanuniversitätLeoben, Franz Josef Str. 18, A-8700, Leoben (Austria); Teichert, C., E-mail: teichert@unileoben.ac.at [Institute of Physics, MontanuniversitätLeoben, Franz Josef Str. 18, A-8700, Leoben (Austria)

    2016-12-15

    The understanding of local charge trapping on the nanoscale is crucial for the design of novel electronic devices and photodetectors based on SiGe nanoclusters (NCs). Here, the local spatial distribution of the surface potential of the Ge NCs was detected using Kelvin probe force microscopy (KPFM). Different surface potentials between Ge NCs and the wetting layer (WL) surface were detected at room temperature. Changes of the local contact potential differences (CPD) were studied after injection of electrons or holes into single Ge NCs on top of the Si layer using a conductive atomic force microscopy tip. The CPD image contrast was increased after electron injection by applying a forward bias to the n-tip/i-Ge NC/p-Si junction. Injecting holes into a single Ge NC was also accompanied by filling of two-dimensional states in the surrounding region, which is governed by leakage currents through WL or surface states and Coulomb charging effects. A long retention time of holes trapped by the Ge NC was found.

  15. Whispering Gallery Mode Resonances from Ge Micro-Disks on Suspended Beams

    Directory of Open Access Journals (Sweden)

    Abdelrahman Zaher Al-Attili

    2015-05-01

    Full Text Available Ge is considered to be one of the most promising materials for realizing full monolithic integration of a light source on a silicon (Si photonic chip. Tensile-strain is required to convert Ge into an optical gain material and to reduce the pumping required for population inversion. Several methods of strain application to Ge are proposed in literature, of which the use of free-standing beams fabricated by micro-electro-mechanical systems (MEMS processes are capable of delivering very high strain values. However, it is challenging to make an optical cavity within free-standing Ge beams, and here, we demonstrate the fabrication of a simple cavity while imposing tensile strain by suspension using Ge-On-Insulator (GOI wafers. Ge micro-disks are made on top of suspended SiO$_{2}$ beams by partially removing the supporting Si substrate. According to Raman spectroscopy, a slight tensile strain was applied to the Ge disks through the bending of the SiO2 beams. Whispering-Gallery-Mode (WGM resonances were observed from a disk with a diameter of 3um, consistent with the finite-domain time-difference simulations. The quality (Q factor was 192, and upon increasing the pumping power, the Q-factor was degraded due to the red-shift of Ge direct-gap absorption edge caused by heating.

  16. Buried Porous Silicon-Germanium Layers in Monocrystalline Silicon Lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1998-01-01

    Monocrystalline semiconductor lattices with a buried porous semiconductor layer having different chemical composition is discussed and monocrystalline semiconductor superlattices with a buried porous semiconductor layers having different chemical composition than that of its monocrystalline semiconductor superlattice are discussed. Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si-Ge layers followed by patterning into mesa structures. The mesa structures are strain etched resulting in porosification of the Si-Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si-Ge layers produced in a similar manner emitted visible light at room temperature.

  17. Fabrication, structural and electrical properties of (1 1 0) localized silicon-on-insulator devices

    International Nuclear Information System (INIS)

    Destefanis, V; Huguenin, J L; Samson, M P; Morand, Y; Arvet, C; Monfray, S; Skotnicki, T; Hartmann, J M; Delaye, V; Boulitreau, P; Brianceau, P; Gautier, P

    2010-01-01

    The aim being to fabricate (1 1 0) localized silicon-on-insulator (L-SOI) devices, we have first of all completed the Semicond. Sci. Technol. 23 105018 (2008) study of the differences between (1 1 0) and (1 0 0) surfaces in terms of (i) HCl etch kinetics and (ii) SiGe growth kinetics (with a chlorinated chemistry). The core layers of a L-SOI device are indeed obtained thanks to the in situ HCl etching (on patterned wafers) of the Si active areas followed by the selective epitaxial growth of a Si 0.7 Ge 0.3 /Si stack. Given that SiGe(1 1 0) layers grown at 650 °C in windows of patterned wafers are rough, we have first of all studied the 600 °C growth kinetics of SiGe(1 1 0). As expected, the SiGe growth rate decreases as the growth temperature decreases from 650 °C down to 600 °C (irrespective of the surface orientation). The SiGe(1 0 0) growth rate increases linearly with the germane mass flow. Meanwhile, the SiGe(1 1 0) growth rate increases in a sub-linear fashion and then saturates at much lower values than on (1 0 0). The Ge concentration x dependence on the F(GeH 4 )/F(SiH 2 Cl 2 ) mass flow ratio is parabolic on (1 0 0) and linear on (1 1 0), with lower values on the latter than on the former. We have then used those data to fabricate (1 0 0) and (1 1 0) L-SOI structures. The high HCl partial pressure recessing of the Si(1 1 0) and Si(1 0 0) active areas was performed at 675 °C and 725 °C, respectively. An increase of both the Si(1 1 0) HCl etch rate and the SiGe growth rate (be it at 650 °C on (1 0 0) or at 600 °C on (1 1 0)) was noticed when switching from blanket to patterned wafers (factors of 2.5–3 for HCI and 1.5 for SiGe). Finally, Si(1 1 0) growth times were multiplied by 4/3 compared to the Si(1 0 0) growth time in order to obtain similar thickness Si caps. Subsequent process steps were very similar on (1 0 0) and (1 1 0). Almost the same etch rates were

  18. Acoustic excitation of containment insulation cover plate

    International Nuclear Information System (INIS)

    Fenech, H.; Rao, A.K.

    1978-01-01

    An experimental and theoretical program has been implemented by NRC-BNL since 1975 at the University of California, Santa Barbara to assess the reliability of the PCRV thermal insulation cover plate and the possible safety problem caused by the failure of this plate. A typical large HTGR PCRV unit [1160 MW(e)] and thermal insulation class A were selected. The upper core cavity is estimated to be the most critical volume where the noise pressure levels are expected to reach 110 to 130 dB (rel. to 2 x 10 -4 dynes/cm 2 ). The noise spectrum in that cavity is a composite of circulator noise, vortex shedding boundary layer turbulence, and flow impingement. Some anticipated safety related problems associated with the thermal insulation failure are examined

  19. Doped Sc2C(OH)2 MXene: new type s-pd band inversion topological insulator.

    Science.gov (United States)

    Balcı, Erdem; Akkuş, Ünal Özden; Berber, Savas

    2018-04-18

    The electronic structures of Si and Ge substitutionally doped Sc 2 C(OH) 2 MXene monolayers are investigated in density functional theory. The doped systems exhibit band inversion, and are found to be topological invariants in Z 2 theory. The inclusion of spin orbit coupling results in band gap openings. Our results point out that the Si and Ge doped Sc 2 C(OH) 2 MXene monolayers are topological insulators. The band inversion is observed to have a new mechanism that involves s and pd states.

  20. Doped Sc2C(OH)2 MXene: new type s-pd band inversion topological insulator

    Science.gov (United States)

    Balcı, Erdem; Özden Akkuş, Ünal; Berber, Savas

    2018-04-01

    The electronic structures of Si and Ge substitutionally doped Sc2C(OH)2 MXene monolayers are investigated in density functional theory. The doped systems exhibit band inversion, and are found to be topological invariants in Z 2 theory. The inclusion of spin orbit coupling results in band gap openings. Our results point out that the Si and Ge doped Sc2C(OH)2 MXene monolayers are topological insulators. The band inversion is observed to have a new mechanism that involves s and pd states.

  1. Interface bond relaxation on the thermal conductivity of Si/Ge core-shell nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Weifeng; He, Yan; Ouyang, Gang, E-mail: gangouy@hunnu.edu.cn [Key Laboratory of Low-Dimensional Quantum Structures and Quantum Control of Ministry of Education, Synergetic Innovation Center for Quantum Effects and Applications(SICQEA), Hunan Normal University, Changsha 410081 (China); Sun, Changqing [School of Electrical & Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2016-01-15

    The thermal conductivity of Si/Ge core-shell nanowires (CSNWs) is investigated on the basis of atomic-bond-relaxation consideration and continuum mechanics. An analytical model is developed to clarify the interface bond relaxation of Si/Ge CSNWs. It is found that the thermal conductivity of Si core can be modulated through covering with Ge epitaxial layers. The change of thermal conductivity in Si/Ge CSNWs should be attributed to the surface relaxation and interface mismatch between inner Si nanowire and outer Ge epitaxial layer. Our results are in well agreement with the experimental measurements and simulations, suggesting that the presented method provides a fundamental insight of the thermal conductivity of CSNWs from the atomistic origin.

  2. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-01-01

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed. PMID:28773772

  3. Carrier recombination in tailored multilayer Si/Si{sub 1−x}Ge{sub x} nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Mala, S.A. [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Tsybeskov, L., E-mail: tsybesko@njit.edu [Department of Electrical and Computer Engineering, New Jersey Institute of Technology, Newark, NJ 07102 (United States); Lockwood, D.J.; Wu, X.; Baribeau, J.-M. [National Research Council, Ottawa, ON, Canada KIA 0R6 (Canada)

    2014-11-15

    Photoluminescence (PL) measurements were performed in Si/Si{sub 1−x}Ge{sub x} nanostructures with a single Si{sub 0.92}Ge{sub 0.08} nanometer-thick layer incorporated into Si/Si{sub 0.6}Ge{sub 0.4} cluster multilayers. Under pulsed laser excitation, the PL decay associated with the Si{sub 0.92}Ge{sub 0.08} nano-layer is found to be nearly a 1000 times faster compared to that in Si/Si{sub 0.6}Ge{sub 0.4} cluster multilayers. A model considering Si/SiGe hetero-interface composition and explaining the fast and slow time-dependent recombination rates is proposed.

  4. Evaluating the Critical Thickness of TiO 2 Layer on Insulating Mesoporous Templates for Efficient Current Collection in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Comte, Pascal; Humphry-Baker, Robin; Kessler, Florian; Yi, Chenyi; Nazeeruddin, Md. Khaja; Grä tzel, Michael

    2013-01-01

    In this paper, a way of utilizing thin and conformal overlayer of titanium dioxide on an insulating mesoporous template as a photoanode for dye-sensitized solar cells is presented. Different thicknesses of TiO2 ranging from 1 to 15 nm are deposited on the surface of the template by atomic layer deposition. This systematic study helps unraveling the minimum critical thickness of the TiO2 overlayer required to transport the photogenerated electrons efficiently. A merely 6-nm-thick TiO2 film on a 3-μm mesoporous insulating substrate is shown to transport 8 mA/cm 2 of photocurrent density along with ≈900 mV of open-circuit potential when using our standard donor-π-acceptor sensitizer and Co(bipyridine) redox mediator. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Evaluating the Critical Thickness of TiO 2 Layer on Insulating Mesoporous Templates for Efficient Current Collection in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar

    2013-01-15

    In this paper, a way of utilizing thin and conformal overlayer of titanium dioxide on an insulating mesoporous template as a photoanode for dye-sensitized solar cells is presented. Different thicknesses of TiO2 ranging from 1 to 15 nm are deposited on the surface of the template by atomic layer deposition. This systematic study helps unraveling the minimum critical thickness of the TiO2 overlayer required to transport the photogenerated electrons efficiently. A merely 6-nm-thick TiO2 film on a 3-μm mesoporous insulating substrate is shown to transport 8 mA/cm 2 of photocurrent density along with ≈900 mV of open-circuit potential when using our standard donor-π-acceptor sensitizer and Co(bipyridine) redox mediator. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Ion diode performance on a positive polarity inductive voltage adder with layered magnetically insulated transmission line flow

    International Nuclear Information System (INIS)

    Hinshelwood, D. D.; Schumer, J. W.; Allen, R. J.; Commisso, R. J.; Jackson, S. L.; Murphy, D. P.; Phipps, D.; Swanekamp, S. B.; Weber, B. V.; Ottinger, P. F.; Apruzese, J. P.; Cooperstein, G.; Young, F. C.

    2011-01-01

    A pinch-reflex ion diode is fielded on the pulsed-power machine Mercury (R. J. Allen, et al., 15th IEEE Intl. Pulsed Power Conf., Monterey, CA, 2005, p. 339), which has an inductive voltage adder (IVA) architecture and a magnetically insulated transmission line (MITL). Mercury is operated in positive polarity resulting in layered MITL flow as emitted electrons are born at a different potential in each of the adder cavities. The usual method for estimating the voltage by measuring the bound current in the cathode and anode of the MITL is not accurate with layered flow, and the interaction of the MITL flow with a pinched-beam ion diode load has not been studied previously. Other methods for determining the diode voltage are applied, ion diode performance is experimentally characterized and evaluated, and circuit and particle-in-cell (PIC) simulations are performed. Results indicate that the ion diode couples efficiently to the machine operating at a diode voltage of about 3.5 MV and a total current of about 325 kA, with an ion current of about 70 kA of which about 60 kA is proton current. It is also found that the layered flow impedance of the MITL is about half the vacuum impedance.

  7. Selective Etching of Silicon in Preference to Germanium and Si0.5Ge0.5.

    Science.gov (United States)

    Ahles, Christopher F; Choi, Jong Youn; Wolf, Steven; Kummel, Andrew C

    2017-06-21

    The selective etching characteristics of silicon, germanium, and Si 0.5 Ge 0.5 subjected to a downstream H 2 /CF 4 /Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si 0.5 Ge 0.5 , with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si 0.5 Ge 0.5 , the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick C x H y F z layer formed by the H 2 /CF 4 /Ar plasma on Si, Ge, and Si 0.5 Ge 0.5 . The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si 0.5 Ge 0.5 being strongly suppressed by the C x H y F z layer, whereas, on Si, the C x H y F z layer is not sufficient to completely suppress etching. Replacing H 2 with D 2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si 0.5 Ge 0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D 2 /CF 4 /Ar instead of H 2 /CF 4 /Ar resulted in less total carbon deposition on Si and Si 0.5 Ge 0.5 and gave less Ge enrichment of Si 0.5 Ge 0.5 . These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

  8. Spin-polarized photoemission from SiGe heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Ferrari, A.; Bottegoni, F.; Isella, G.; Cecchi, S.; Chrastina, D.; Finazzi, M.; Ciccacci, F. [LNESS-Dipartimento di Fisica, Politecnico di Milano, Piazza Leonardo da Vinci 32, 20133 Milano (Italy)

    2013-12-04

    We apply the principles of Optical Orientation to measure by Mott polarimetry the spin polarization of electrons photoemitted from different group-IV heterostructures. The maximum measured spin polarization, obtained from a Ge/Si{sub 0.31}Ge{sub 0.69} strained film, undoubtedly exceeds the maximum value of 50% attainable in bulk structures. The explanation we give for this result lies in the enhanced band orbital mixing between light hole and split-off valence bands as a consequence of the compressive strain experienced by the thin Ge layer.

  9. Insulating jacket for heat sensitive components

    International Nuclear Information System (INIS)

    Class, G.

    1980-01-01

    The insulating jacket for long core components of sodium-cooled reactors consists of several layers of austenitic steel, between which a woven wire mesh of the same material is fitted. It is wound in the form of a spiral bandage on the core component. (DG) [de

  10. High permittivity materials for oxide gate stack in Ge-based metal oxide semiconductor capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro, E-mail: alessandro.molle@mdm.infm.i [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Baldovino, Silvia [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Spiga, Sabina [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Fanciulli, Marco [Laboratorio Nazionale MDM, CNR-INFM, via C. Olivetti 2, 20041 Agrate Brianza, Milano (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy)

    2010-01-01

    In the effort to ultimately shrink the size of logic devices towards a post-Si era, the integration of Ge as alternative channel material for high-speed p-MOSFET devices and the concomitant coupling with high permittivity dielectrics (high-k) as gate oxides is currently a key-challenge in microelectronics. However, the Ge option still suffers from a number of unresolved drawbacks and open issues mainly related to the thermodynamic and electrical compatibility of Ge substrates with high-k gate stack. Strictly speaking, two main concerns can be emphasized. On one side is the dilemma on which chemical/physical passivation is more suitable to minimize the unavoidable presence of electrically active defects at the oxide/semiconductor interface. On the other side, overcoming the SiO{sub 2} gate stack opens the route to a number of potentially outperforming high-k oxides. Two deposition approaches were here separately adopted to investigate the high-k oxide growth on Ge substrates, the molecular beam deposition (MBD) of Gd{sub 2}O{sub 3} and the atomic layer deposition (ALD) of HfO{sub 2}. In the MBD framework epitaxial and amorphous Gd{sub 2}O{sub 3} films were grown onto GeO{sub 2}-passivated Ge substrates. In this case, Ge passivation was achieved by exploiting the Ge{sup 4+} bonding state in GeO{sub 2} ultra-thin interface layers intentionally deposited in between Ge and the high-k oxide by means of atomic oxygen exposure to Ge. The composition of the interface layer has been characterized as a function of the oxidation temperature and evidence of Ge dangling bonds at the GeO{sub 2}/Ge interface has been reported. Finally, the electrical response of MOS capacitors incorporating Gd{sub 2}O{sub 3} and GeO{sub 2}-passivated Ge substrates has been checked by capacitance-voltage measurements. On the other hand, the structural and electrical properties of HfO{sub 2} films grown by ALD on Ge by using different oxygen precursors, i.e. H{sub 2}O, Hf(O{sup t}Bu){sub 2}(mmp

  11. Infrared circular photogalvanic effect in topological insulators

    Science.gov (United States)

    Luo, Siyuan

    2018-04-01

    Topological insulators have attracted a lot of attention in recent years due to its unique phenomena. Circular photogalvanic effect (CPGE) is one of the important phenomena in topological insulators. Bi2Se3, as one of the 3D topological insulators, consist of a single Dirac cone at the Γ point in k-space [1], corresponding to the surface states. Controlled by the Berry curvature of the surface band, the dominant photo response due to the interband transition is helicity dependent [2]. In addition, due to the spin-momentum locking in topological insulators' surface, the sign of spin-angular-momentum of obliquely incident light and photo currents are locked together. On the other hand, Bi2Se3 consists of quintuple layers which make it possible to be exfoliated and transferred based on graphene fabrication. In this paper, Bi2Se3 devices were fabricated and Ohm contact was achieved. We experimentally demonstrated the CPGE in Bi2Se3 using 1550nm incident laser.

  12. Multilayer Insulation Ascent Venting Model

    Science.gov (United States)

    Tramel, R. W.; Sutherlin, S. G.; Johnson, W. L.

    2017-01-01

    The thermal and venting transient experienced by tank-applied multilayer insulation (MLI) in the Earth-to-orbit environment is very dynamic and not well characterized. This new predictive code is a first principles-based engineering model which tracks the time history of the mass and temperature (internal energy) of the gas in each MLI layer. A continuum-based model is used for early portions of the trajectory while a kinetic theory-based model is used for the later portions of the trajectory, and the models are blended based on a reference mean free path. This new capability should improve understanding of the Earth-to-orbit transient and enable better insulation system designs for in-space cryogenic propellant systems.

  13. Formation of nickel germanides from Ni layers with thickness below 10 nm

    Energy Technology Data Exchange (ETDEWEB)

    Jablonka, Lukas; Kubart, Tomas; Primetzhofer, Daniel; Abedin, Ahmad; Hellström, Per-Erik; Östling, Mikael; Jordan-Sweet, Jean; Lavoie, Christian; Zhang, Shi-Li; Zhang, Zhen

    2017-03-01

    The authors have studied the reaction between a Ge (100) substrate and thin layers of Ni ranging from 2 to 10 nm in thickness. The formation of metal-rich Ni5Ge3Ni5Ge3 was found to precede that of the monogermanide NiGe by means of real-time in situ x-ray diffraction during ramp-annealing and ex situ x-ray pole figure analyses for phase identification. The observed sequential growth of Ni5Ge3Ni5Ge3 and NiGe with such thin Ni layers is different from the previously reported simultaneous growth with thicker Ni layers. The phase transformation from Ni5Ge3Ni5Ge3 to NiGe was found to be nucleation-controlled for Ni thicknesses <5 nm<5 nm, which is well supported by thermodynamic considerations. Specifically, the temperature for the NiGe formation increased with decreasing Ni (rather Ni5Ge3Ni5Ge3) thickness below 5 nm. In combination with sheet resistance measurement and microscopic surface inspection of samples annealed with a standard rapid thermal processing, the temperature range for achieving morphologically stable NiGe layers was identified for this standard annealing process. As expected, it was found to be strongly dependent on the initial Ni thickness

  14. A self-ordered, body-centered tetragonal superlattice of SiGe nanodot growth by reduced pressure CVD

    Science.gov (United States)

    Yamamoto, Yuji; Zaumseil, Peter; Capellini, Giovanni; Schubert, Markus Andreas; Hesse, Anne; Albani, Marco; Bergamaschini, Roberto; Montalenti, Francesco; Schroeder, Thomas; Tillack, Bernd

    2017-12-01

    Self-ordered three-dimensional body-centered tetragonal (BCT) SiGe nanodot structures are fabricated by depositing SiGe/Si superlattice layer stacks using reduced pressure chemical vapor deposition. For high enough Ge content in the island (>30%) and deposition temperature of the Si spacer layers (T > 700 °C), we observe the formation of an ordered array with islands arranged in staggered position in adjacent layers. The in plane periodicity of the islands can be selected by a suitable choice of the annealing temperature before the Si spacer layer growth and of the SiGe dot volume, while only a weak influence of the Ge concentration is observed. Phase-field simulations are used to clarify the driving force determining the observed BCT ordering, shedding light on the competition between heteroepitaxial strain and surface-energy minimization in the presence of a non-negligible surface roughness.

  15. Characterization of Si sub 1 sub - sub x Ge sub x thin films prepared by sputtering

    CERN Document Server

    Noguchi, T

    2000-01-01

    By bombarding solid targets, we deposited Si sub 1 sub - sub x Ge sub x thin films by sputtering without using inflammable CVD (chemical vapor deposition) gases. After the B sup + -implanted Si sub 1 sub - sub x Ge sub x films were thermally annealed, they were characterized. As the content of Ge increased, the refractive index increased and the band edge narrowed. The higher the annealing temperature, the lower the resistivity. For Si sub 1 sub - sub x Ge sub x films with a high Ge content (X approx 0.5), the flat-band voltage of the gate deduced from C-V curve was adjusted to the middle point between p sup + and n sup + polySi gates. Boron-doped SiGe films are promising gate materials for MOS (metal oxide semiconductor) and SOI (silicon on insulator) transistors driven at low driving voltage.

  16. Impurity band Mott insulators: a new route to high Tc superconductivity

    Directory of Open Access Journals (Sweden)

    Ganapathy Baskaran

    2008-01-01

    Full Text Available Last century witnessed the birth of semiconductor electronics and nanotechnology. The physics behind these revolutionary developments is certain quantum mechanical behaviour of 'impurity state electrons' in crystalline 'band insulators', such as Si, Ge, GaAs and GaN, arising from intentionally added (doped impurities. The present article proposes that certain collective quantum behaviour of these impurity state electrons, arising from Coulomb repulsions, could lead to superconductivity in a parent band insulator, in a way not suspected before. Impurity band resonating valence bond theory of superconductivity in boron doped diamond, recently proposed by us, suggests possibility of superconductivity emerging from impurity band Mott insulators. We use certain key ideas and insights from the field of high-temperature superconductivity in cuprates and organics. Our suggestion also offers new possibilities in the field of semiconductor electronics and nanotechnology. The current level of sophistication in solid state technology and combinatorial materials science is very well capable of realizing our proposal and discover new superconductors.

  17. Electrical activation of solid-phase epitaxially regrown ultra-low energy boron implants in Ge preamorphised silicon and SOI

    International Nuclear Information System (INIS)

    Hamilton, J.J.; Collart, E.J.H.; Colombeau, B.; Jeynes, C.; Bersani, M.; Giubertoni, D.; Sharp, J.A.; Cowern, N.E.B.; Kirkby, K.J.

    2005-01-01

    The formation of highly activated ultra-shallow junctions (USJ) is one of the key requirements for the next generation of CMOS devices. One promising method for achieving this is the use of Ge preamorphising implants (PAI) prior to ultra-low energy B implantation. In future technology nodes, bulk silicon wafers may be supplanted by Silicon-on-Insulator (SOI), and an understanding of the Solid Phase Epitaxial (SPE) regrowth process and its correlation to dopant electrical activation in both bulk silicon and SOI is essential in order to understand the impact of this potential technology change. This kind of understanding will also enable tests of fundamental models for defect evolution and point-defect reactions at silicon/oxide interfaces. In the present work, B is implanted into Ge PAI silicon and SOI wafers with different PAI conditions and B doses, and resulting samples are annealed at various temperatures and times. Glancing-exit Rutherford Backscattering Spectrometry (RBS) is used to monitor the regrowth of the amorphous silicon, and the resulting redistribution and electrical activity of B are monitored by SIMS and Hall measurements. The results confirm the expected enhancement of regrowth velocity by B doping, and show that this velocity is otherwise independent of the substrate type and the Ge implant distribution within the amorphised layer. Hall measurements on isochronally annealed samples show that B deactivates less in SOI material than in bulk silicon, in cases where the Ge PAI end-of-range defects are close to the SOI back interface

  18. Excavationless Exterior Foundation Insulation Field Study

    Energy Technology Data Exchange (ETDEWEB)

    Schirber, T.; Mosiman, G.; Ojczyk, C.

    2014-10-01

    Building science research supports installing exterior (soil side) foundation insulation as the optimal method to enhance the hygrothermal performance of new homes. With exterior foundation insulation, water management strategies are maximized while insulating the basement space and ensuring a more even temperature at the foundation wall. However, such an approach can be very costly and disruptive when applied to an existing home, requiring deep excavation around the entire house. The NorthernSTAR Building America Partnership team implemented an innovative, minimally invasive foundation insulation upgrade technique on an existing home. The approach consisted of using hydrovac excavation technology combined with a liquid insulating foam. The team was able to excavate a continuous 4" wide by 4' to 5' deep trench around the entire house, 128 linear feet, except for one small part under the stoop that was obstructed with concrete debris. The combination pressure washer and vacuum extraction technology also enabled the elimination of large trenches and soil stockpiles normally produced by backhoe excavation. The resulting trench was filled with liquid insulating foam, which also served as a water-control layer of the assembly. The insulation was brought above grade using a liquid foam/rigid foam hybrid system and terminated at the top of the rim joist. Cost savings over the traditional excavation process ranged from 23% to 50%. The excavationless process could result in even greater savings since replacement of building structures, exterior features, utility meters, and landscaping would be minimal or non-existent in an excavationless process.

  19. Excavationless Exterior Foundation Insulation Field Study

    Energy Technology Data Exchange (ETDEWEB)

    Schirber, T. [NorthernSTAR, Minneaplolis, MN (United States); Mosiman, G. [NorthernSTAR, Minneaplolis, MN (United States); Ojczyk, C. [NorthernSTAR, Minneaplolis, MN (United States)

    2014-09-01

    Building science research supports installing exterior (soil side) foundation insulation as the optimal method to enhance the hygrothermal performance of new homes. With exterior foundation insulation, water management strategies are maximized while insulating the basement space and ensuring a more even temperature at the foundation wall. However, such an approach can be very costly and disruptive when applied to an existing home, requiring deep excavation around the entire house. The NorthernSTAR Building America Partnership team implemented an innovative, minimally invasive foundation insulation upgrade technique on an existing home. The approach consisted of using hydrovac excavation technology combined with liquid insulating foam. The team was able to excavate a continuous 4 inches wide by 4 feet to 5 feet deep trench around the entire house, 128 linear feet, except for one small part under the stoop that was obstructed with concrete debris. The combination pressure washer and vacuum extraction technology also enabled the elimination of large trenches and soil stockpiles normally produced by backhoe excavation. The resulting trench was filled with liquid insulating foam, which also served as a water-control layer of the assembly. The insulation was brought above grade using a liquid foam/rigid foam hybrid system and terminated at the top of the rim joist. Cost savings over the traditional excavation process ranged from 23% to 50%. The excavationless process could result in even greater savings since replacement of building structures, exterior features, utility meters, and landscaping would be minimal or non-existent in an excavationless process.

  20. Electrical Insulation of 500-m High-Tc Superconducting Power Cable

    International Nuclear Information System (INIS)

    Takahashi, T; Ichikawa, M; Suzuki, H; Okamoto, T; Akita, S; Mukoyama, S; Yagi, M; Maruyama, S; Kimura, A

    2006-01-01

    Electrical insulation is one of the essential technologies for the electric power apparatus. Determination of testing voltages and design method of the electrical insulation layer are inextricably linked each other, and are critical to developing and realizing a cold dielectric (CD) type high-Tc superconducting (HTS) power cable. The authors had proposed the electrical insulation design method with concepts of partial discharge-free designs for ac voltage condition. This paper discusses the testing voltages for a 77 kV 1000 A HTS power cable with a length of 500 m, and describes results of various voltage withstand test. As a result, it is concluded that the proposed electrical insulation design method is appropriate for the HTS power cable

  1. Two-dimensional threshold voltage analytical model of DMG strained-silicon-on-insulator MOSFETs

    International Nuclear Information System (INIS)

    Li Jin; Liu Hongxia; Li Bin; Cao Lei; Yuan Bo

    2010-01-01

    For the first time, a simple and accurate two-dimensional analytical model for the surface potential variation along the channel in fully depleted dual-material gate strained-Si-on-insulator (DMG SSOI) MOSFETs is developed. We investigate the improved short channel effect (SCE), hot carrier effect (HCE), drain-induced barrier-lowering (DIBL) and carrier transport efficiency for the novel structure MOSFET. The analytical model takes into account the effects of different metal gate lengths, work functions, the drain bias and Ge mole fraction in the relaxed SiGe buffer. The surface potential in the channel region exhibits a step potential, which can suppress SCE, HCE and DIBL. Also, strained-Si and SOI structure can improve the carrier transport efficiency, with strained-Si being particularly effective. Further, the threshold voltage model correctly predicts a 'rollup' in threshold voltage with decreasing channel length ratios or Ge mole fraction in the relaxed SiGe buffer. The validity of the two-dimensional analytical model is verified using numerical simulations. (semiconductor devices)

  2. Mass and loss analysis of a space-type radiation cooled insulated DC transmission line

    International Nuclear Information System (INIS)

    Schwarze, g.E.

    1986-01-01

    As both the power levels and transmission distances increase such as for large future nuclear power systems, the transmission line becomes an important element in the power chain between the source and load bus. Thus, the transmission line's characteristics must be determined so that the effect of these characteristics on the total power system can be assessed. These design characteristics include the specific mass, percent power loss, size, voltage and power levels, and operating temperatures of the conductor and insulating materials. In a previous paper, the dc transmission line's characteristics of a noninsulated solid cylindrical conductor were determined. In that analysis the expression derived for the transmission line's mass only included the conductor mass and the operating temperature of the line was that of the conductor. In the analysis of this paper, a single layer of insulation is added to the solid cylindrical conductor. In this analysis the dependency of the dc transmission line's mass, loss, and size on the power and voltage levels, conductor and insulation surface temperatures, transmission distance, and conductor and insulation material properties is determined. This analysis can be extended to multi-layers of insulation but the complexity of the analysis increases as the number of layers increase

  3. Room Temperature Direct Band Gap Emission from Ge p-i-n Heterojunction Photodiodes

    Directory of Open Access Journals (Sweden)

    E. Kasper

    2012-01-01

    Full Text Available Room temperature direct band gap emission is observed for Si-substrate-based Ge p-i-n heterojunction photodiode structures operated under forward bias. Comparisons of electroluminescence with photoluminescence spectra allow separating emission from intrinsic Ge (0.8 eV and highly doped Ge (0.73 eV. Electroluminescence stems from carrier injection into the intrinsic layer, whereas photoluminescence originates from the highly n-doped top layer because the exciting visible laser wavelength is strongly absorbed in Ge. High doping levels led to an apparent band gap narrowing from carrier-impurity interaction. The emission shifts to higher wavelengths with increasing current level which is explained by device heating. The heterostructure layer sequence and the light emitting device are similar to earlier presented photodetectors. This is an important aspect for monolithic integration of silicon microelectronics and silicon photonics.

  4. Aerogel-Based Multilayer Insulation with Micrometeoroid Protection

    Science.gov (United States)

    Begag, Redouane; White, Shannon

    2013-01-01

    Ultra-low-density, highly hydrophobic, fiber-reinforced aerogel material integrated with MLI (aluminized Mylar reflectors and B4A Dacron separators) offers a highly effective insulation package by providing unsurpassed thermal performance and significant robustness, delivering substantial MMOD protection via the addition of a novel, durable, external aerogel layer. The hydrophobic nature of the aerogel is an important property for maintaining thermal performance if the material is exposed to the environment (i.e. rain, snow, etc.) during ground installations. The hybrid aerogel/MLI/MMOD solution affords an attractive alternative because it will perform thermally in the same range as MLI at all vacuum levels (including high vacuum), and offers significant protection from micrometeoroid damage. During this effort, the required low-density and resilient aerogel materials have been developed that are needed to optimize the thermal performance for space (high vacuum) cryotank applications. The proposed insulation/MMOD package is composed of two sections: a stack of interleaved aerogel layers and MLI intended for cryotank thermal insulation, and a 1.5- to 1-in. (.2.5- to 3.8- cm) thick aerogel layer (on top of the insulation portion) for MMOD protection. Learning that low-density aerogel cannot withstand the hypervelocity impact test conditions, the innovators decided during the course of the program to fabricate a high-density and strong material based on a cross-linked aerogel (X-aerogel; developed elsewhere by the innovators) for MMOD protection. This system has shown a very high compressive strength that is capable of withstanding high-impact tests if a proper configuration of the MMOD aerogel layer is used. It was learned that by stacking two X-aerogel layers [1.5-in. (.3.8-cm) thick] separated by an air gap, the system would be able to hold the threat at a speed of 5 km/s and gpass h the test. The first aerogel panel stopped the projectile from damaging the second

  5. Large-Scale Liquid Hydrogen Testing of Variable Density Multilayer Insulation with a Foam Substrate

    Science.gov (United States)

    Martin, J. J.; Hastings, L.

    2001-01-01

    The multipurpose hydrogen test bed (MHTB), with an 18-cu m liquid hydrogen tank, was used to evaluate a combination foam/multilayer combination insulation (MLI) concept. The foam element (Isofoam SS-1171) insulates during ground hold/ascent flight, and allowed a dry nitrogen purge as opposed to the more complex/heavy helium purge subsystem normally required. The 45-layer MLI was designed for an on-orbit storage period of 45 days. Unique WI features include a variable layer density, larger but fewer double-aluminized Mylar perforations for ascent to orbit venting, and a commercially established roll-wrap installation process that reduced assembly man-hours and resulted in a roust, virtually seamless MLI. Insulation performance was measured during three test series. The spray-on foam insulation (SOFI) successfully prevented purge gas liquefaction within the MLI and resulted in the expected ground hold heat leak of 63 W/sq m. The orbit hold tests resulted in heat leaks of 0.085 and 0.22 W/sq m with warm boundary temperatures of 164 and 305 K, respectively. Compared to the best previously measured performance with a traditional MLI system, a 41-percent heat leak reduction with 25 fewer MLI layers was achieved. The MHTB MLI heat leak is half that calculated for a constant layer density MLI.

  6. Design and fabrication of metal-insulator-metal diode for high frequency applications

    Science.gov (United States)

    Azad, Ibrahim; Ram, Manoj K.; Goswami, D. Yogi; Stefanakos, Elias

    2017-02-01

    Metal-insulator-metal (MIM) diodes play significant role in high speed electronics where high frequency rectification is needed. Quantum based tunneling mechanism helps MIM diodes to rectify at high frequency signals. Rectenna, antenna coupled MIM diodes are becoming popular due to their potential use as IR detectors and energy harvesters. Because of small active area, MIM diodes could easily be incorporated into integrated circuits (IC's). The objective of the work is to design and develop MIM diodes for high frequency rectification. In this work, thin insulating layer of ZnO was fabricated using Langmuir-Blodgett (LB) technique which facilitates ultrathin thin, uniform and pinhole free fabrication of insulating layer. The ZnO layer was synthesized from organic precursor of zinc acetate layer. The optimization in the LB technique of fabrication process led to fabricate MIM diodes with high non-linearity and sensitivity. Moreover, the top and bottom electrodes as well as active area of the diodes were patterned using UV-tunneling conduction mechanism. The highest sensitivity of the diode was measured around 37 (A/W), and the rectification ratio was found around 36 under low applied bias at +/-100 mV.

  7. Direct gap Ge1-ySny alloys: Fabrication and design of mid-IR photodiodes

    Science.gov (United States)

    Senaratne, C. L.; Wallace, P. M.; Gallagher, J. D.; Sims, P. E.; Kouvetakis, J.; Menéndez, J.

    2016-07-01

    Chemical vapor deposition methods were developed, using stoichiometric reactions of specialty Ge3H8 and SnD4 hydrides, to fabricate Ge1-ySny photodiodes with very high Sn concentrations in the 12%-16% range. A unique aspect of this approach is the compatible reactivity of the compounds at ultra-low temperatures, allowing efficient control and systematic tuning of the alloy composition beyond the direct gap threshold. This crucial property allows the formation of thick supersaturated layers with device-quality material properties. Diodes with composition up to 14% Sn were initially produced on Ge-buffered Si(100) featuring previously optimized n-Ge/i-Ge1-ySny/p-Ge1-zSnz type structures with a single defected interface. The devices exhibited sizable electroluminescence and good rectifying behavior as evidenced by the low dark currents in the I-V measurements. The formation of working diodes with higher Sn content up to 16% Sn was implemented by using more advanced n-Ge1-xSnx/i-Ge1-ySny/p-Ge1-zSnz architectures incorporating Ge1-xSnx intermediate layers (x ˜ 12% Sn) that served to mitigate the lattice mismatch with the Ge platform. This yielded fully coherent diode interfaces devoid of strain relaxation defects. The electrical measurements in this case revealed a sharp increase in reverse-bias dark currents by almost two orders of magnitude, in spite of the comparable crystallinity of the active layers. This observation is attributed to the enhancement of band-to-band tunneling when all the diode layers consist of direct gap materials and thus has implications for the design of light emitting diodes and lasers operating at desirable mid-IR wavelengths. Possible ways to engineer these diode characteristics and improve carrier confinement involve the incorporation of new barrier materials, in particular, ternary Ge1-x-ySixSny alloys. The possibility of achieving type-I structures using binary and ternary alloy combinations is discussed in detail, taking into account

  8. Method of producing buried porous silicon-geramanium layers in monocrystalline silicon lattices

    Science.gov (United States)

    Fathauer, Robert W. (Inventor); George, Thomas (Inventor); Jones, Eric W. (Inventor)

    1997-01-01

    Lattices of alternating layers of monocrystalline silicon and porous silicon-germanium have been produced. These single crystal lattices have been fabricated by epitaxial growth of Si and Si--Ge layers followed by patterning into mesa structures. The mesa structures are stain etched resulting in porosification of the Si--Ge layers with a minor amount of porosification of the monocrystalline Si layers. Thicker Si--Ge layers produced in a similar manner emitted visible light at room temperature.

  9. Insulation model of power lead for 66 kV class superconducting fault current limiter; Chodendo genryuki denryu rido yo zetsuen no kaihatsu

    Energy Technology Data Exchange (ETDEWEB)

    Sakai, M.; Fukuda, A.; Shimada, M.; Urata, M. [Toshiba Corp., Tokyo (Japan); Okuma, T.; Sato, Y.; Iwata, Y. [Tokyo Electric Power Co. Ink., Tokyo (Japan)

    1999-06-07

    We advance the development of high-temperature superconductivity current limiter using the normal conduction transition. Since the rated voltage is high with 66kV, we desire that solid insulation which consists of main insulating layer and multiple layers in semiconductive layer is conducted to the current lead which connects the ordinary temperature and very low temperature division. And, it is necessary to sufficiently decrease the void, since crack proof and dielectric strength lower, when large bubble exists in the main insulating layer. We use ethylene propylene rubber for the solid insulation of superconducting cable. Though filler has entered the EP-rubber, the formability lowers, when we put filler in, and the void becomes easy to be generated. Then, we produced the current lead insulation model using the EP-rubber without filler, and we carried out crack-resistant test and withstand voltage test. (NEDO)

  10. High thermal conductivity lossy dielectric using a multi layer configuration

    Science.gov (United States)

    Tiegs, Terry N.; Kiggans, Jr., James O.

    2003-01-01

    Systems and methods are described for loss dielectrics. A loss dielectric includes at least one high dielectric loss layer and at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. A method of manufacturing a loss dielectric includes providing at least one high dielectric loss layer and providing at least one high thermal conductivity-electrically insulating layer adjacent the at least one high dielectric loss layer. The systems and methods provide advantages because the loss dielectrics are less costly and more environmentally friendly than the available alternatives.

  11. Application of mineral insulated cable (MIC) in Tokamak fusion reactor

    International Nuclear Information System (INIS)

    Luo Tianyong; Jiang Jiaming; Cen Yishun

    2014-01-01

    To avoid the instability of plasma and achieve some experimental tasks in Tokamak fusion reactor, many in-vessel coils are designed such as the coils to mitigate the effect of Edge Localized Modes (ELMs coils) and the coils to provide vertical stabilization (VS coils). The in-vessel location presents special challenges in terms of nuclear radiation and temperature, and requires the use of mineral-insulated conductors. The in-vessel coils in ITER are designed to be Mineral-insulated Cable (MIC) with three-layer structures. The inner is hollow-core tube made by OFHC or CuCrZr, the middle is the insulation layer made by Mgo and the outer is the jacket by SS316L or Inconel 718. To control the effect of Edge Localized Modes and vertical instability of plasma, the MIC in-vessel coils shall be used in HL-2M. More details about the application of MIC in Tokamak fusion reactor will be shown in this report. (authors)

  12. Amorphous inclusions during Ge and GeSn epitaxial growth via chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gencarelli, F., E-mail: federica.gencarelli@imec.be [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium); Shimura, Y. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Kumar, A. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Vincent, B.; Moussa, A.; Vanhaeren, D.; Richard, O.; Bender, H. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, W. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Caymax, M.; Loo, R. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, M. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium)

    2015-09-01

    In this work, we discuss the characteristics of particular island-type features with an amorphous core that are developed during the low temperature epitaxial growth of Ge and GeSn layers by means of chemical vapor deposition with Ge{sub 2}H{sub 6}. Although further investigations are needed to unambiguously identify the origin of these features, we suggest that they are originated by the formation of clusters of H and/or contaminants atoms during growth. These would initially cause the formation of pits with crystalline rough facets over them, resulting in ring-shaped islands. Then, when an excess surface energy is overcome, an amorphous phase would nucleate inside the pits and fill them. Reducing the pressure and/or increasing the growth temperature can be effective ways to prevent the formation of these features, likely due to a reduction of the surface passivation from H and/or contaminant atoms. - Highlights: • Island features with amorphous cores develop during low T Ge(Sn) CVD with Ge{sub 2}H{sub 6.} • These features are thoroughly characterized in order to understand their origin. • A model is proposed to describe the possible evolution of these features. • Lower pressures and/or higher temperatures avoid the formation of these features.

  13. Nuclear reactor pressure vessel with an inner metal coating covered with a high temperature resistant thermal insulator

    International Nuclear Information System (INIS)

    1974-01-01

    The thermal insulator covering the metal coating of a reactor vessel is designed for resisting high temperatures. It comprises one or several porous layers of ceramic fibers or of stacked metal foils, covered with a layer of bricks or ceramic tiles. The latter are fixed in position by fasteners comprising pins fixed to the coating and passing through said porous layers and fasteners (nut or bolts) for individually fixing the bricks to said pins, whereas ceramic plugs mounted on said bricks or tiles provide for the thermal insulation of the pins and of the nuts or bolts; such a thermal insulation can be applied to high-temperature reactors or to fast reactors [fr

  14. Thermal insulation of fuel elements

    International Nuclear Information System (INIS)

    Dubrovcak, P.; Pec, V.; Pitonak, J.

    1978-01-01

    The claim of the invention concerns thermal insulation of fuel elements heated for measurement of uranium fuel physical properties. For this, layers of aluminium film and of glass fibre are wound onto the inner tube of the element cladding. The space between the inner and the outer tubes is evacuated and the tubes are spaced using spacer wires. (M.S.)

  15. Secondary growth mechanism of SiGe islands deposited on a mixed-phase microcrystalline Si by ion beam co-sputtering.

    Science.gov (United States)

    Ke, S Y; Yang, J; Qiu, F; Wang, Z Q; Wang, C; Yang, Y

    2015-11-06

    We discuss the SiGe island co-sputtering deposition on a microcrystalline silicon (μc-Si) buffer layer and the secondary island growth based on this pre-SiGe island layer. The growth phenomenon of SiGe islands on crystalline silicon (c-Si) is also investigated for comparison. The pre-SiGe layer grown on μc-Si exhibits a mixed-phase structure, including SiGe islands and amorphous SiGe (a-SiGe) alloy, while the layer deposited on c-Si shows a single-phase island structure. The preferential growth and Ostwald ripening growth are shown to be the secondary growth mechanism of SiGe islands on μc-Si and c-Si, respectively. This difference may result from the effect of amorphous phase Si (AP-Si) in μc-Si on the island growth. In addition, the Si-Ge intermixing behavior of the secondary-grown islands on μc-Si is interpreted by constructing the model of lateral atomic migration, while this behavior on c-Si is ascribed to traditional uphill atomic diffusion. It is found that the aspect ratios of the preferential-grown super islands are higher than those of the Ostwald-ripening ones. The lower lateral growth rate of super islands due to the lower surface energy of AP-Si on the μc-Si buffer layer for the non-wetting of Ge at 700 °C and the stronger Si-Ge intermixing effect at 730 °C may be responsible for this aspect ratio difference.

  16. A Review of Irradiation Effects on Organic-Matrix Insulation

    International Nuclear Information System (INIS)

    Simon, N.J.

    1993-01-01

    This review assesses the data base on epoxy and polyimide matrix insulation to determine whether organic electric insulation systems can be used in the toroidal field (TF) magnets of next generation fusion devices such as ITER* and TPX*. Owing to the difficulties of testing insulation under fusion reactor conditions, there is a considerable mismatch between the ITER requirements and the data that are currently available. For example, nearly all of the high-dose (5 x 10 7 to 10 8 Gy) data obtained on epoxy and polyimide matrix insulation employed gamma irradiation, electron irradiation, or reactor irradiation with a fast neutron fluence far below 10 23 /m 2 , the fluence expected for the insulation at the TF magnets, as set forth in ITER conceptual design documents. Also, the neutron spectrum did not contain a very high energy (E (ge) 5 MeV) component. Such data underestimate the actual damage that would be obtained with the neutron fluence and spectrum expected at a TF magnet. Experiments on a polyimide (Kapton) indicate that gamma or electron doses or mixed gamma and neutron reactor doses would have to be downgraded by a factor of up to ten to simulate fusion neutron doses. Even when neutrons did constitute a significant portion of the total dose, B-containing E-glass reinforcement was often used; therefore, excess damage from the 10 B + n → 7 Li + α reaction occurred near the glass-epoxy interface. This problem can easily be avoided by substituting B-free glass (R, S, or T types)

  17. Capacitance-voltage characteristics of MOS capacitors with Ge nanocrystals embedded in ZrO2 gate material

    International Nuclear Information System (INIS)

    Lee, Hye-Ryoung; Choi, Samjong; Cho, Kyoungah; Kim, Sangsig

    2007-01-01

    Capacitance versus voltage (C-V) curves of Ge-nanocrystals (NCs)-embedded metal-oxide-semiconductor (MOS) capacitors are characterized in this work. Ge NCs were formed in 20-nm thick ZrO 2 gate layers by ion implantation and subsequent annealing procedures. The formation of the Ge NCs in the ZrO 2 gate layers was confirmed by high-resolution transmission electron microscopy and energy dispersive spectroscopy. The C-V curves obtained from a representative MOS capacitor embedded with the Ge NCs exhibit a 3 V memory window as bias voltage varied from 9 to - 9 V and then back to the initial positive voltage, whereas MOS capacitors without Ge NCs show negligible memory windows at the same voltage range. This indicates the presence of charge storages in the Ge NCs. The counterclockwise hysteresis observed from the C-V curves implies that electrons are trapped in Ge NCs presented inside the ZrO 2 gate layer. And our experimental results obtained from capacitance versus time measurements show good retention characteristics of Ge-NCs-embedded MOS capacitors with ZrO 2 gate material for the application of NFGM

  18. Element-resolved atomic structure imaging of rocksalt Ge{sub 2}Sb{sub 2}Te{sub 5} phase-change material

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Bin; Chen, Yongjin; Han, Xiaodong, E-mail: wzhang0@mail.xjtu.edu.cn, E-mail: ema@jhu.edu, E-mail: xdhan@bjut.edu.cn [Institute of Microstructure and Property of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Zhang, Wei, E-mail: wzhang0@mail.xjtu.edu.cn, E-mail: ema@jhu.edu, E-mail: xdhan@bjut.edu.cn [Center for Advancing Materials Performance from the Nanoscale, State Key Laboratory for Mechanical Behavior of Materials, Xi' an Jiaotong University, Xi' an 710049 (China); I. Institute of Physics (IA), RWTH Aachen University, 52056 Aachen (Germany); Institute for Theoretical Solid-State Physics, RWTH Aachen University, 52056 Aachen (Germany); Shen, Zhenju; Li, Jixue [Department of Materials Science and Engineering, Center of Electron Microscopy and State Key Laboratory of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Zhang, Shengbai [Department of Physics, Applied Physics, and Astronomy, Rensselaer Polytechnic Institute, Troy, New York 12180 (United States); State Key Laboratory on Integrated Optoelectronics, College of Electronic Science and Engineering, Jilin University, Changchun 130012 (China); Zhang, Ze [Institute of Microstructure and Property of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Department of Materials Science and Engineering, Center of Electron Microscopy and State Key Laboratory of Silicon Materials, Zhejiang University, Hangzhou 310027 (China); Wuttig, Matthias [I. Institute of Physics (IA), RWTH Aachen University, 52056 Aachen (Germany); Mazzarello, Riccardo [Institute for Theoretical Solid-State Physics, RWTH Aachen University, 52056 Aachen (Germany); and others

    2016-05-09

    Disorder-induced electron localization and metal-insulator transitions (MITs) have been a very active research field starting from the seminal paper by Anderson half a century ago. However, pure Anderson insulators are very difficult to identify due to ubiquitous electron-correlation effects. Recently, an MIT has been observed in electrical transport measurements on the crystalline state of phase-change GeSbTe compounds, which appears to be exclusively disorder driven. Subsequent density functional theory simulations have identified vacancy disorder to localize electrons at the Fermi level. Here, we report a direct atomic scale chemical identification experiment on the rocksalt structure obtained upon crystallization of amorphous Ge{sub 2}Sb{sub 2}Te{sub 5}. Our results confirm the two-sublattice structure resolving the distribution of chemical species and demonstrate the existence of atomic disorder on the Ge/Sb/vacancy sublattice. Moreover, we identify a gradual vacancy ordering process upon further annealing. These findings not only provide a structural underpinning of the observed Anderson localization but also have implications for the development of novel multi-level data storage within the crystalline phases.

  19. Characteristics of high gradient insulators for accelerator and high power flow applications

    International Nuclear Information System (INIS)

    Elizondo, J.M.; Krogh, M.L.; Smith, D.

    1997-07-01

    The high gradient insulator has been demonstrated to operate at levels comparable or better than special geometry or coated insulators. Some patented insulator configurations allow for sophisticated accelerator structures, high power flow interfaces, and microwave applications not previously possible. Sophisticated manufacturing techniques available at AlliedSignal FM and T made this development possible. Bipolar and high power flow applications are specially suited for present insulator designs. The insulator shows a beneficial effect when used under RF fields or RF structures. These insulators can be designed, to a first approximation, from simple electron flight path equations. With a recently developed model of surface flashover physics the authors completed a set of design calculations that include effects such as layer density and dielectric/metal thickness. Experimental data, obtained in the last few years of development, is presented and reviewed. Several insulator fabrication characteristics, indicating critical design parameters, are also presented

  20. Oxidation of hydrogen terminated Ge(1 0 0) surface in the presence of iodine in methanol

    International Nuclear Information System (INIS)

    Lee, Younghwan; Park, Kibyung; Lim, Sangwoo

    2008-01-01

    Surface reaction on Ge(1 0 0) in liquid methanol (MeOH) was systematically studied. In particular, the characteristics of the Ge surface in the presence of iodine (I 2 ) in MeOH were investigated. MeOH treatment of the Ge surface in the presence of 0.05 or 0.005 mM of I 2 exhibited a similar result to that without I 2 , which produces a GeO x -dominant oxide structure. However, when the concentration of I 2 in MeOH increased to 0.5 mM, Ge surface revealed a GeO 2 -dominant oxide structure. Therefore, it is believed that the addition of enough I 2 in MeOH modifies the Ge oxidation mechanism. Hydroxide produced by the reaction between MeOH and the iodine radical may oxidize the Ge surface to form a Ge-O layer. Because MeOH is greatly consumed by chain and series reactions when I 2 concentration is high, a GeO 2 structure is not etched and a GeO 2 -dominant oxide structure is obtained with the addition of 0.5 mM I 2 in MeOH. The modified oxide layer prepared in MeOH with 0.5 mM I 2 exhibited an atomically smoother surface compared to a pure MeOH- or H 2 O 2 -treated Ge surface and a much thinner oxide layer than H 2 O 2 treatment.

  1. Developing Topological Insulator Fiber Based Photon Pairs Source for Ultrafast Optoelectronic Applications

    Science.gov (United States)

    2016-04-01

    of a thin layer of topological insulator Bi2Se3 with the transmission of T = 50%. We apply magnetic field B=3 tesla normal to the sample and parallel...nonlinear induced by magnetic field in the Topological Insulator Bi2Se3 and Molybdenum Disulfide MoS2. The nonlinear effect is pulse broadening...Topological Insulator Q- Switched Erbium-Doped Fiber Laser”, IEEE J. Sel. Top. Quant. Electron., 20, 0900508 (2014). [2]. Shuqing Chen et al, “Stable Q

  2. Assessment of the growth/etch back technique for the production of Ge strain-relaxed buffers on Si

    Science.gov (United States)

    Hartmann, J. M.; Aubin, J.

    2018-04-01

    Thick Ge layers grown on Si(0 0 1) are handy for the production of GeOI wafers, as templates for the epitaxy of III-V and GeSn-based heterostructures and so on. Perfecting their crystalline quality would enable to fabricate suspended Ge micro-bridges with extremely high levels of tensile strain (for mid IR lasers). In this study, we have used a low temperature (400 °C)/high temperature (750 °C) approach to deposit with GeH4 various thickness Ge layers in the 0.5 μm - 5 μm range. They were submitted afterwards to short duration thermal cycling under H2 (in between 750 °C and 875-890 °C) to lower the Threading Dislocation Density (TDD). Some of the thickest layers were partly etched at 750 °C with gaseous HCl to recover wafer bows compatible with device processing later on. X-ray Diffraction (XRD) showed that the layers were slightly tensile-strained, with a 104.5-105.5% degree of strain relaxation irrespective of the thickness. The surface was cross-hatched, with a roughness slightly decreasing with the thickness, from 2.0 down to 0.8 nm. The TDD (from Omega scans in XRD) decreased from 8 × 107 cm-2 down to 107 cm-2 as the Ge layer thickness increased from 0.5 up to 5 μm. The lack of improvement when growing 5 μm thick layers then etching a fraction of them with HCl over same thickness layers grown in a single run was at variance with Thin Solid Films 520, 3216 (2012). Low temperature HCl defect decoration confirmed those findings, with (i) a TDD decreasing from slightly more 107 cm-2 down to 5 × 106 cm-2 as the Ge layer thickness increased from 1.3 up to 5 μm and (ii) no TDD hysteresis between growth and growth then HCl etch-back.

  3. The Structural Heat Intercept-Insulation-Vibration Evaluation Rig (SHIVER)

    Science.gov (United States)

    Johnson, W. L.; Zoeckler, J. G.; Best-Ameen, L. M.

    2015-01-01

    NASA is currently investigating methods to reduce the boil-off rate on large cryogenic upper stages. Two such methods to reduce the total heat load on existing upper stages are vapor cooling of the cryogenic tank support structure and integration of thick multilayer insulation systems to the upper stage of a launch vehicle. Previous efforts have flown a 2-layer MLI blanket and shown an improved thermal performance, and other efforts have ground-tested blankets up to 70 layers thick on tanks with diameters between 2 3 meters. However, thick multilayer insulation installation and testing in both thermal and structural modes has not been completed on a large scale tank. Similarly, multiple vapor cooled shields are common place on science payload helium dewars; however, minimal effort has gone into intercepting heat on large structural surfaces associated with rocket stages. A majority of the vapor cooling effort focuses on metallic cylinders called skirts, which are the most common structural components for launch vehicles. In order to provide test data for comparison with analytical models, a representative test tank is currently being designed to include skirt structural systems with integral vapor cooling. The tank is 4 m in diameter and 6.8 m tall to contain 5000 kg of liquid hydrogen. A multilayer insulation system will be designed to insulate the tank and structure while being installed in a representative manner that can be extended to tanks up to 10 meters in diameter. In order to prove that the insulation system and vapor cooling attachment methods are structurally sound, acoustic testing will also be performed on the system. The test tank with insulation and vapor cooled shield installed will be tested thermally in the B2 test facility at NASAs Plumbrook Station both before and after being vibration tested at Plumbrooks Space Power Facility.

  4. Ion beam analysis of the dry thermal oxidation of thin polycrystalline SiGe films

    International Nuclear Information System (INIS)

    Kling, A.; Soares, J.C.; Prieto, A.C.; Jimenez, J.; Rodriguez, A.; Sangrador, J.; Rodriguez, T.

    2005-01-01

    Nanoparticles of Ge embedded in a formed dielectric matrix appear as very promising systems for electronic and photonic applications. We present here an exhaustive characterization of the oxidation process of polycrystalline SiGe layers from the starting of its oxidation process to the total oxidation of it. We have characterized the process by RBS, FTIR and Raman spectroscopy, showing the necessity to use different techniques in order to get a full view of the process. First the Si-Si and Si-Ge bonds are oxidized growing SiO 2 , and Ge segregates from the SiO 2 . As soon as all Si is oxidized GeO 2 is growing gradually. RBS has demonstrated to be very useful to characterize the SiO 2 and the remaining non-oxidized poly-SiGe layer thickness, as well as for the determination of the Ge fraction, where the high sensitivity of this technique allows to explore its whole range. On the other hand, for the reliable determination of the GeO 2 thickness, information on the amount of Ge-O bonding had to be obtained from FTIR spectra. Raman spectroscopy yields detailed information about the oxidation processes for different bonds (Si-Si, Si-Ge, Ge-Ge)

  5. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  6. Improvement of Surface Flashover Performance of Al2O3 Ceramics in Vacuum by Adopting A-B-A Insulation System

    International Nuclear Information System (INIS)

    Li Shengtao; Zhang Tuo; Huang Qifeng; Li Weiwei; Ni Fengyan; Li Jianying

    2011-01-01

    A new insulation system with inorganic A-B-A insulators was proposed to improve the surface flashover performance in vacuum. Inorganic A-B-A insulator samples of Mo/Al 2 O 3 cermet-Al 2 O 3 ceramic-Mo/Al 2 O 3 cermet were prepared, in which the conductivity and permittivity of the Mo/Al 2 O 3 cermets were controlled through different amount of metallic molybdenum powder added. The effects of both conductivity and permittivity of Mo/Al 2 O 3 cermets on the DC and impulse surface flashover voltage in vacuum were experimentally investigated. The result showed that the DC and impulse surface flashover voltage were improved by 52% and 95%, respectively. For the distribution of electric field, two triple junctions, i.e., vacuum-layer A-cathode (TJ1) and vacuum-layer A-layer B (TJ2) were prepared with the introduction of layer A into the A-B-A insulation system. Based on the electric field distribution obtained via electrostatic field simulation and Maxwell-Wagner three-layer model, the electric field of TJ1 decreases while that of TJ2 increases with the increase in conductivity and permittivity of layer A under applied DC and impulse voltage, respectively. Therefore, the improvement of surface flashover performance of A-B-A insulators has been reasonably explained. (fusion engineering)

  7. Processing of n{sup +}/p{sup −}/p{sup +} strip detectors with atomic layer deposition (ALD) grown Al{sub 2}O{sub 3} field insulator on magnetic Czochralski silicon (MCz-si) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Härkönen, J., E-mail: jaakko.harkonen@helsinki.fi [Helsinki Institute of Physics (Finland); Tuovinen, E. [Helsinki Institute of Physics (Finland); VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T. [Helsinki Institute of Physics (Finland); Junkes, A. [Institute for Experimental Physics, University of Hamburg (Germany); Wu, X. [VTT Technical Research Centre of Finland, Microsystems and Nanoelectronics (Finland); Picosun Oy, Tietotie 3, FI-02150 Espoo Finland (Finland); Li, Z. [School of Materials Science and Engineering, Xiangtan University, Xiangtan, Hunan 411105 (China)

    2016-08-21

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n{sup +} segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO{sub 2} interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al{sub 2}O{sub 3}) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current–voltage and capacitance−voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×10{sup 15} n{sub eq}/cm{sup 2} proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  8. Insulator coated magnetic nanoparticulate composites with reduced core loss and method of manufacture thereof

    Science.gov (United States)

    Zhang, Yide (Inventor); Wang, Shihe (Inventor); Xiao, Danny (Inventor)

    2004-01-01

    A series of bulk-size magnetic/insulating nanostructured composite soft magnetic materials with significantly reduced core loss and its manufacturing technology. This insulator coated magnetic nanostructured composite is comprises a magnetic constituent, which contains one or more magnetic components, and an insulating constituent. The magnetic constituent is nanometer scale particles (1-100 nm) coated by a thin-layered insulating phase (continuous phase). While the intergrain interaction between the immediate neighboring magnetic nanoparticles separated by the insulating phase (or coupled nanoparticles) provide the desired soft magnetic properties, the insulating material provides the much demanded high resistivity which significantly reduces the eddy current loss. The resulting material is a high performance magnetic nanostructured composite with reduced core loss.

  9. Thermal Performance Testing of Cryogenic Multilayer Insulation with Silk Net Spacers

    International Nuclear Information System (INIS)

    Johnson, W L; Frank, D J; Nast, T C; Fesmire, J E

    2015-01-01

    Early comprehensive testing of cryogenic multilayer insulation focused on the use of silk netting as a spacer material. Silk netting was used for multiple test campaigns that were designed to provide baseline thermal performance estimates for cryogenic insulation systems. As more focus was put on larger systems, the cost of silk netting became a deterrent and most aerospace insulation firms were using Dacron (or polyester) netting spacers by the early 1970s. In the midst of the switch away from silk netting there was no attempt to understand the difference between silk and polyester netting, though it was widely believed that the silk netting provided slightly better performance. Without any better reference for thermal performance data, the silk netting performance correlations continued to be used. In order to attempt to quantify the difference between the silk netting and polyester netting, a brief test program was developed. The silk netting material was obtained from Lockheed Martin and was tested on the Cryostat-100 instrument in three different configurations, 20 layers with both single and double netting and 10 layers with single netting only. The data show agreement within 15 - 30% with the historical silk netting based correlations and show a substantial performance improvement when compared to previous testing performed using polyester netting and aluminum foil/fiberglass paper multilayer insulation. Additionally, the data further reinforce a recently observed trend that the heat flux is not directly proportional to the number of layers installed on a system. (paper)

  10. Numerical Simulation of Natural Convection in a Vertically Installed Wet Thermal Insulator

    Energy Technology Data Exchange (ETDEWEB)

    Bae, Youngmin; Kim, Seong H.; Seo, Jae K.; Kim, Young I. [KAERI, Daejeon (Korea, Republic of)

    2016-05-15

    Natural convection in an enclosure with disconnected vertical partitions inside is thought of as major concerns in the design of thermal insulators. For example, in a system-integrated modular advanced reactor (SMART), vertical partitions are disposed inside the so-called wet thermal insulator with gaps at the top and bottom ends to compensate for thermal expansion . In such a case, buoyancy driven flow circulates throughout the enclosure, i.e., fluid rises up in the hot-side layers, passing through the gap at the top, moving downward in the vertical channels near the cold side, and returning to the hot-side layers via the gap at the bottom. Compared with the case of connected partitions, this often causes an undesirable increase in the circulation flow rate and heat transfer within the enclosure, thus deteriorating the thermal insulation performance. In this study, laminar natural convection in a tall rectangular enclosure with disconnected vertical partitions inside is investigated numerically. The effects of main governing parameters such as the modified Rayleigh number, enclosure height to width ratio, and number of fluid layers are scrutinized along with a discussion of the heat transfer regimes. This study investigates the laminar natural convection in a tall rectangular enclosure having isothermal side walls of different temperatures and insulated top and bottom walls with disconnected vertical partitions inside.

  11. Numerical Simulation of Natural Convection in a Vertically Installed Wet Thermal Insulator

    International Nuclear Information System (INIS)

    Bae, Youngmin; Kim, Seong H.; Seo, Jae K.; Kim, Young I.

    2016-01-01

    Natural convection in an enclosure with disconnected vertical partitions inside is thought of as major concerns in the design of thermal insulators. For example, in a system-integrated modular advanced reactor (SMART), vertical partitions are disposed inside the so-called wet thermal insulator with gaps at the top and bottom ends to compensate for thermal expansion . In such a case, buoyancy driven flow circulates throughout the enclosure, i.e., fluid rises up in the hot-side layers, passing through the gap at the top, moving downward in the vertical channels near the cold side, and returning to the hot-side layers via the gap at the bottom. Compared with the case of connected partitions, this often causes an undesirable increase in the circulation flow rate and heat transfer within the enclosure, thus deteriorating the thermal insulation performance. In this study, laminar natural convection in a tall rectangular enclosure with disconnected vertical partitions inside is investigated numerically. The effects of main governing parameters such as the modified Rayleigh number, enclosure height to width ratio, and number of fluid layers are scrutinized along with a discussion of the heat transfer regimes. This study investigates the laminar natural convection in a tall rectangular enclosure having isothermal side walls of different temperatures and insulated top and bottom walls with disconnected vertical partitions inside

  12. Unidirectional spin-Hall and Rashba-Edelstein magnetoresistance in topological insulator-ferromagnet layer heterostructures.

    Science.gov (United States)

    Lv, Yang; Kally, James; Zhang, Delin; Lee, Joon Sue; Jamali, Mahdi; Samarth, Nitin; Wang, Jian-Ping

    2018-01-09

    The large spin-orbit coupling in topological insulators results in helical spin-textured Dirac surface states that are attractive for topological spintronics. These states generate an efficient spin-orbit torque on proximal magnetic moments. However, memory or logic spin devices based upon such switching require a non-optimal three-terminal geometry, with two terminals for the writing current and one for reading the state of the device. An alternative two-terminal device geometry is now possible by exploiting the recent discovery of the unidirectional spin Hall magnetoresistance in heavy metal/ferromagnet bilayers and unidirectional magnetoresistance in magnetic topological insulators. Here, we report the observation of such unidirectional magnetoresistance in a technologically relevant device geometry that combines a topological insulator with a conventional ferromagnetic metal. Our devices show a figure of merit (magnetoresistance per current density per total resistance) that is more than twice as large as the highest reported values in all-metal Ta/Co bilayers.

  13. Syntheses, structural variants and characterization of AInM′S4 (A=alkali metals, Tl; M′ = Ge, Sn) compounds; facile ion-exchange reactions of layered NaInSnS4 and KInSnS4 compounds

    International Nuclear Information System (INIS)

    Yohannan, Jinu P.; Vidyasagar, Kanamaluru

    2016-01-01

    Ten AInM′S 4 (A=alkali metals, Tl; M′= Ge, Sn) compounds with diverse structure types have been synthesized and characterized by single crystal and powder X-ray diffraction and a variety of spectroscopic methods. They are wide band gap semiconductors. KInGeS 4 (1-β), RbInGeS 4 (2), CsInGeS 4 (3-β), TlInGeS 4 (4-β), RbInSnS 4 (8-β) and CsInSnS 4 (9) compounds with three-dimensional BaGa 2 S 4 structure and CsInGeS 4 (3-α) and TlInGeS 4 (4-α) compounds with a layered TlInSiS 4 structure have tetrahedral [InM′S 4 ] − frameworks. On the other hand, LiInSnS 4 (5) with spinel structure and NaInSnS 4 (6), KInSnS 4 (7), RbInSnS 4 (8-α) and TlInSnS 4 (10) compounds with layered structure have octahedral [InM′S 4 ] − frameworks. NaInSnS 4 (6) and KInSnS 4 (7) compounds undergo facile topotactic ion-exchange, at room temperature, with various mono-, di- and tri-valent cations in aqueous medium to give rise to metastable layered phases. - Graphical abstract: NaInSnS 4 and KInSnS 4 compounds undergo, in aqueous medium at room temperature, facile topotactic ion-exchange with mono, di and trivalent cations. Display Omitted - Highlights: • Ten AInM′S 4 compounds with diverse structure types were synthesized. • They are wide band gap semiconductors. • NaInSnS 4 and KInSnS 4 compounds undergo facile topotactic ion-exchange at room temperature.

  14. Interfacial Passivation of the p-Doped Hole-Transporting Layer Using General Insulating Polymers for High-Performance Inverted Perovskite Solar Cells.

    Science.gov (United States)

    Zhang, Fan; Song, Jun; Hu, Rui; Xiang, Yuren; He, Junjie; Hao, Yuying; Lian, Jiarong; Zhang, Bin; Zeng, Pengju; Qu, Junle

    2018-05-01

    Organic-inorganic lead halide perovskite solar cells (PVSCs), as a competing technology with traditional inorganic solar cells, have now realized a high power conversion efficiency (PCE) of 22.1%. In PVSCs, interfacial carrier recombination is one of the dominant energy-loss mechanisms, which also results in the simultaneous loss of potential efficiency. In this work, for planar inverted PVSCs, the carrier recombination is dominated by the dopant concentration in the p-doped hole transport layers (HTLs), since the F4-TCNQ dopant induces more charge traps and electronic transmission channels, thus leading to a decrease in open-circuit voltages (V OC ). This issue is efficiently overcome by inserting a thin insulating polymer layer (poly(methyl methacrylate) or polystyrene) as a passivation layer with an appropriate thickness, which allows for increases in the V OC without significantly sacrificing the fill factor. It is believed that the passivation layer attributes to the passivation of interfacial recombination and the suppression of current leakage at the perovskite/HTL interface. By manipulating this interfacial passivation technique, a high PCE of 20.3% is achieved without hysteresis. Consequently, this versatile interfacial passivation methodology is highly useful for further improving the performance of planar inverted PVSCs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Universal scheme to generate metal–insulator transition in disordered systems

    International Nuclear Information System (INIS)

    Guo, Ai-Min; Xiong, Shi-Jie; Xie, X C; Sun, Qing-feng

    2013-01-01

    We propose a scheme to generate metal–insulator transition in the random binary layer (RBL) model, which is constructed by randomly assigning two types of layers along the longitudinal direction. Based on a tight-binding Hamiltonian, the localization length is calculated for a variety of RBLs with different cross section geometries by using the transfer-matrix method. Both analytical and numerical results show that a band of extended states could appear in the quasi-one-dimensional RBLs and the systems behave as metals by properly tuning the model parameters, due to the existence of a completely ordered subband, leading to a metal–insulator transition in parameter space. Furthermore, the extended states are irrespective of the diagonal and off-diagonal disorder strengths. Our results can be generalized to two- and three-dimensional disordered systems with arbitrary layer structures, and may be realized in Bose–Einstein condensates. (paper)

  16. Electro-optical 1 x 2, 1 x N and N x N fiber-optic and free-space switching over 1.55 to 3.0 μm using a Ge-Ge(2)Sb(2)Te(5)-Ge prism structure.

    Science.gov (United States)

    Hendrickson, Joshua; Soref, Richard; Sweet, Julian; Majumdar, Arka

    2015-01-12

    New device designs are proposed and theoretical simulations are performed on electro-optical routing switches in which light beams enter and exit the device either from free space or from lensed fibers. The active medium is a ~100 nm layer of phase change material (Ge(2)Sb(2)Te(5) or GeTe) that is electrically "triggered" to change its phase, giving "self-holding" behavior in each of two phases. Electrical current is supplied to that film by a pair of transparent highly doped conducting Ge prisms on both sides of the layer. For S-polarized light incident at ~80° on the film, a three-layer Fabry-Perot analysis, including dielectric loss, predicts good 1 x 2 and 2 x 2 switch performance at infrared wavelengths of 1.55, 2.1 and 3.0 μm, although the performance at 1.55 μm is degraded by material loss and prism mismatch. Proposals for in-plane and volumetric 1 x 4 and 4 x 4 switches are also presented. An unpolarized 1 x 2 switch projects good performance at mid infrared.

  17. P-type Ge epitaxy on GaAs (100) substrate grown by MOCVD

    Energy Technology Data Exchange (ETDEWEB)

    Jin, Y.J.; Chia, C.K.; Liu, H.F.; Wong, L.M.; Chai, J.W.; Chi, D.Z.; Wang, S.J., E-mail: sj-wang@imre.a-star.edu.sg

    2016-07-15

    Highlights: • The heterogeneous integration of p-Ge/GaAs by MOCVD indicates significance for the application in optoelectronic devices such as p-MOSFET, dual band photodetector, etc. • Many undesired pillar-structures were observed on the p-Ge epilayers and we found that the cause of the pillar-like structures was related to the Ge-Ga dimers formed during the growth. • We found that a GaAs substrate with fewer Ga or Ge danglings was helpful in suppressing the formation of the unwanted pillar-like structures and thus obtaining high quality p-Ge epilayers. - Abstract: In this work, Ga-doped Geranium (Ge) films have been grown on GaAs (100) substrates by metal-organic chemical vapor deposition (MOCVD). Undesired pillar structures have been observed on the epilayers prepared at relatively lower temperatures. Energy dispersive X-ray spectroscopy (EDX) indicated that the pillars are mainly consisted of Ga atoms, which is totally different from that of the Ge film. It was demonstrated that the pillar structures could be reduced by simply raising the growth temperature while keeping the other growth conditions unchanged. In this regard, the growth mechanism of the pillars was related to the Ge-Ga dimers formed during the growth of p-Ge films. By further studying the influence of a GaAs or Ge buffer layer on the growth of p-Ge layers, we found that the GaAs substrate with lower density of Ga or Ge dangling bonds was helpful in suppressing the formation of the undesired pillar structures.

  18. Disordered Nanohole Patterns in Metal-Insulator Multilayer for Ultra-broadband Light Absorption: Atomic Layer Deposition for Lithography Free Highly repeatable Large Scale Multilayer Growth.

    Science.gov (United States)

    Ghobadi, Amir; Hajian, Hodjat; Dereshgi, Sina Abedini; Bozok, Berkay; Butun, Bayram; Ozbay, Ekmel

    2017-11-08

    In this paper, we demonstrate a facile, lithography free, and large scale compatible fabrication route to synthesize an ultra-broadband wide angle perfect absorber based on metal-insulator-metal-insulator (MIMI) stack design. We first conduct a simulation and theoretical modeling approach to study the impact of different geometries in overall stack absorption. Then, a Pt-Al 2 O 3 multilayer is fabricated using a single atomic layer deposition (ALD) step that offers high repeatability and simplicity in the fabrication step. In the best case, we get an absorption bandwidth (BW) of 600 nm covering a range of 400 nm-1000 nm. A substantial improvement in the absorption BW is attained by incorporating a plasmonic design into the middle Pt layer. Our characterization results demonstrate that the best configuration can have absorption over 0.9 covering a wavelength span of 400 nm-1490 nm with a BW that is 1.8 times broader compared to that of planar design. On the other side, the proposed structure retains its absorption high at angles as wide as 70°. The results presented here can serve as a beacon for future performance enhanced multilayer designs where a simple fabrication step can boost the overall device response without changing its overall thickness and fabrication simplicity.

  19. Topological Insulator Nanowires and Nanoribbons

    KAUST Repository

    Kong, Desheng

    2010-01-13

    Recent theoretical calculations and photoemission spectroscopy measurements on the bulk Bi2Se3 material show that it is a three-dimensional topological insulator possessing conductive surface states with nondegenerate spins, attractive for dissipationless electronics and spintronics applications. Nanoscale topological insulator materials have a large surface-to-volume ratio that can manifest the conductive surface states and are promising candidates for devices. Here we report the synthesis and characterization of high quality single crystalline Bi2Se5 nanomaterials with a variety of morphologies. The synthesis of Bi 2Se5 nanowires and nanoribbons employs Au-catalyzed vapor-liquid-solid (VLS) mechanism. Nanowires, which exhibit rough surfaces, are formed by stacking nanoplatelets along the axial direction of the wires. Nanoribbons are grown along [1120] direction with a rectangular cross-section and have diverse morphologies, including quasi-one-dimensional, sheetlike, zigzag and sawtooth shapes. Scanning tunneling microscopy (STM) studies on nanoribbons show atomically smooth surfaces with ∼ 1 nm step edges, indicating single Se-Bi-Se-Bi-Se quintuple layers. STM measurements reveal a honeycomb atomic lattice, suggesting that the STM tip couples not only to the top Se atomic layer, but also to the Bi atomic layer underneath, which opens up the possibility to investigate the contribution of different atomic orbitais to the topological surface states. Transport measurements of a single nanoribbon device (four terminal resistance and Hall resistance) show great promise for nanoribbons as candidates to study topological surface states. © 2010 American Chemical Society.

  20. Conformally encapsulated multi-electrode arrays with seamless insulation

    Energy Technology Data Exchange (ETDEWEB)

    Tabada, Phillipe J.; Shah, Kedar G.; Tolosa, Vanessa; Pannu, Satinderall S.; Tooker, Angela; Delima, Terri; Sheth, Heeral; Felix, Sarah

    2016-11-22

    Thin-film multi-electrode arrays (MEA) having one or more electrically conductive beams conformally encapsulated in a seamless block of electrically insulating material, and methods of fabricating such MEAs using reproducible, microfabrication processes. One or more electrically conductive traces are formed on scaffold material that is subsequently removed to suspend the traces over a substrate by support portions of the trace beam in contact with the substrate. By encapsulating the suspended traces, either individually or together, with a single continuous layer of an electrically insulating material, a seamless block of electrically insulating material is formed that conforms to the shape of the trace beam structure, including any trace backings which provide suspension support. Electrical contacts, electrodes, or leads of the traces are exposed from the encapsulated trace beam structure by removing the substrate.

  1. Synthesis, structure and electronic structure of a new polymorph of CaGe2

    International Nuclear Information System (INIS)

    Tobash, Paul H.; Bobev, Svilen

    2007-01-01

    Reported are the flux synthesis, the crystal structure determination, the properties and the band structure calculations of a new polymorph of CaGe 2 , which crystallizes with the hexagonal space group P6 3 mc (no. 186) with cell parameters of a=3.9966(9) and c=10.211(4)A (Z=2; Pearson's code hP6). The structure can be viewed as puckered layers of three-bonded germanium atoms, ∼ 2 [Ge 2 ] 2- , which are stacked along the direction of the c-axis in an ABAB-fashion. The germanium polyanionic layers are separated by the Ca cations. As such, this structure is closely related to the structure of the other CaGe 2 polymorph, which crystallizes with the rhombohedral CaSi 2 type in the R3-bar m space group (No. 166), where the ∼ 2 [Ge 2 ] 2- layers are arranged in an AA'BB'CC'-fashion, and are also interspaced by Ca 2+ cations. LMTO calculations suggest that in spite of the formal closed-shell configuration for all atoms and the apparent adherence to the Zintl rules for electron counting, i.e., Ca 2+ [3b-Ge 1- ] 2 ), the phase will be a poor metal due to a small Ca-3d-Ge-4p band overlap. Magnetic susceptibility measurements as a function of the temperature indicate that the new CaGe 2 polymorph exhibits weak, temperature independent, Pauli-paramagnetism

  2. Cylindrical cryogenic calorimeter testing of six types of multilayer insulation systems

    Science.gov (United States)

    Fesmire, J. E.; Johnson, W. L.

    2018-01-01

    Extensive cryogenic thermal testing of more than 100 different multilayer insulation (MLI) specimens was performed over the last 20 years for the research and development of evacuated reflective thermal insulation systems. From this data library, 26 MLI systems plus several vacuum-only systems are selected for analysis and comparison. The test apparatus, methods, and results enabled the adoption of two new technical consensus standards under ASTM International. Materials tested include reflectors of aluminum foil or double-aluminized Mylar and spacers of fiberglass paper, polyester netting, silk netting, polyester fabric, or discrete polymer standoffs. The six types of MLI systems tested are listed as follows: Mylar/Paper, Foil/Paper, Mylar/Net, Mylar/Blanket, Mylar/Fabric, Mylar/Discrete. Also tested are vacuum-only systems with different cold surface materials/finishes including stainless steel, black, copper, and aluminum. Testing was performed between the boundary temperatures of 78 K and 293 K (and up to 350 K) using a thermally guarded one-meter-long cylindrical calorimeter (Cryostat-100) for absolute heat flow measurement. Cold vacuum pressures include the full range from 1 × 10-6 torr to 760 torr with nitrogen as the residual gas. System variations include number of layers from one to 80 layers, layer densities from 0.5 to 5 layers per millimeter, and installation techniques such layer-by-layer, blankets (multi-layer assemblies), sub-blankets, seaming, butt-joining, spiral wrapping, and roll-wrapping. Experimental thermal performance data for the different MLI systems are presented in terms of heat flux and effective thermal conductivity. Benchmark cryogenic-vacuum thermal performance curves for MLI are given for comparison with different insulation approaches for storage and transfer equipment, cryostats, launch vehicles, spacecraft, or science instruments.

  3. A high-temperature silicon-on-insulator stress sensor

    International Nuclear Information System (INIS)

    Wang Zheyao; Tian Kuo; Zhou Youzheng; Pan Liyang; Liu Litian; Hu Chaohong

    2008-01-01

    A piezoresistive stress sensor is developed using silicon-on-insulator (SOI) wafers and calibrated for stress measurement for high-temperature applications. The stress sensor consists of 'silicon-island-like' piezoresistor rosettes that are etched on the SOI layer. This eliminates leakage current and enables excellent electrical insulation at high temperature. To compensate for the measurement errors caused by the misalignment of the piezoresistor rosettes with respect to the crystallographic axes, an anisotropic micromachining technique, tetramethylammonium hydroxide etching, is employed to alleviate the misalignment issue. To realize temperature-compensated stress measurement, a planar diode is fabricated as a temperature sensor to decouple the temperature information from the piezoresistors, which are sensitive to both stress and temperature. Design, fabrication and calibration of the piezoresistors are given. SOI-related characteristics such as piezoresistive coefficients and temperature coefficients as well as the influence of the buried oxide layer are discussed in detail

  4. SEMICONDUCTOR DEVICES: Two-dimensional threshold voltage analytical model of DMG strained-silicon-on-insulator MOSFETs

    Science.gov (United States)

    Jin, Li; Hongxia, Liu; Bin, Li; Lei, Cao; Bo, Yuan

    2010-08-01

    For the first time, a simple and accurate two-dimensional analytical model for the surface potential variation along the channel in fully depleted dual-material gate strained-Si-on-insulator (DMG SSOI) MOSFETs is developed. We investigate the improved short channel effect (SCE), hot carrier effect (HCE), drain-induced barrier-lowering (DIBL) and carrier transport efficiency for the novel structure MOSFET. The analytical model takes into account the effects of different metal gate lengths, work functions, the drain bias and Ge mole fraction in the relaxed SiGe buffer. The surface potential in the channel region exhibits a step potential, which can suppress SCE, HCE and DIBL. Also, strained-Si and SOI structure can improve the carrier transport efficiency, with strained-Si being particularly effective. Further, the threshold voltage model correctly predicts a “rollup" in threshold voltage with decreasing channel length ratios or Ge mole fraction in the relaxed SiGe buffer. The validity of the two-dimensional analytical model is verified using numerical simulations.

  5. VIP A B C. Vacuum Insulation Panels Applied in Building Constructions

    Energy Technology Data Exchange (ETDEWEB)

    Tenpierik, M.J.

    2010-02-01

    Due to sustainability and due to international treaties, it is desired and required to reduce greenhouse gas emissions drastically. One contributor to these emissions is the burning of fossil fuels for generating power and electricity to be used in and for buildings. Buildings and building-related processes are responsible for about 40% of the primary energy consumption in the European Union. More than half of this energy is applied for heating systems in dwellings and commercial buildings. The European Union therefore has laid down new energy performance requirements for buildings in the European Directive on the Energy Performance of Buildings. Moreover, a reduction of energy losses of buildings during their occupational phase is important for facilitating the implementation of sustainable energy sources in the built environment. Increasing the insulation value of the envelope of buildings may contribute to this reduction of primary energy use. Two strategies can be followed. The first strategy is to increase the thickness of the thermal insulation layer. Until recently, this strategy has primarily been adopted. If, however, German or Swiss Passivhaus standard is applied, the thickness of this insulation layer would increase to beyond 30 cm, resulting in very thick building enclosures. The second, more innovative, strategy for reducing energy losses through the building skin would be the application of more effective thermal insulators. One such more effective thermal insulator is a vacuum insulation panel, abbreviated as VIP. A VIP consists of an open-celled core material which is evacuated and then tightly sealed into a barrier envelope to maintain this vacuum. The vacuum inside the pores of the core material reduces the thermal conductivity of the product significantly, as a result of which the thickness of the insulation layer can be reduced to obtain a certain performance. This reduction of thickness is among the most promising features for large

  6. Effect of Ge surface termination on oxidation behavior

    Science.gov (United States)

    Lee, Younghwan; Park, Kibyung; Cho, Yong Soo; Lim, Sangwoo

    2008-09-01

    Sulfur-termination was formed on the Ge(1 0 0) surface using (NH 4) 2S solution. Formation of Ge-S and the oxidation of the S-terminated Ge surface were monitored with multiple internal reflection Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. In the 0.5, 5, or 20% (NH 4) 2S solution, H-termination on the Ge(1 0 0) surface was substituted with S-termination in 1 min. When the S-terminated Ge(1 0 0) surface was exposed in air ambient, the oxidation was retarded for about 3600 min. The preservation time of the oxide layer up to one monolayer of S-terminated Ge(1 0 0) surface was about 120 times longer than for the H-terminated Ge(1 0 0) surface. However, the oxidation of S-terminated Ge(1 0 0) surface drastically increased after the threshold time. There was no significant difference in threshold time between S-terminations formed in 0.5, 5, and 20% (NH 4) 2S solutions. With the surface oxidation, desorption of S on the Ge surface was observed. The desorption behavior of sulfur on the S-terminated Ge(1 0 0) surface was independent of the concentration of the (NH 4) 2S solution that forms S-termination. Non-ideal S-termination on Ge surfaces may be related to drastic oxidation of the Ge surface. Finally, with the desulfurization on the S-terminated Ge(1 0 0) surface, oxide growth is accelerated.

  7. Dimensional crossover and cold-atom realization of gapless and semi-metallic Mott insulating phases

    Science.gov (United States)

    Orth, Peter P.; Scheurer, Mathias; Rachel, Stephan

    2014-03-01

    We propose a realistic cold-atom setup which allows for a dimensional crossover from a two-dimensional quantum spin Hall insulating phase to a three-dimensional strong topological insulator phase by simply tuning the hopping between the layers. We further employ cluster slave-rotor mean-field theory to study the effect of additional Hubbard onsite interactions that give rise to various spin liquid-like phases such as gapless and semi-metallic Mott insulating states.

  8. Carrier confinement in Ge/Si quantum dots grown with an intermediate ultrathin oxide layer

    Science.gov (United States)

    Kuryliuk, V.; Korotchenkov, O.; Cantarero, A.

    2012-02-01

    We present computational results for strain effects on charge carrier confinement in GexSi1-x quantum dots (QDs) grown on an oxidized Si surface. The strain and free carrier probability density distributions are obtained using the continuum elasticity theory and the effective-mass approximation implemented by a finite-element modeling scheme. Using realistic parameters and conditions for hemisphere and pyramid QDs, it is pointed out that an uncapped hemisphere dot deposited on the Si surface with an intermediate ultrathin oxide layer offers advantageous electron-hole separation distances with respect to a square-based pyramid grown directly on Si. The enhanced separation is associated with a larger electron localization depth in the Si substrate for uncapped hemisphere dots. Thus, for dot diameters smaller than 15-20 nm and surface density of the dots (nQD) ranging from about 1010 to 1012 cm-2, the localization depth may be enhanced from about 8 nm for a pyramid to 38 nm for a hemisphere dot. We find that the effect in a hemisphere dot is very sensitive to the dot density and size, whereas the localization depth is not significantly affected by the variation of the Ge fraction x in GexSi1-x and the aspect ratio of the dot. We also calculate the effect of the fixed oxide charge (Qox) with densities ranging from 10-9 to 10-7 C/cm2 for 10-Ωcm p-type Si wafers on the carrier confinement. Although the confinement potential can be strongly perturbed by the charge at nQD less than ≈4×1011 cm-2, it is not very sensitive to the value of Qox at higher nQD. Since, to our knowledge, there are no data on carrier confinement for Ge QDs deposited on oxidized Si surfaces, these results might be applicable to functional devices utilizing separated electrons and holes such as photovoltaic devices, spin transistors, and quantum computing components. The use of hemisphere QDs placed on oxidized Si rather than pyramid dots grown on bare Si may help to confine charge carriers deeper

  9. Performance Test of the Microwave Ion Source with the Multi-layer DC Break

    International Nuclear Information System (INIS)

    Kim, Dae Il; Kwon, Hyeok Jung; Kim, Han Sung; Seol, Kyung Tae; Cho, Yong Sub

    2012-01-01

    A microwave proton source has been developed as a proton injector for the 100-MeV proton linac of the PEFP (Proton Engineering Frontier Project). On microwave ion source, the high voltage for the beam extraction is applied to the plasma chamber, also to the microwave components such as a 2.45GHz magnetron, a 3-stub tuner, waveguides. If microwave components can be installed on ground side, the microwave ion source can be operated and maintained easily. For the purpose, the multi-layer DC break has been developed. A multi-layer insulation has the arrangement of conductors and insulators as shown in the Fig. 1. For the purpose of stable operation as the multi-layer DC break, we checked the radiation of the insulator depending on materials and high voltage test of a fabricated multi-layer insulation. In this report, the details of performance test of the multi-layer DC break will be presented

  10. Development of a Diehard GEM using PTFE insulator substrate

    OpenAIRE

    Wakabayashi, M.; Komiya, K.; Tamagawa, T.; Takeuchi, Y.; Aoki, K.; Taketani, A.; Hamagaki, H.

    2014-01-01

    We have developed the gas electron multiplier (GEM) using polytetrafluoroethylene (PTFE) insulator substrate (PTFE-GEM). Carbonization on insulator layer by discharges shorts the GEM electrodes, causing permanent breakdown. Since PTFE is hard to be carbonized against arc discharges, PTFE-GEM is expected to be robust against breakdown. Gains as high as 2.6x10^4 were achieved with PTFE-GEM (50 um thick) in Ar/CO2 = 70%/30% gas mixture at V_GEM = 730V. PTFE-GEM never showed a permanent breakdown...

  11. Comparative study of GeO{sub 2}/Ge and SiO{sub 2}/Si structures on anomalous charging of oxide films upon water adsorption revealed by ambient-pressure X-ray photoelectron spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Mori, Daichi; Kawai, Kentaro; Morita, Mizuho; Arima, Kenta, E-mail: arima@prec.eng.osaka-u.ac.jp [Department of Precision Science and Technology, Graduate School of Engineering, Osaka University, 2-1, Yamada-oka, Suita, Osaka 565-0871 (Japan); Oka, Hiroshi; Hosoi, Takuji; Watanabe, Heiji [Department of Material and Life Science, Graduate School of Engineering, Osaka University, 2-1, Yamada-oka, Suita, Osaka 565-0871 (Japan); Crumlin, Ethan J.; Liu, Zhi [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States)

    2016-09-07

    The energy difference between the oxide and bulk peaks in X-ray photoelectron spectroscopy (XPS) spectra was investigated for both GeO{sub 2}/Ge and SiO{sub 2}/Si structures with thickness-controlled water films. This was achieved by obtaining XPS spectra at various values of relative humidity (RH) of up to ∼15%. The increase in the energy shift is more significant for thermal GeO{sub 2} on Ge than for thermal SiO{sub 2} on Si above ∼10{sup −4}% RH, which is due to the larger amount of water molecules that infiltrate into the GeO{sub 2} film to form hydroxyls. Analyzing the origins of this energy shift, we propose that the positive charging of a partially hydroxylated GeO{sub 2} film, which is unrelated to X-ray irradiation, causes the larger energy shift for GeO{sub 2}/Ge than for SiO{sub 2}/Si. A possible microscopic mechanism of this intrinsic positive charging is the emission of electrons from adsorbed water species in the suboxide layer of the GeO{sub 2} film to the Ge bulk, leaving immobile cations or positively charged states in the oxide. This may be related to the reported negative shift of flat band voltages in metal-oxide-semiconductor diodes with an air-exposed GeO{sub 2} layer.

  12. Intermetallic and electrical insulator coatings on high-temperature alloys in liquid-lithium environments

    International Nuclear Information System (INIS)

    Park, J.H.

    1994-06-01

    In the design of liquid-metal cooling systems for fusion-reactor blanket, applications, the corrosion resistance of structural materials and the magnetohydrodynamic (MHD) force and its subsequent influence on thermal hydraulics and corrosion are major concerns. When the system is cooled by liquid metals, insulator coatings are required on piping surfaces in contact with the coolant. The objective of this study is to develop stable corrosion-resistant electrical insulator coatings at the liquid-metal/structural-material interface, with emphasis on electrically insulating coatings that prevent adverse MHD-generated currents from passing through the structural wall, and Be-V intermetallic coatings for first-wall components that face the plasma. Vanadium and V-base alloys are leading candidate materials for structural applications in a fusion reactor. Various intermetallic films were produced on V-alloys and on Types 304 and 316 stainless steel. The intermetallic layers were developed by exposure of the materials to liquid Li containing 2 at temperatures of 500--1030 degree C. CaO electrical insulator coatings were produced by reaction of the oxygen-rich layer with <5 at. % Ca dissolved in liquid Li at 400--700 degree C. The reaction converted the oxygen-rich layer to an electrically insulating film. This coating method is applicable to reactor components because the liquid metal can be used over and over; only the solute within the liquid metal is consumed. This paper will discuss initial results on the nature of the coatings and their in-situ electrical resistivity characteristics in liquid Li at high temperatures

  13. Development of a Diehard GEM using PTFE insulator substrate

    International Nuclear Information System (INIS)

    Wakabayashi, M; Tamagawa, T; Takeuchi, Y; Aoki, K; Taketani, A; Komiya, K; Hamagaki, H

    2014-01-01

    We have developed the gas electron multiplier (GEM) using polytetrafluoroethylene (PTFE) insulator substrate (PTFE-GEM). Carbonization on insulator layer by discharges shorts the GEM electrodes, causing permanent breakdown. Since PTFE is hard to be carbonized against arc discharges, PTFE-GEM is expected to be robust against breakdown. Gains as high as 2.6 × 10 4 were achieved with PTFE-GEM (50 μm thick) in Ar/CO 2 = 70%/30% gas mixture at V GEM = 730 V. PTFE-GEM never showed a permanent breakdown even after suffering more than 40000 times discharges during the experiment. The result demonstrates that PTFE-GEM is really robust against discharges. We conclude that PTFE is an excellent insulator material for the GEM productions

  14. Alleviation of fermi-level pinning effect at metal/germanium interface by the insertion of graphene layers

    International Nuclear Information System (INIS)

    Baek, Seung-heon Chris; Seo, Yu-Jin; Oh, Joong Gun; Albert Park, Min Gyu; Bong, Jae Hoon; Yoon, Seong Jun; Lee, Seok-Hee; Seo, Minsu; Park, Seung-young; Park, Byong-Guk

    2014-01-01

    In this paper, we report the alleviation of the Fermi-level pinning on metal/n-germanium (Ge) contact by the insertion of multiple layers of single-layer graphene (SLG) at the metal/n-Ge interface. A decrease in the Schottky barrier height with an increase in the number of inserted SLG layers was observed, which supports the contention that Fermi-level pinning at metal/n-Ge contact originates from the metal-induced gap states at the metal/n-Ge interface. The modulation of Schottky barrier height by varying the number of inserted SLG layers (m) can bring about the use of Ge as the next-generation complementary metal-oxide-semiconductor material. Furthermore, the inserted SLG layers can be used as the tunnel barrier for spin injection into Ge substrate for spin-based transistors.

  15. A Difference in Using Atomic Layer Deposition or Physical Vapour Deposition TiN as Electrode Material in Metal-Insulator-Metal and Metal-Insulator-Silicon Capacitors

    NARCIS (Netherlands)

    Groenland, A.W.; Wolters, Robertus A.M.; Kovalgin, Alexeij Y.; Schmitz, Jurriaan

    2011-01-01

    In this work, metal-insulator-metal (MIM) and metal-insulator-silicon (MIS) capacitors are studied using titanium nitride (TiN) as the electrode material. The effect of structural defects on the electrical properties on MIS and MIM capacitors is studied for various electrode configurations. In the

  16. Photoemission Studies of Si Quantum Dots with Ge Core: Dots formation, Intermixing at Si-clad/Ge-core interface and Quantum Confinement Effect

    Directory of Open Access Journals (Sweden)

    Yudi Darma

    2008-03-01

    Full Text Available Spherical Si nanocrystallites with Ge core (~20nm in average dot diameter have been prepared by controlling selective growth conditions of low-pressure chemical vapor deposition (LPCVD on ultrathin SiO2 using alternately pure SiH4 and 5% GeH4 diluted with He. XPS results confirm the highly selective growth of Ge on the pregrown Si dots and subsequently complete coverage by Si selective growth on Ge/Si dots. Compositional mixing and the crystallinity of Si dots with Ge core as a function of annealing temperature in the range of 550-800oC has been evaluated by XPS analysis and confirms the diffusion of Ge atoms from Ge core towards the Si clad accompanied by formation of GeOx at the Si clad surface. The first subband energy at the valence band of Si dot with Ge core has been measured as an energy shift at the top of the valence band density of state using XPS. The systematic shift of the valence band maximum towards higher binding energy with progressive deposition in the dot formation indicate the charging effect of dots and SiO2 layer by photoemission during measurements.

  17. Structural properties of relaxed thin film germanium layers grown by low temperature RF-PECVD epitaxy on Si and Ge (100) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Cariou, R., E-mail: romain.cariou@polytechnique.edu [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); III-V lab a joint laboratory between Alcatel-Lucent Bell Labs France, Thales Research and Technology and CEA-LETI, route de Nozay, 91460, Marcoussis, France. (France); Ruggeri, R. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy); Tan, X.; Nassar, J.; Roca i Cabarrocas, P. [LPICM-CNRS, Ecole Polytechnique, 91128, Palaiseau (France); Mannino, Giovanni [CNR-IMM, strada VIII n°5, zona industriale, 95121, Catania (Italy)

    2014-07-15

    We report on unusual low temperature (175 °C) heteroepitaxial growth of germanium thin films using a standard radio-frequency plasma process. Spectroscopic ellipsometry and transmission electron microscopy (TEM) reveal a perfect crystalline quality of epitaxial germanium layers on (100) c-Ge wafers. In addition direct germanium crystal growth is achieved on (100) c-Si, despite 4.2% lattice mismatch. Defects rising from Ge/Si interface are mostly located within the first tens of nanometers, and threading dislocation density (TDD) values as low as 10{sup 6} cm{sup −2} are obtained. Misfit stress is released fast: residual strain of −0.4% is calculated from Moiré pattern analysis. Moreover we demonstrate a striking feature of low temperature plasma epitaxy, namely the fact that crystalline quality improves with thickness without epitaxy breakdown, as shown by TEM and depth profiling of surface TDD.

  18. Magnetic tunnel junction device having an intermediate layer

    NARCIS (Netherlands)

    2001-01-01

    A magnetic tunnel junction device has a multi-layer structure including a pair of electrode layers of a ferromagnetic material and a tunnel barrier layer of an insulating material between the electrode layers. In order to realize a low resistance, the multi-layer structure also includes an

  19. Realization of the Axion Insulator State in Quantum Anomalous Hall Sandwich Heterostructures

    Science.gov (United States)

    Xiao, Di; Jiang, Jue; Shin, Jae-Ho; Wang, Wenbo; Wang, Fei; Zhao, Yi-Fan; Liu, Chaoxing; Wu, Weida; Chan, Moses H. W.; Samarth, Nitin; Chang, Cui-Zu

    2018-02-01

    The "magnetoelectric effect" arises from the coupling between magnetic and electric properties in materials. The Z2 invariant of topological insulators (TIs) leads to a quantized version of this phenomenon, known as the topological magnetoelectric (TME) effect. This effect can be realized in a new topological phase called an "axion insulator" whose surface states are all gapped but the interior still obeys time reversal symmetry. We demonstrate such a phase using electrical transport measurements in a quantum anomalous Hall (QAH) sandwich heterostructure, in which two compositionally different magnetic TI layers are separated by an undoped TI layer. Magnetic force microscopy images of the same sample reveal sequential magnetization reversals of the top and bottom layers at different coercive fields, a consequence of the weak interlayer exchange coupling due to the spacer. When the magnetization is antiparallel, both the Hall resistance and Hall conductance show zero plateaus, accompanied by a large longitudinal resistance and vanishing longitudinal conductance, indicating the realization of an axion insulator state. Our findings thus show evidence for a phase of matter distinct from the established QAH state and provide a promising platform for the realization of the TME effect.

  20. Dynamical analysis of surface-insulated planar wire array Z-pinches

    Science.gov (United States)

    Li, Yang; Sheng, Liang; Hei, Dongwei; Li, Xingwen; Zhang, Jinhai; Li, Mo; Qiu, Aici

    2018-05-01

    The ablation and implosion dynamics of planar wire array Z-pinches with and without surface insulation are compared and discussed in this paper. This paper first presents a phenomenological model named the ablation and cascade snowplow implosion (ACSI) model, which accounts for the ablation and implosion phases of a planar wire array Z-pinch in a single simulation. The comparison between experimental data and simulation results shows that the ACSI model could give a fairly good description about the dynamical characteristics of planar wire array Z-pinches. Surface insulation introduces notable differences in the ablation phase of planar wire array Z-pinches. The ablation phase is divided into two stages: insulation layer ablation and tungsten wire ablation. The two-stage ablation process of insulated wires is simulated in the ACSI model by updating the formulas describing the ablation process.

  1. Cladding Attachment Over Thick Exterior Insulating Sheathing

    Energy Technology Data Exchange (ETDEWEB)

    Baker, P. [Building Science Corporation, Somerville, MA (United States); Eng, P. [Building Science Corporation, Somerville, MA (United States); Lepage, R. [Building Science Corporation, Somerville, MA (United States)

    2014-01-01

    The addition of insulation to the exterior of buildings is an effective means of increasing the thermal resistance of both wood framed walls as well as mass masonry wall assemblies. For thick layers of exterior insulation (levels greater than 1.5 inches), the use of wood furring strips attached through the insulation back to the structure has been used by many contractors and designers as a means to provide a convenient cladding attachment location (Straube and Smegal 2009, Pettit 2009, Joyce 2009, Ueno 2010). The research presented in this report is intended to help develop a better understanding of the system mechanics involved and the potential for environmental exposure induced movement between the furring strip and the framing. BSC sought to address the following research questions: 1. What are the relative roles of the mechanisms and the magnitudes of the force that influence the vertical displacement resistance of the system? 2. Can the capacity at a specified deflection be reliably calculated using mechanics based equations? 3. What are the impacts of environmental exposure on the vertical displacement of furring strips attached directly through insulation back to a wood structure?

  2. RBS-study of GexSi1-x Compounds Formed by Variable Dose Ge Implantation into Si Wafers

    Directory of Open Access Journals (Sweden)

    Ramírez A.

    2002-01-01

    Full Text Available Amorphous and relaxed epitaxial GeSi films are prepared by Ge-implantation into Si(111 wafers of both 60 keV and 200 keV energetic Ge+-ions with appropriate dose, followed by post-implantation thermal annealing, comprising a single final annealing at a temperature of 900 °C. The implantation dose was varied between 10(14 and 10(17 atoms cm-2. Rutherford backscattering (RBS and channeling analysis was applied in order to explore the formation of a single crystalline Si-Ge compound layer, both prior and after the thermal treatment. The depth and the thickness of the implanted layer, as well as their molar composition and crystalline quality was determined, and it was found that a single crystalline Si-Ge alloy layer was created, with both depth and mole fraction depending on the ion energy and the ion dose.

  3. Impact of GaAs buffer thickness on electronic quality of GaAs grown on graded Ge/GeSi/Si substrates

    International Nuclear Information System (INIS)

    Carlin, J. A.; Ringel, S. A.; Fitzgerald, E. A.; Bulsara, M.; Keyes, B. M.

    2000-01-01

    Minority carrier lifetimes and interface recombination velocities for GaAs grown on a Si wafer using compositionally graded GeSi buffers have been investigated as a function of GaAs buffer thickness using monolayer-scale control of the GaAs/Ge interface nucleation during molecular beam epitaxy. The GaAs layers are free of antiphase domain disorder, with threading dislocation densities measured by etch pit density of 5x10 5 -2x10 6 cm -2 . Analysis indicates no degradation in either minority carrier lifetime or interface recombination velocity down to a GaAs buffer thickness of 0.1 μm. In fact, record high minority carrier lifetimes exceeding 10 ns have been obtained for GaAs on Si with a 0.1 μm GaAs buffer. Secondary ion mass spectroscopy reveals that cross diffusion of Ga, As, and Ge at the GaAs/Ge interface formed on the graded GeSi buffers are below detection limits in the interface region, indicating that polarity control of the GaAs/Ge interface formed on GeSi/Si substrates can be achieved. (c) 2000 American Institute of Physics

  4. Stable and selective self-assembly of α-lipoic acid on Ge(001) for biomolecule immobilization

    Science.gov (United States)

    Kazmierczak, M.; Flesch, J.; Mitzloff, J.; Capellini, G.; Klesse, W. M.; Skibitzki, O.; You, C.; Bettenhausen, M.; Witzigmann, B.; Piehler, J.; Schroeder, T.; Guha, S.

    2018-05-01

    We demonstrate a novel method for the stable and selective surface functionalization of germanium (Ge) embedded in silicon dioxide. The Ge(001) surface is functionalized using α-lipoic acid (ALA), which can potentially be utilized for the immobilization of a wide range of biomolecules. We present a detailed pH-dependence study to establish the effect of the incubation pH value on the adsorption layer of the ALA molecules. A threshold pH value for functionalization is identified, dividing the examined pH range into two regions. Below a pH value of 7, the formation of a disordered ALA multilayer is observed, whereas a stable well-ordered ALA mono- to bi-layer on Ge(001) is achieved at higher pH values. Furthermore, we analyze the stability of the ALA layer under ambient conditions, revealing the most stable functionalized Ge(001) surface to effectively resist oxidation for up to one week. Our established functionalization method paves the way towards the successful immobilization of biomolecules in future Ge-based biosensors.

  5. Measure Guideline: Guidance on Taped Insulating Sheathing Drainage Planes

    Energy Technology Data Exchange (ETDEWEB)

    Grin, A. [Building Science Corporation, Somerville, MA (United States); Lstiburek, J. [Building Science Corporation, Somerville, MA (United States)

    2014-09-01

    The goal of this research is to provide durable and long-term water management solutions using exterior insulating sheathing as part of the water management system. It is possible to tape or seal the joints in insulating sheathing to create a drainage plane and even an air control layer. There exists the material durability component of the tape as well as the system durability component being the taped insulating sheathing as the drainage plane. This measure guideline provides best practice and product recommendations from the interviewed contractors and homebuilders who collectively have a vast amount of experience. Three significant issues were discussed with the group, which are required to make taped insulating sheathing a simple, long-term, and durable drainage plane: horizontal joints should be limited or eliminated wherever possible; where a horizontal joint exists use superior materials; and frequent installation inspection and regular trade training are required to maintain proper installation.

  6. Metal-Free CVD Graphene Synthesis on 200 mm Ge/Si(001) Substrates.

    Science.gov (United States)

    Lukosius, M; Dabrowski, J; Kitzmann, J; Fursenko, O; Akhtar, F; Lisker, M; Lippert, G; Schulze, S; Yamamoto, Y; Schubert, M A; Krause, H M; Wolff, A; Mai, A; Schroeder, T; Lupina, G

    2016-12-14

    Good quality, complementary-metal-oxide-semiconductor (CMOS) technology compatible, 200 mm graphene was obtained on Ge(001)/Si(001) wafers in this work. Chemical vapor depositions were carried out at the deposition temperatures of 885 °C using CH 4 as carbon source on epitaxial Ge(100) layers, which were grown on Si(100), prior to the graphene synthesis. Graphene layer with the 2D/G ratio ∼3 and low D mode (i.e., low concentration of defects) was measured over the entire 200 mm wafer by Raman spectroscopy. A typical full-width-at-half-maximum value of 39 cm -1 was extracted for the 2D mode, further indicating that graphene of good structural quality was produced. The study also revealed that the lack of interfacial oxide correlates with superior properties of graphene. In order to evaluate electrical properties of graphene, its 2 × 2 cm 2 pieces were transferred onto SiO 2 /Si substrates from Ge/Si wafers. The extracted sheet resistance and mobility values of transferred graphene layers were ∼1500 ± 100 Ω/sq and μ ≈ 400 ± 20 cm 2 /V s, respectively. The transferred graphene was free of metallic contaminations or mechanical damage. On the basis of results of DFT calculations, we attribute the high structural quality of graphene grown by CVD on Ge to hydrogen-induced reduction of nucleation probability, explain the appearance of graphene-induced facets on Ge(001) as a kinetic effect caused by surface step pinning at linear graphene nuclei, and clarify the orientation of graphene domains on Ge(001) as resulting from good lattice matching between Ge(001) and graphene nucleated on such nuclei.

  7. Aharonov–Bohm interference in topological insulator nanoribbons

    KAUST Repository

    Peng, Hailin

    2009-12-13

    Topological insulators represent unusual phases of quantum matter with an insulating bulk gap and gapless edges or surface states. The two-dimensional topological insulator phase was predicted in HgTe quantum wells and confirmed by transport measurements. Recently, Bi2 Se3 and related materials have been proposed as three-dimensional topological insulators with a single Dirac cone on the surface, protected by time-reversal symmetry. The topological surface states have been observed by angle-resolved photoemission spectroscopy experiments. However, few transport measurements in this context have been reported, presumably owing to the predominance of bulk carriers from crystal defects or thermal excitations. Here we show unambiguous transport evidence of topological surface states through periodic quantum interference effects in layered single-crystalline Bi2 Se3 nanoribbons, which have larger surface-to-volume ratios than bulk materials and can therefore manifest surface effects. Pronounced Aharonov-Bohm oscillations in the magnetoresistance clearly demonstrate the coherent propagation of two-dimensional electrons around the perimeter of the nanoribbon surface, as expected from the topological nature of the surface states. The dominance of the primary h/e oscillation, where h is Plancks constant and e is the electron charge, and its temperature dependence demonstrate the robustness of these states. Our results suggest that topological insulator nanoribbons afford promising materials for future spintronic devices at room temperature.

  8. Monolithically Integrated Ge-on-Si Active Photonics

    Directory of Open Access Journals (Sweden)

    Jifeng Liu

    2014-07-01

    Full Text Available Monolithically integrated, active photonic devices on Si are key components in Si-based large-scale electronic-photonic integration for future generations of high-performance, low-power computation and communication systems. Ge has become an interesting candidate for active photonic devices in Si photonics due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS processing. In this paper, we present a review of the recent progress in Ge-on-Si active photonics materials and devices for photon detection, modulation, and generation. We first discuss the band engineering of Ge using tensile strain, n-type doping, Sn alloying, and separate confinement of Γ vs. L electrons in quantum well (QW structures to transform the material towards a direct band gap semiconductor for enhancing optoelectronic properties. We then give a brief overview of epitaxial Ge-on-Si materials growth, followed by a summary of recent investigations towards low-temperature, direct growth of high crystallinity Ge and GeSn alloys on dielectric layers for 3D photonic integration. Finally, we review the most recent studies on waveguide-integrated Ge-on-Si photodetectors (PDs, electroabsorption modulators (EAMs, and laser diodes (LDs, and suggest possible future research directions for large-scale monolithic electronic-photonic integrated circuits on a Si platform.

  9. Investigations of rapid thermal annealing induced structural evolution of ZnO: Ge nanocomposite thin films via GISAXS

    Energy Technology Data Exchange (ETDEWEB)

    Ceylan, Abdullah, E-mail: aceylanabd@yahoo.com [Department of Physics Eng., Hacettepe University, Beytepe, 06800 Ankara (Turkey); Ozcan, Yusuf [Department of Electricity and Energy, Pamukkale University, Denizli (Turkey); Orujalipoor, Ilghar [Department of Nanotechnology and Nanomedicine, Hacettepe University, Beytepe, 06800 Ankara (Turkey); Huang, Yen-Chih; Jeng, U-Ser [National Synchrotron Radiation Research Center, 101 Hsin-Ann Road, Hsinchu Science Park, Hsinchu, Taiwan (China); Ide, Semra [Department of Physics Eng., Hacettepe University, Beytepe, 06800 Ankara (Turkey); Department of Nanotechnology and Nanomedicine, Hacettepe University, Beytepe, 06800 Ankara (Turkey)

    2016-06-07

    In this work, we present in depth structural investigations of nanocomposite ZnO: Ge thin films by utilizing a state of the art grazing incidence small angle x-ray spectroscopy (GISAXS) technique. The samples have been deposited by sequential r.f. and d.c. sputtering of ZnO and Ge thin film layers, respectively, on single crystal Si(100) substrates. Transformation of Ge layers into Ge nanoparticles (Ge-np) has been initiated by ex-situ rapid thermal annealing of asprepared thin film samples at 600 °C for 30, 60, and 90 s under forming gas atmosphere. A special attention has been paid on the effects of reactive and nonreactive growth of ZnO layers on the structural evolution of Ge-np. GISAXS analyses have been performed via cylindrical and spherical form factor calculations for different nanostructure types. Variations of the size, shape, and distributions of both ZnO and Ge nanostructures have been determined. It has been realized that GISAXS results are not only remarkably consistent with the electron microscopy observations but also provide additional information on the large scale size and shape distribution of the nanostructured components.

  10. Processing and performance of organic insulators as a gate layer in ...

    Indian Academy of Sciences (India)

    Abstract. Fabrication of organic thin film transistor (OTFT) on flexible substrates is a challenge, because of its low softening temperature, high roughness and flexible nature. Although several organic dielectrics have been used as gate insulator, it is difficult to choose one in absence of a comparative study covering ...

  11. Formation of the low-resistivity compound Cu_3Ge by low-temperature treatment in an atomic hydrogen flux

    International Nuclear Information System (INIS)

    Erofeev, E. V.; Kazimirov, A. I.; Fedin, I. V.; Kagadei, V. A.

    2016-01-01

    The systematic features of the formation of the low-resistivity compound Cu_3Ge by low-temperature treatment of a Cu/Ge two-layer system in an atomic hydrogen flux are studied. The Cu/Ge two-layer system is deposited onto an i-GaAs substrate. Treatment of the Cu/Ge/i-GaAs system, in which the layer thicknesses are, correspondingly, 122 and 78 nm, in atomic hydrogen with a flux density of 10"1"5 at cm"2 s"–"1 for 2.5–10 min at room temperature induces the interdiffusion of Cu and Ge, with the formation of a polycrystalline film containing the stoichiometric Cu_3Ge phase. The film consists of vertically oriented grains 100–150 nm in size and exhibits a minimum resistivity of 4.5 µΩ cm. Variations in the time of treatment of the Cu/Ge/i-GaAs samples in atomic hydrogen affect the Cu and Ge depth distribution, the phase composition of the films, and their resistivity. Experimental observation of the synthesis of the Cu_3Ge compound at room temperature suggests that treatment in atomic hydrogen has a stimulating effect on both the diffusion of Cu and Ge and the chemical reaction of Cu_3Ge-compound formation. These processes can be activated by the energy released upon the recombination of hydrogen atoms adsorbed at the surface of the Cu/Ge/i-GaAs sample.

  12. Impact of post deposition annealing in the electrically active traps at the interface between Ge(001) substrates and LaGeO{sub x} films grown by molecular beam deposition

    Energy Technology Data Exchange (ETDEWEB)

    Molle, Alessandro [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Baldovino, Silvia; Fanciulli, Marco [Laboratorio MDM, CNR-IMM, via C. Olivetti 2, Agrate Brianza (MB) I-20864 (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano Bicocca, Milano (Italy); Tsoutsou, Dimitra; Golias, Evangelos; Dimoulas, Athanasios [MBE Laboratory, Institute of Materials Science, NCSR DEMOKRITOS, Athens 153 10 (Greece)

    2011-10-15

    Changes in the electron trapping at the interface between Ge substrates and LaGeO{sub x} films grown by atomic O assisted molecular beam deposition are inferred upon post deposition annealing treatment on the as-deposited films from electrically detected magnetic resonance (EDMR) spectroscopy and from the electrical response of Pt/LaGeO{sub x}/Ge metal oxide semiconductor (MOS) capacitors. The improved electrical performance of the MOS capacitors upon annealing is consistent with the EDMR detected reduction of oxide defects which are associated with GeO species in the LaGeO{sub x} layer as evidenced by x-ray photoelectron spectroscopy.

  13. Humidity effects on wire insulation breakdown strength.

    Energy Technology Data Exchange (ETDEWEB)

    Appelhans, Leah

    2013-08-01

    Methods for the testing of the dielectric breakdown strength of insulation on metal wires under variable humidity conditions were developed. Two methods, an ASTM method and the twisted pair method, were compared to determine if the twisted pair method could be used for determination of breakdown strength under variable humidity conditions. It was concluded that, although there were small differences in outcomes between the two testing methods, the non-standard method (twisted pair) would be appropriate to use for further testing of the effects of humidity on breakdown performance. The dielectric breakdown strength of 34G copper wire insulated with double layer Poly-Thermaleze/Polyamide-imide insulation was measured using the twisted pair method under a variety of relative humidity (RH) conditions and exposure times. Humidity at 50% RH and below was not found to affect the dielectric breakdown strength. At 80% RH the dielectric breakdown strength was significantly diminished. No effect for exposure time up to 140 hours was observed at 50 or 80%RH.

  14. Epitaxial Fe3Si/Ge/Fe3Si thin film multilayers grown on GaAs(001)

    International Nuclear Information System (INIS)

    Jenichen, B.; Herfort, J.; Jahn, U.; Trampert, A.; Riechert, H.

    2014-01-01

    We demonstrate Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures grown by molecular-beam epitaxy and characterized by transmission electron microscopy, electron backscattered diffraction, and X-ray diffraction. The bottom Fe 3 Si epitaxial film on GaAs is always single crystalline. The structural properties of the Ge film and the top Fe 3 Si layer depend on the substrate temperature during Ge deposition. Different orientation distributions of the grains in the Ge and the upper Fe 3 Si film were found. The low substrate temperature T s of 150 °C during Ge deposition ensures sharp interfaces, however, results in predominantly amorphous films. We find that the intermediate T s (225 °C) leads to a largely [111] oriented upper Fe 3 Si layer and polycrystal films. The high T s of 325 °C stabilizes the [001] oriented epitaxial layer structure, i.e., delivers smooth interfaces and single crystal films over as much as 80% of the surface area. - Highlights: • Fe 3 Si/Ge/Fe 3 Si/GaAs(001) structures are grown by MBE. • The bottom Fe 3 Si film is always single crystalline. • The properties of the Ge film depend on the substrate temperature during deposition. • Optimum growth conditions lead to almost perfect epitaxy of Ge on Fe 3 Si

  15. Simultaneous in-bore rail and insulator spectra from a railgun plasma armature

    International Nuclear Information System (INIS)

    Keefer, D.; Sedghinasah, A.; Crawford, R.

    1991-01-01

    This paper reports on absolute spectral radiance measurements obtained simultaneously at the rail and insulator surface of the UTSI 1 cm square-bore railgun. The emission spectra were obtained through the use of quartz optical fibers which penetrated both the rail and the insulator walls. The spectral characteristics of the rail and insulator emission are quite similar but differ significantly in magnitude. A detailed plasma radiation model was used to analyze these spectra. In order to obtain reasonable agreement between the model predictions and the experimental spectra, it was necessary to assume that these existed a broadband absorbing layer at the insulator surface. This result suggests a new physical model of the plasma armature in which insulator ablation leads to significant 3-dimensional flow and affects the shape of the current emission pattern on the rail surfaces

  16. 151Eu nuclear resonant inelastic scattering of Eu3Pd20Ge6

    International Nuclear Information System (INIS)

    Tsutsui, S.; Yoda, Y.; Kobayashi, Y.; Higashitaniguchi, S.; Seto, M.; Kitagawa, J.; Takabatake, T.

    2010-01-01

    151 Eu nuclear resonant inelastic scattering of Eu 3 Pd 20 Ge 6 . The Eu partial phonon density of states (DOS) in Eu 3 Pd 20 Ge 6 are investigated using 151 Eu nuclear resonance inelastic scattering (NRIS). 151 Eu NRIS was carried out at BL09XU of SPring-8. Significant temperature dependence was found in the partial phonon DOS. Judging from the valence change at the Eu 4a site and the comparison with the ab initio calculation of Eu 3 Pd 20 Ge 6 , the change of the Eu DOS is caused by the electronic states. On the other hand, significant acoustic contribution was found even at the guest site of the Eu ones. In addition, slower average velocity than transverse sound velocity was obtained by Eu partial phonon DOS. Considering that heat is carried by the acoustic phonon in materials, the present results demonstrate that the moderate thermal insulation in Eu 3 Pd 20 Ge 6 is connected with the observation of slow average sound velocity at the Eu sites. (K.F.)

  17. A high performance Ge/Si0.5Ge0.5/Si heterojunction dual sources tunneling transistor with a U-shaped channel

    Science.gov (United States)

    Li, Wei; Liu, Hongxia; Wang, Shulong; Wang, Qianqiong; Chen, Shupeng

    2017-06-01

    In this paper, a new Ge/Si0.5Ge0.5/Si heterojunction dual sources tunneling transistor with a U-shaped channel (Ge_DUTFET) is proposed and investigated by Silvaco-Atlas simulation. The line tunneling perpendicular to channel and point tunneling parallel to channel simultaneously occur on both sides of the gate. The Ge is chosen as the source region material to increase the line tunneling current. The designed heterojunction between the Ge source and Si channel decreases the point tunneling barrier width to enhance the point tunneling current. And this heterojunction can also promote the Ge_DUTFET to occur point tunneling at the small gate voltage, which makes it obtain the smaller turn-on voltage. Furthermore, the Si0.5Ge0.5 buffer layer is also helpful for the enhancement of performance. The simulation results reveal that Ge_DUTFET has the better performance compared with the Si_DUTFET. The on-state current and average subthreshold swing of Ge_DUTFET are 1.11 × 10-5A/μm and 35.1mV/dec respectively. The max cut-off frequency (fT) and gain bandwidth product (GBW) are 26.6 GHz and 16.6 GHz respectively. The fT and GBW of the Ge_DUTFET are respectively increased by ∼27.4% and ∼84.3% compared with the Si_DUTFET.

  18. Laser annealed in-situ P-doped Ge for on-chip laser source applications (Conference Presentation)

    Science.gov (United States)

    Srinivasan, Ashwyn; Pantouvaki, Marianna; Shimura, Yosuke; Porret, Clement; Van Deun, Rik; Loo, Roger; Van Thourhout, Dries; Van Campenhout, Joris

    2016-05-01

    Realization of a monolithically integrated on-chip laser source remains the holy-grail of Silicon Photonics. Germanium (Ge) is a promising semiconductor for lasing applications when highly doped with Phosphorous (P) and or alloyed with Sn [1, 2]. P doping makes Ge a pseudo-direct band gap material and the emitted wavelengths are compatible with fiber-optic communication applications. However, in-situ P doping with Ge2H6 precursor allows a maximum active P concentration of 6×1019 cm-3 [3]. Even with such active P levels, n++ Ge is still an indirect band gap material and could result in very high threshold current densities. In this work, we demonstrate P-doped Ge layers with active n-type doping beyond 1020 cm-3, grown using Ge2H6 and PH3 and subsequently laser annealed, targeting power-efficient on-chip laser sources. The use of Ge2H6 precursors during the growth of P-doped Ge increases the active P concentration level to a record fully activated concentration of 1.3×1020 cm-3 when laser annealed with a fluence of 1.2 J/cm2. The material stack consisted of 200 nm thick P-doped Ge grown on an annealed 1 µm Ge buffer on Si. Ge:P epitaxy was performed with PH3 and Ge2H6 at 320oC. Low temperature growth enable Ge:P epitaxy far from thermodynamic equilibrium, resulting in an enhanced incorporation of P atoms [3]. At such high active P concentration, the n++ Ge layer is expected to be a pseudo-direct band gap material. The photoluminescence (PL) intensities for layers with highest active P concentration show an enhancement of 18× when compared to undoped Ge grown on Si as shown in Fig. 1 and Fig. 2. The layers were optically pumped with a 640 nm laser and an incident intensity of 410 mW/cm2. The PL was measured with a NIR spectrometer with a Hamamatsu R5509-72 NIR photomultiplier tube detector whose detectivity drops at 1620 nm. Due to high active P concentration, we expect band gap narrowing phenomena to push the PL peak to wavelengths beyond the detection limit

  19. Kirkendall void formation in reverse step graded Si1-xGex/Ge/Si(001) virtual substrates

    Science.gov (United States)

    Sivadasan, Vineet; Rhead, Stephen; Leadley, David; Myronov, Maksym

    2018-02-01

    Formation of Kirkendall voids is demonstrated in the Ge underlayer of reverse step graded Si1-xGex/Ge buffer layers grown on Si(001) using reduced pressure chemical vapour deposition (RP-CVD). This phenomenon is seen when the constant composition Si1-xGex layer is grown at high temperatures and for x ≤ 0.7. The density and size of the spherical voids can be tuned by changing Ge content in the Si1-xGex and other growth parameters.

  20. Processing and performance of organic insulators as a gate layer in ...

    Indian Academy of Sciences (India)

    Fabrication of organic thin film transistor (OTFT) on flexible substrates is a challenge, because of its low softening temperature, high roughness and flexible nature. Although several organic dielectrics have been used as gate insulator, it is difficult to choose one in absence of a comparative study covering processing of ...