WorldWideScience

Sample records for insulating films deposited

  1. Electrically insulating films deposited on V-4%Cr-4%Ti by reactive CVD

    International Nuclear Information System (INIS)

    Park, J.H.

    1998-04-01

    In the design of liquid-metal blankets for magnetic fusion reactors, corrosion resistance of structural materials and the magnetohydrodynamic forces and their influence on thermal hydraulics and corrosion are major concerns. Electrically insulating CaO films deposited on V-4%Cr-4%Ti exhibit high-ohmic insulator behavior even though a small amount of vanadium from the alloy become incorporated into the film. However, when vanadium concentration in the film is > 15 wt.%, the film becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. The objective of this study is to evaluate electrically insulating films that were deposited on V-4%Cr-4%Ti by a reactive chemical vapor deposition (CVD) method. To this end, CaO and Ca-V-O coatings were produced on vanadium alloys by CVD and by a metallic-vapor process to investigate the electrical resistance of the coatings. The authors found that the Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film > 0.9, and semiconductor or conductor behavior when R 0.98 were exposed in liquid lithium. Based on these studies, they conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating

  2. Enhanced superconductivity and superconductor to insulator transition in nano-crystalline molybdenum thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, Shilpam; Amaladass, E.P. [Condensed Matter Physics Division, Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Sharma, Neha [Surface & Nanoscience Division, Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Harimohan, V. [Condensed Matter Physics Division, Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Amirthapandian, S. [Materials Physics Division, Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India); Mani, Awadhesh, E-mail: mani@igcar.gov.in [Condensed Matter Physics Division, Materials Science Group, Indira Gandhi Centre for Atomic Research, Kalpakkam 603102 (India)

    2017-06-01

    Disorder driven superconductor to insulator transition via intermediate metallic regime is reported in nano-crystalline thin films of molybdenum. The nano-structured thin films have been deposited at room temperature using DC magnetron sputtering at different argon pressures. The grain size has been tuned using deposition pressure as the sole control parameter. A variation of particle sizes, room temperature resistivity and superconducting transition has been studied as a function of deposition pressure. The nano-crystalline molybdenum thin films are found to have large carrier concentration but very low mobility and electronic mean free path. Hall and conductivity measurements have been used to understand the effect of disorder on the carrier density and mobilities. Ioffe-Regel parameter is shown to correlate with the continuous metal-insulator transition in our samples. - Highlights: • Thin films of molybdenum using DC sputtering have been deposited on glass. • Argon background pressure during sputtering was used to tune the crystallite sizes of films. • Correlation in deposition pressure, disorder and particle sizes has been observed. • Disorder tuned superconductor to insulator transition along with an intermediate metallic phase has been observed. • Enhancement of superconducting transition temperature and a dome shaped T{sub C} vs. deposition pressure phase diagram has been observed.

  3. Metal-insulator transition induced in CaVO3 thin films

    International Nuclear Information System (INIS)

    Gu Man; Laverock, Jude; Chen, Bo; Smith, Kevin E.; Wolf, Stuart A.; Lu Jiwei

    2013-01-01

    Stoichiometric CaVO 3 (CVO) thin films of various thicknesses were grown on single crystal SrTiO 3 (STO) (001) substrates using a pulsed electron-beam deposition technique. The CVO films were capped with a 2.5 nm STO layer. We observed a temperature driven metal-insulator transition (MIT) in CVO films with thicknesses below 4 nm that was not observed in either thick CVO films or STO films. The emergence of this MIT can be attributed to the reduction in effective bandwidth due to a crossover from a three-dimensional metal to a two-dimensional insulator. The insulating phase was only induced with a drive current below 0.1 μA. X-ray absorption measurements indicated different electronic structures for thick and very thin films of CVO. Compared with the thick film (∼60 nm), thin films of CVO (2–4 nm) were more two-dimensional with the V charge state closer to V 4+ .

  4. Voltage-Controlled Spray Deposition of Multiwalled Carbon Nanotubes on Semiconducting and Insulating Substrates

    Science.gov (United States)

    Maulik, Subhodip; Sarkar, Anirban; Basu, Srismrita; Daniels-Race, Theda

    2018-05-01

    A facile, cost-effective, voltage-controlled, "single-step" method for spray deposition of surfactant-assisted dispersed carbon nanotube (CNT) thin films on semiconducting and insulating substrates has been developed. The fabrication strategy enables direct deposition and adhesion of CNT films on target samples, eliminating the need for substrate surface functionalization with organosilane binder agents or metal layer coatings. Spray coating experiments on four types of sample [bare silicon (Si), microscopy-grade glass samples, silicon dioxide (SiO2), and polymethyl methacrylate (PMMA)] under optimized control parameters produced films with thickness ranging from 40 nm to 6 μm with substantial surface coverage and packing density. These unique deposition results on both semiconducting and insulator target samples suggest potential applications of this technique in CNT thin-film transistors with different gate dielectrics, bendable electronics, and novel CNT-based sensing devices, and bodes well for further investigation into thin-film coatings of various inorganic, organic, and hybrid nanomaterials on different types of substrate.

  5. Metal-insulator transition induced in CaVO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gu Man [Department of Physics, University of Virginia, 382 McCormick Rd., Charlottesville, Virginia 22904 (United States); Laverock, Jude; Chen, Bo; Smith, Kevin E. [Department of Physics, Boston University, 590 Commonwealth Avenue, Boston, Massachusetts 02215 (United States); Wolf, Stuart A. [Department of Physics, University of Virginia, 382 McCormick Rd., Charlottesville, Virginia 22904 (United States); Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., Charlottesville, Virginia 22904 (United States); Lu Jiwei [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., Charlottesville, Virginia 22904 (United States)

    2013-04-07

    Stoichiometric CaVO{sub 3} (CVO) thin films of various thicknesses were grown on single crystal SrTiO{sub 3} (STO) (001) substrates using a pulsed electron-beam deposition technique. The CVO films were capped with a 2.5 nm STO layer. We observed a temperature driven metal-insulator transition (MIT) in CVO films with thicknesses below 4 nm that was not observed in either thick CVO films or STO films. The emergence of this MIT can be attributed to the reduction in effective bandwidth due to a crossover from a three-dimensional metal to a two-dimensional insulator. The insulating phase was only induced with a drive current below 0.1 {mu}A. X-ray absorption measurements indicated different electronic structures for thick and very thin films of CVO. Compared with the thick film ({approx}60 nm), thin films of CVO (2-4 nm) were more two-dimensional with the V charge state closer to V{sup 4+}.

  6. Ion beam sputter deposited TiAlN films for metal-insulator-metal (Ba,Sr)TiO3 capacitor application

    International Nuclear Information System (INIS)

    Lee, S.-Y.; Wang, S.-C.; Chen, J.-S.; Huang, J.-L.

    2008-01-01

    The present study evaluated the feasibility of TiAlN films deposited using the ion beam sputter deposition (IBSD) method for metal-insulator-metal (MIM) (Ba,Sr)TiO 3 (BST) capacitors. The BST films were crystallized at temperatures above 650 deg. C. TiAlN films deposited using the IBSD method were found having smooth surface and low electrical resistivity at high temperature conditions. TiAlN films showed a good diffusion barrier property against BST components. The J-E (current density-electric field) characteristics of Al/BST/TiAlN capacitors were good, with a high break down electric field of ± 2.5 MV/cm and a leakage current density of about 1 x 10 -5 A/cm 2 at an applied field of ± 0.5 MV/cm. Thermal stress and lateral oxidation that occurred at the interface damaged the capacitor stacking structure. Macro holes that dispersed on the films resulted in higher leakage current and inconsistent J-E characteristics. Vacuum annealing with lower heating rate and furnace cooling, and a Ti-Al adhesion layer between TiAlN and the SiO 2 /Si substrate can effectively minimize the stress effect. TiAlN film deposited using IBSD can be considered as a potential electrode and diffusion barrier material for MIM BST capacitors

  7. High-Temperature Electrical Insulation Behavior of Alumina Films Prepared at Room Temperature by Aerosol Deposition and Influence of Annealing Process and Powder Impurities

    Science.gov (United States)

    Schubert, Michael; Leupold, Nico; Exner, Jörg; Kita, Jaroslaw; Moos, Ralf

    2018-04-01

    Alumina (Al2O3) is a widely used material for highly insulating films due to its very low electrical conductivity, even at high temperatures. Typically, alumina films have to be sintered far above 1200 °C, which precludes the coating of lower melting substrates. The aerosol deposition method (ADM), however, is a promising method to manufacture ceramic films at room temperature directly from the ceramic raw powder. In this work, alumina films were deposited by ADM on a three-electrode setup with guard ring and the electrical conductivity was measured between 400 and 900 °C by direct current measurements according to ASTM D257 or IEC 60093. The effects of film annealing and of zirconia impurities in the powder on the electrical conductivity were investigated. The conductivity values of the ADM films correlate well with literature data and can even be improved by annealing at 900 °C from 4.5 × 10-12 S/cm before annealing up to 5.6 × 10-13 S/cm after annealing (measured at 400 °C). The influence of zirconia impurities is very low as the conductivity is only slightly elevated. The ADM-processed films show a very good insulation behavior represented by an even lower electrical conductivity than conventional alumina substrates as they are commercially available for thick-film technology.

  8. Ion beam sputter deposited TiAlN films for metal-insulator-metal (Ba,Sr)TiO{sub 3} capacitor application

    Energy Technology Data Exchange (ETDEWEB)

    Lee, S.-Y. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, Ta-Hsueh Road, Tainan, Taiwan (China); Wang, S.-C. [Department of Mechanical Engineering, Southern Taiwan University of Technology, No. 1, Nantai St, Yung-Kang City, Tainan, Taiwan (China); Chen, J.-S. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, Ta-Hsueh Road, Tainan, Taiwan (China); Huang, J.-L. [Department of Materials Science and Engineering, National Cheng Kung University, No. 1, Ta-Hsueh Road, Tainan, Taiwan (China)], E-mail: jlh888@mail.ncku.edu.tw

    2008-09-01

    The present study evaluated the feasibility of TiAlN films deposited using the ion beam sputter deposition (IBSD) method for metal-insulator-metal (MIM) (Ba,Sr)TiO{sub 3} (BST) capacitors. The BST films were crystallized at temperatures above 650 deg. C. TiAlN films deposited using the IBSD method were found having smooth surface and low electrical resistivity at high temperature conditions. TiAlN films showed a good diffusion barrier property against BST components. The J-E (current density-electric field) characteristics of Al/BST/TiAlN capacitors were good, with a high break down electric field of {+-} 2.5 MV/cm and a leakage current density of about 1 x 10{sup -5} A/cm{sup 2} at an applied field of {+-} 0.5 MV/cm. Thermal stress and lateral oxidation that occurred at the interface damaged the capacitor stacking structure. Macro holes that dispersed on the films resulted in higher leakage current and inconsistent J-E characteristics. Vacuum annealing with lower heating rate and furnace cooling, and a Ti-Al adhesion layer between TiAlN and the SiO{sub 2}/Si substrate can effectively minimize the stress effect. TiAlN film deposited using IBSD can be considered as a potential electrode and diffusion barrier material for MIM BST capacitors.

  9. Deposition of SiC x H y O z thin film on epoxy resin by nanosecond pulsed APPJ for improving the surface insulating performance

    Science.gov (United States)

    Qing, XIE; Haofan, LIN; Shuai, ZHANG; Ruixue, WANG; Fei, KONG; Tao, SHAO

    2018-02-01

    Non-thermal plasma surface modification for epoxy resin (EP) to improve the insulation properties has wide application prospects in gas insulated switchgear and gas insulated transmission line. In this paper, a pulsed Ar dual dielectrics atmospheric-pressure plasma jet (APPJ) was used for SiC x H y O z thin film deposition on EP samples. The film deposition was optimized by varying the treatment time while other parameters were kept at constants (treatment distance: 10 mm, precursor flow rate: 0.6 l min-1, maximum instantaneous power: 3.08 kW and single pulse energy: 0.18 mJ). It was found that the maximum value of flashover voltages for negative and positive voltage were improved by 18% and 13% when the deposition time was 3 min, respectively. The flashover voltage reduced as treatment time increased. Moreover, all the surface conductivity, surface charge dissipation rate and surface trap level distribution reached an optimal value when thin film deposition time was 3 min. Other measurements, such as atomic force microscopy and scanning electron microscope for EP surface morphology, Fourier transform infrared spectroscopy and x-ray photoelectron spectroscopy for EP surface compositions, optical emission spectra for APPJ deposition process were carried out to better understand the deposition processes and mechanisms. The results indicated that the original organic groups (C-H, C-C, C=O, C=C) were gradually replaced by the Si containing inorganic groups (Si-O-Si and Si-OH). The reduction of C=O in ester group and C=C in p-substituted benzene of the EP samples might be responsible for shallowing the trap level and then enhancing the flashover voltage. However, when the plasma treatment time was longer than 3 min, the significant increase of the surface roughness might increase the trap level depth and then deteriorate the flashover performance.

  10. Quantum and classical contributions to linear magnetoresistance in topological insulator thin films

    International Nuclear Information System (INIS)

    Singh, Sourabh; Gopal, R. K.; Sarkar, Jit; Mitra, Chiranjib

    2016-01-01

    Three dimensional topological insulators possess backscattering immune relativistic Dirac fermions on their surface due to nontrivial topology of the bulk band structure. Both metallic and bulk insulating topological insulators exhibit weak-antilocalization in the low magnetic field and linear like magnetoresistance in higher fields. We explore the linear magnetoresistance in bulk insulating topological insulator Bi 2-x Sb x Te 3-y Se y thin films grown by pulsed laser deposition technique. Thin films of Bi 2-x Sb x Te 3-y Se y were found to be insulating in nature, which conclusively establishes the origin of linear magnetoresistance from surface Dirac states. The films were thoroughly characterized for their crystallinity and composition and then subjected to transport measurements. We present a careful analysis taking into considerations all the existing models of linear magnetoresistance. We comprehend that the competition between classical and quantum contributions to magnetoresistance results in linear magnetoresistance in high fields. We observe that the cross-over field decreases with increasing temperature and the physical argument for this behavior is explained.

  11. Deposition of metal chalcogenide thin films by successive ionic layer

    Indian Academy of Sciences (India)

    ) method, has emerged as one of the solution methods to deposit a variety of compound materials in thin film form. The SILAR method is inexpensive, simple and convenient for large area deposition. A variety of substrates such as insulators, ...

  12. Magnetic field induced superconductor-insulator transitions for ultra-thin Bi films on the different underlayers

    International Nuclear Information System (INIS)

    Makise, K; Kawaguti, T; Shinozaki, B

    2009-01-01

    This work shows the experimental results of the superconductor-insulator (S-I) transition for ultra-thin Bi films in magnetic fields. The quench-condensed (q-c) Bi film onto insulating underlayers have been interpreted to be homogeneous. In contrast, the Bi film without underlayers has been regarded as a granular film. The electrical transport properties of ultra-thin metal films near the S-I transition depend on the structure of the film. In order to confirm the effect of the underlayer to the homogeneity of the superconducting films, we investigate the characteristics of S-I transitions of q-c nominally homogeneous Bi films on underlayers of two insulating materials, SiO, and Sb. Under almost the same deposition condition except for the material of underlayer, we prepared the Bi films by repeating the additional deposition and performed in-situ electrical measurement. It is found that the transport properties near the S-I transitions show the remarkable difference between two films on different underlayers. As for Bi films on SiO, it turned out that the temperature dependence of resistance per square R sq (T) of the field-tuned transition and the thickness-tuned transition shows similar behavior; it was a thermally activated form. On the other hand, the R sq (T) of Bi films on Sb for thickness-tuned S-I transition showed logarithmic temperature dependence, but that for field-tuned S-I transition showed a thermally activated form.

  13. Phase coexistence in the metal-insulator transition of a VO2 thin film

    International Nuclear Information System (INIS)

    Chang, Y.J.; Koo, C.H.; Yang, J.S.; Kim, Y.S.; Kim, D.H.; Lee, J.S.; Noh, T.W.; Kim, Hyun-Tak; Chae, B.G.

    2005-01-01

    Vanadium dioxide (VO 2 ) shows a metal-insulator transition (MIT) near room temperature, accompanied by an abrupt resistivity change. Since the MIT of VO 2 is known to be a first order phase transition, it is valuable to check metallic and insulating phase segregation during the MIT process. We deposited (100)-oriented epitaxial VO 2 thin films on R-cut sapphire substrates. From the scanning tunneling spectroscopy (STS) spectra, we could distinguish metallic and insulating regions by probing the band gap. Optical spectroscopic analysis also supported the view that the MIT in VO 2 occurs through metal and insulator phase coexistence

  14. Low-Temperature Fabrication of Robust, Transparent, and Flexible Thin-Film Transistors with a Nanolaminated Insulator.

    Science.gov (United States)

    Kwon, Jeong Hyun; Park, Junhong; Lee, Myung Keun; Park, Jeong Woo; Jeon, Yongmin; Shin, Jeong Bin; Nam, Minwoo; Kim, Choong-Ki; Choi, Yang-Kyu; Choi, Kyung Cheol

    2018-05-09

    The lack of reliable, transparent, and flexible electrodes and insulators for applications in thin-film transistors (TFTs) makes it difficult to commercialize transparent, flexible TFTs (TF-TFTs). More specifically, conventional high process temperatures and the brittleness of these elements have been hurdles in developing flexible substrates vulnerable to heat. Here, we propose electrode and insulator fabrication techniques considering process temperature, transmittance, flexibility, and environmental stability. A transparent and flexible indium tin oxide (ITO)/Ag/ITO (IAI) electrode and an Al 2 O 3 /MgO (AM)-laminated insulator were optimized at the low temperature of 70 °C for the fabrication of TF-TFTs on a polyethylene terephthalate (PET) substrate. The optimized IAI electrode with a sheet resistance of 7 Ω/sq exhibited the luminous transmittance of 85.17% and maintained its electrical conductivity after exposure to damp heat conditions because of an environmentally stable ITO capping layer. In addition, the electrical conductivity of IAI was maintained after 10 000 bending cycles with a tensile strain of 3% because of the ductile Ag film. In the metal/insulator/metal structure, the insulating and mechanical properties of the optimized AM-laminated film deposited at 70 °C were significantly improved because of the highly dense nanolaminate system, compared to those of the Al 2 O 3 film deposited at 70 °C. In addition, the amorphous indium-gallium-zinc oxide (a-IGZO) was used as the active channel for TF-TFTs because of its excellent chemical stability. In the environmental stability test, the ITO, a-IGZO, and AM-laminated films showed the excellent environmental stability. Therefore, our IGZO-based TFT with IAI electrodes and the 70 °C AM-laminated insulator was fabricated to evaluate robustness, transparency, flexibility, and process temperature, resulting in transfer characteristics comparable to those of an IGZO-based TFT with a 150 °C Al 2 O 3

  15. Evaluating electrically insulating films deposited on V-4% Cr-4% Ti by reactive CVD

    Energy Technology Data Exchange (ETDEWEB)

    Park, J.H.; Cho, W.D. [Argonne National Lab., IL (United States)

    1997-04-01

    Previous CaO coatings on V-4%Cr-4%Ti exhibited high-ohmic insulator behavior even though a small amount of vanadium from the alloy was incorporated in the coating. However, when the vanadium concentration in the coatings is > 15 wt%, the coating becomes conductive. When the vanadium concentration is high in localized areas, a calcium vanadate phase that exhibits semiconductor behavior can form. To explore this situation, CaO and Ca-V-O coatings were produced on vanadium alloys by chemical vapor deposition (CVD) and by a metallic-vapor process to investigate the electrical resistance of the coatings. Initially, the vanadium alloy specimens were either charged with oxygen in argon that contained trace levels of oxygen, or oxidized for 1.5-3 h in a 1% CO-CO{sub 2} gas mixture or in air to form vanadium oxide at 625-650{degrees}C. Most of the specimens were exposed to calcium vapor at 800-850{degrees}C. Initial and final weights were obtained to monitor each step, and surveillance samples were removed for examination by optical and scanning electron microscopy and electron-energy-dispersive and X-ray diffraction analysis; the electrical resistivity was also measured. The authors found that Ca-V-O films exhibited insulator behavior when the ratio of calcium concentration to vanadium concentration R in the film was > 0.9, and semiconductor or conductor behavior for R < 0.8. However, in some cases, semiconductor behavior was observed when CaO-coated samples with R > 0.98 were exposed in liquid lithium. Based on these studies, the authors conclude that semiconductor behavior occurs if a conductive calcium vanadate phase is present in localized regions in the CaO coating.

  16. Simulation of Contamination Deposition on Typical Shed Porcelain Insulators

    Directory of Open Access Journals (Sweden)

    Yukun Lv

    2017-07-01

    Full Text Available The contamination deposition characteristics of insulators can be used in the development of antifouling work. Using COMSOL software, numerical simulations on the pollution-deposited performance of a porcelain three-umbrella insulator and porcelain bell jar insulator in a wind tunnel were conducted, and the simulated results were compared with the tested results. The comparison shows that the deposit amount is consistent with the order of magnitude and presents a similar tendency with Direct Current (DC voltage variation; then the rationality of the simulation is verified. Based on these results, simulations of the natural contamination deposition on porcelain insulators and the distribution of pollution along the umbrella skirt were performed. The results indicates that, under a same wind speed, contamination of the porcelain three-umbrella insulator and porcelain bell jar insulator under DC voltage was positively correlated with the particle size. With the same particle size, the proportion of the deposit amount under DC voltage (NSDDDC to the deposit amount under AC voltage (NSDDAC of both insulators decreases with the increase in wind speed. However, the ratio increases as particle size increase. At a small wind speed, the deposit amount along the umbrella skirt of the two insulators displays a U-shaped distribution under DC voltage while there is little difference in the contamination amount of each skirt under Alternating Current (AC voltage.

  17. Linear magnetoresistance and surface to bulk coupling in topological insulator thin films.

    Science.gov (United States)

    Singh, Sourabh; Gopal, R K; Sarkar, Jit; Pandey, Atul; Patel, Bhavesh G; Mitra, Chiranjib

    2017-12-20

    We explore the temperature dependent magnetoresistance of bulk insulating topological insulator thin films. Thin films of Bi 2 Se 2 Te and BiSbTeSe 1.6 were grown using the pulsed laser deposition technique and subjected to transport measurements. Magnetotransport measurements indicate a non-saturating linear magnetoresistance (LMR) behavior at high magnetic field values. We present a careful analysis to explain the origin of LMR taking into consideration all the existing models of LMR. Here we consider that the bulk insulating states and the metallic surface states constitute two parallel conduction channels. Invoking this, we were able to explain linear magnetoresistance behavior as a competition between these parallel channels. We observe that the cross-over field, where LMR sets in, decreases with increasing temperature. We propose that this cross-over field can be used phenomenologically to estimate the strength of surface to bulk coupling.

  18. Stability of low-carrier-density topological-insulator Bi2Se3 thin films and effect of capping layers

    International Nuclear Information System (INIS)

    Salehi, Maryam; Brahlek, Matthew; Koirala, Nikesh; Moon, Jisoo; Oh, Seongshik; Wu, Liang; Armitage, N. P.

    2015-01-01

    Although over the past number of years there have been many advances in the materials aspects of topological insulators (TIs), one of the ongoing challenges with these materials is the protection of them against aging. In particular, the recent development of low-carrier-density bulk-insulating Bi 2 Se 3 thin films and their sensitivity to air demands reliable capping layers to stabilize their electronic properties. Here, we study the stability of the low-carrier-density Bi 2 Se 3 thin films in air with and without various capping layers using DC and THz probes. Without any capping layers, the carrier density increases by ∼150% over a week and by ∼280% over 9 months. In situ-deposited Se and ex situ-deposited poly(methyl methacrylate) suppress the aging effect to ∼27% and ∼88%, respectively, over 9 months. The combination of effective capping layers and low-carrier-density TI films will open up new opportunities in topological insulators

  19. Pentacene based thin film transistors with high-k dielectric Nd2O3 as a gate insulator

    International Nuclear Information System (INIS)

    Sarma, R.; Saikia, D.

    2010-01-01

    We have investigated the pentacene based Organic Thin Film Transistors (OTFTs) with high-k dielectric Nd 2 O 3 . Use of high dielectric constant (high-k) gate insulator Nd 2 O 3 reduces the threshold voltage and sub threshold swing of the OTFTs. The calculated threshold voltage -2.2V and sub-threshold swing 1V/decade, current ON-OFF ratio is 1.7 X 10 4 and mobility is 0.13cm 2 /V.s. Pentacene film is deposited on Nd 2 O 3 surface using two step deposition method. Deposited pentacene film is found poly crystalline in nature. (author)

  20. Nanocomposite oxide thin films grown by pulsed energy beam deposition

    International Nuclear Information System (INIS)

    Nistor, M.; Petitmangin, A.; Hebert, C.; Seiler, W.

    2011-01-01

    Highly non-stoichiometric indium tin oxide (ITO) thin films were grown by pulsed energy beam deposition (pulsed laser deposition-PLD and pulsed electron beam deposition-PED) under low oxygen pressure. The analysis of the structure and electrical transport properties showed that ITO films with a large oxygen deficiency (more than 20%) are nanocomposite films with metallic (In, Sn) clusters embedded in a stoichiometric and crystalline oxide matrix. The presence of the metallic clusters induces specific transport properties, i.e. a metallic conductivity via percolation with a superconducting transition at low temperature (about 6 K) and the melting and freezing of the In-Sn clusters in the room temperature to 450 K range evidenced by large changes in resistivity and a hysteresis cycle. By controlling the oxygen deficiency and temperature during the growth, the transport and optical properties of the nanocomposite oxide films could be tuned from metallic-like to insulating and from transparent to absorbing films.

  1. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  2. Metal-insulator transition in SrTi1−xVxO3 thin films

    International Nuclear Information System (INIS)

    Gu, Man; Wolf, Stuart A.; Lu, Jiwei

    2013-01-01

    Epitaxial SrTi 1−x V x O 3 (0 ≤ x ≤ 1) thin films were grown on (001)-oriented (LaAlO 3 ) 0.3 (Sr 2 AlTaO 6 ) 0.7 (LSAT) substrates using the pulsed electron-beam deposition technique. The transport study revealed a temperature driven metal-insulator transition (MIT) at 95 K for x = 0.67. The films with higher vanadium concentration (x > 0.67) were metallic corresponding to a Fermi liquid system. In the insulating phase (x < 0.67), the resistivity behavior was governed by Mott's variable range hopping mechanism. The possible mechanisms for the induced MIT are discussed, including the effects of electron correlation, lattice distortion, and Anderson localization

  3. Nb3Al thin film deposition for low-noise terahertz electronics

    International Nuclear Information System (INIS)

    Dochev, D; Pavolotsky, A B; Belitsky, V; Olofsson, H

    2008-01-01

    Higher energy gap superconducting materials were always interesting for low-noise mixer applications such as superconductor-insulator-superconductor tunnel junctions (SIS) and hot-electron bolometer (HEB) used in sub-millimeter and terahertz parts of electro-magnetic spectrum. Here, we report a novel approach for producing Nb 3 Al thin film by co-sputtering from two confocally arranged Nb and Al dc-magnetrons onto substrate heated up to 830 deg. C. Characterization of the deposited films revealed presence of the A15 phase and measured critical temperature was up to 15.7 K with the transition width 0.2-0.3 K for a 300 nm thick film. We measured the film critical magnetic field and studied influence of annealing on the film properties. We have investigated compositional depth profile of the deposited films by spectroscopy of reflected electrons

  4. Fabrication of Al2O3 Nano-Structure Functional Film on a Cellulose Insulation Polymer Surface and Its Space Charge Suppression Effect

    Directory of Open Access Journals (Sweden)

    Jian Hao

    2017-10-01

    Full Text Available Cellulose insulation polymer (paper/pressboard has been widely used in high voltage direct current (HVDC transformers. One of the most challenging issues in the insulation material used for HVDC equipment is the space charge accumulation. Effective ways to suppress the space charge injection/accumulation in insulation material is currently a popular research topic. In this study, an aluminium oxide functional film was deposited on a cellulose insulation pressboard surface using reactive radio frequency (RF magnetron sputtering. The sputtered thin film was characterized by the scanning electron microscopy/energy dispersive spectrometer (SEM/EDS, X-ray photoelectron spectroscopy (XPS, and X-ray diffraction (XRD. The influence of the deposited functional film on the dielectric properties and the space charge injection/accumulation behaviour was investigated. A preliminary exploration of the space charge suppression effect is discussed. SEM/EDS, XPS, and XRD results show that the nano-structured Al2O3 film with amorphous phase was successfully fabricated onto the fibre surface. The cellulose insulation pressboard surface sputtered by Al2O3 film has lower permittivity, conductivity, and dissipation factor values in the lower frequency (<103 Hz region. The oil-impregnated sputtered pressboard presents an apparent space-charge suppression effect. Compared with the pressboard sputtered with Al2O3 film for 90 min, the pressboard sputtered with Al2O3 film for 60 min had a better space charge suppression effect. Ultra-small Al2O3 particles (<10 nm grew on the surface of the larger nanoparticles. The nano-structured Al2O3 film sputtered on the fibre surface could act as a functional barrier layer for suppression of the charge injection and accumulation. This study offers a new perspective in favour of the application of insulation pressboard with a nano-structured function surface against space charge injection/accumulation in HVDC equipment.

  5. An indirect method to measure the electric charge deposited on insulators during PIXE analysis

    Energy Technology Data Exchange (ETDEWEB)

    Dinator, M.I.; Cancino, S.A.; Miranda, P.A. [Departamento de Fisica, Facultad de Ciencias, Universidad de Chile, Las Palmeras 3425, Nunoa, Santiago (Chile); Morales, J.R. [Departamento de Fisica, Facultad de Ciencias, Universidad de Chile, Las Palmeras 3425, Nunoa, Santiago (Chile)], E-mail: rmorales@uchile.cl; Seelenfreund, A. [Universidad Academia de Humanismo Cristiano, Condell 343, Providencia, Santiago (Chile)

    2007-10-15

    Total charge deposited by a proton beam in an insulator during PIXE analysis has been indirectly determined using a Mylar film coated with cobalt. Elemental concentrations in the samples, pieces of volcanic glass, were obtained and compared to concentrations determined by ICP OES on the same samples. The strong agreement between these results shows the accuracy of the charge determined by this method.

  6. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    Science.gov (United States)

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  7. Nb{sub 3}Al thin film deposition for low-noise terahertz electronics

    Energy Technology Data Exchange (ETDEWEB)

    Dochev, D; Pavolotsky, A B; Belitsky, V; Olofsson, H [Group for Advanced Receiver Development and Onsala Space Observatory, Department of Radio- and Space Science, Chalmers University of Technology, SE 412 96 Gothenburg (Sweden)], E-mail: dimitar.dochev@chalmers.se

    2008-02-01

    Higher energy gap superconducting materials were always interesting for low-noise mixer applications such as superconductor-insulator-superconductor tunnel junctions (SIS) and hot-electron bolometer (HEB) used in sub-millimeter and terahertz parts of electro-magnetic spectrum. Here, we report a novel approach for producing Nb{sub 3}Al thin film by co-sputtering from two confocally arranged Nb and Al dc-magnetrons onto substrate heated up to 830 deg. C. Characterization of the deposited films revealed presence of the A15 phase and measured critical temperature was up to 15.7 K with the transition width 0.2-0.3 K for a 300 nm thick film. We measured the film critical magnetic field and studied influence of annealing on the film properties. We have investigated compositional depth profile of the deposited films by spectroscopy of reflected electrons.

  8. Transparent, high mobility InGaZnO thin films deposited by PLD

    International Nuclear Information System (INIS)

    Suresh, Arun; Gollakota, Praveen; Wellenius, Patrick; Dhawan, Anuj; Muth, John F.

    2008-01-01

    Transparent oxide semiconductor, InGaZnO, thin films were prepared by pulsed laser deposition at room temperature. The carrier concentration was found to vary by several orders of magnitude from insulating to 10 19 carriers/cm 3 depending on the oxygen partial pressure during deposition. Hall mobilities as high as 16 cm 2 /V s were observed. This is approximately an order of magnitude higher than the mobility of amorphous silicon and indicates that InGaO 3 (ZnO) x with x ≤ 5 may be suitable for transparent, thin film transistor applications. Post-deposition annealing was found to strongly influence the carrier concentration while annealing effects on the electron mobility was less influential

  9. Synthesis and magnetotransport studies of CrO2 films grown on TiO2 nanotube arrays by chemical vapor deposition

    Science.gov (United States)

    Wang, Xiaoling; Zhang, Caiping; Wang, Lu; Lin, Tao; Wen, Gehui

    2018-04-01

    The CrO2 films have been prepared on the TiO2 nanotube array template via atmospheric pressure chemical vapor deposition method. And the growth procedure was studied. In the beginning of the deposition process, the CrO2 grows on the cross section of the TiO2 nanotubes wall, forms a nanonet-like layer. And the grain size of CrO2 is very small. With the increase of the deposition time, the grain size of CrO2 also increases, and the nanonet-like layer changes into porous film. With the further increase of the deposition time, all the nanotubes are covered by CrO2 grains and the surface structure becomes polycrystalline film. The average grain size on the surface of the CrO2 films deposited for 1 h, 2 h and 5 h is about 190 nm, 300 nm and 470 nm. The X-ray diffraction pattern reveals that the rutile CrO2 film has been synthesized on the TiO2 nanotube array template. The CrO2 films show large magnetoresistance (MR) at low temperature, which should originate from spin-dependent tunneling through grain boundaries between CrO2 grains. And the tunneling mechanism of the CrO2 films can be well described by the fluctuation-induced tunneling (FIT) model. The CrO2 film deposited for 2 h shows insulator behavior from 5 k to 300 K, but the CrO2 film deposited for 5 h shows insulator-metal transition around 140 K. The reason is briefly discussed.

  10. Design of Faraday cup ion detectors built by thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Szalkowski, G.A., E-mail: gszalkowski3@gatech.edu [Department of Nuclear Engineering, Georgia Institute of Technology, 770 State St., Atlanta, GA 30332 (United States); Darrow, D.S., E-mail: ddarrow@pppl.gov [Princeton Plasma Physics Laboratory, P. O. Box 451, Princeton, NJ 08543 (United States); Cecil, F.E., E-mail: fcecil@mines.edu [Department of Physics, Colorado School of Mines, Golden, CO 80401 (United States)

    2017-03-11

    Thin film Faraday cup detectors can provide measurements of fast ion loss from magnetically confined fusion plasmas. These multilayer detectors can resolve the energy distribution of the lost ions in addition to giving the total loss rate. Prior detectors were assembled from discrete foils and insulating sheets. Outlined here is a design methodology for creating detectors using thin film deposition that are suited to particular scientific goals. The intention is to use detectors created by this method on the Joint European Torus (JET) and the National Spherical Torus Experiment-Upgrade (NSTX-U). The detectors will consist of alternating layers of aluminum and silicon dioxide, with layer thicknesses chosen to isolate energies of interest. Thin film deposition offers the advantage of relatively simple and more mechanically robust construction compared to other methods, as well as allowing precise control of film thickness. Furthermore, this depositional fabrication technique places the layers in intimate thermal contact, providing for three-dimensional conduction and dissipation of the ion-produced heating in the layers, rather than the essentially two-dimensional heat conduction in the discrete foil stack implementation.

  11. Effect of annealing on electrical properties of plasmatron deposited ZnO films

    International Nuclear Information System (INIS)

    Joa, Sang Beom; Penkov, Oteksiy V.; Plaksin, Vadim Yu; Mansur, Rakib; Kim, Ji Hun; Lee, Heon Ju

    2009-01-01

    Transparent conductive zinc oxide (ZnO) has been extensively studied in recent several years because they have very interesting properties. Besides this, zinc oxide is non-poisonous, abundant and cheap material. ZnO films are employed in different applications like transparent conductive layers in solar cells, protective coatings and so on. Wide industrial application of the ZnO films requires of development of cheap, effective and scalable technology. Typically used technology like RF sputtering, pyrolysis and metal-organic CVD don't completely satisfy the industrial requirements. In our previous publications the new perspective ZnO deposition technology based DC Arc Plasmatron was described. This technology has several advantages (low cost, high deposition rate, low substrate temperature). Currently, films deposited using this technology has can be used only as protective or insulation coatings because of very high resistance. Applying of plasmatron technology in the microelectronics or solar cell production requires the improvement of electrical properties of the films. This can be achieved by optimization of deposition parameters, using of doping, or by post-deposition treatment such as annealing, or by combination of mentioned. It was shown that proposed technology can be used for the deposition of pure ZnO film with good electrical and optical properties. Proposed technology has several disadvantages which can be overcome in the near-term outlook

  12. The Electrical Properties of Plasma-Deposited Thin Films Derived from Pelargonium graveolens

    Directory of Open Access Journals (Sweden)

    Ahmed Al-Jumaili

    2017-10-01

    Full Text Available Inherently volatile at atmospheric pressure and room temperature, plant-derived precursors present an interesting human-health-friendly precursor for the chemical vapour deposition of thin films. The electrical properties of films derived from Pelargonium graveolens (geranium were investigated in metal–insulator–metal (MIM structures. Thin polymer-like films were deposited using plasma-enhanced synthesis under various plasma input power. The J–V characteristics of thus-fabricated MIM were then studied in order to determine the direct current (DC conduction mechanism of the plasma polymer layers. It was found that the capacitance of the plasma-deposited films decreases at low frequencies (C ≈ 10−11 and remains at a relatively constant value (C ≈ 10−10 at high frequencies. These films also have a low dielectric constant across a wide range of frequencies that decreases as the input RF power increases. The conductivity was determined to be around 10−16–10−17 Ω−1 m−1, which is typical for insulating materials. The Richardson–Schottky mechanism might dominate charge transport in the higher field region for geranium thin films.

  13. Structural and Magnetic Properties of Mn doped ZnO Thin Film Deposited by Pulsed Laser Deposition

    KAUST Repository

    Baras, Abdulaziz

    2011-07-01

    Diluted magnetic oxide (DMO) research is a growing field of interdisciplinary study like spintronic devices and medical imaging. A definite agreement among researchers concerning the origin of ferromagnetism in DMO has yet to be reached. This thesis presents a study on the structural and magnetic properties of DMO thin films. It attempts to contribute to the understanding of ferromagnetism (FM) origin in DMO. Pure ZnO and Mn doped ZnO thin films have been deposited by pulsed laser deposition (PLD) using different deposition conditions. This was conducted in order to correlate the change between structural and magnetic properties. Structural properties of the films were characterized using x-ray diffraction (XRD) and scanning electron microscopy (SEM). The superconducting quantum interference device (SQUID) was used to investigate the magnetic properties of these films. The structural characterizations showed that the quality of pure ZnO and Mn doped ZnO films increased as oxygen pressure (PO) increased during deposition. All samples were insulators. In Mn doped films, Mn concentration decreased as PO increased. The Mn doped ZnO samples were deposited at 600˚C and oxygen pressure from 50-500mTorr. All Mn doped films displayed room temperature ferromagnetism (RTFM). However, at 5 K a superparamagnetic (SPM) behavior was observed in these samples. This result was accounted for by the supposition that there were secondary phase(s) causing the superparamagnetic behavior. Our findings hope to strengthen existing research on DMO origins and suggest that secondary phases are the core components that suppress the ferromagnetism. Although RTFM and SPM at low temperature has been observed in other systems (e.g., Co doped ZnO), we are the first to report this behavior in Mn doped ZnO. Future research might extend the characterization and exploration of ferromagnetism in this system.

  14. Topological phases of topological-insulator thin films

    Science.gov (United States)

    Asmar, Mahmoud M.; Sheehy, Daniel E.; Vekhter, Ilya

    2018-02-01

    We study the properties of a thin film of topological insulator material. We treat the coupling between helical states at opposite surfaces of the film in the properly-adapted tunneling approximation, and show that the tunneling matrix element oscillates as a function of both the film thickness and the momentum in the plane of the film for Bi2Se3 and Bi2Te3 . As a result, while the magnitude of the matrix element at the center of the surface Brillouin zone gives the gap in the energy spectrum, the sign of the matrix element uniquely determines the topological properties of the film, as demonstrated by explicitly computing the pseudospin textures and the Chern number. We find a sequence of transitions between topological and nontopological phases, separated by semimetallic states, as the film thickness varies. In the topological phase, the edge states of the film always exist but only carry a spin current if the edge potentials break particle-hole symmetry. The edge states decay very slowly away from the boundary in Bi2Se3 , making Bi2Te3 , where this scale is shorter, a more promising candidate for the observation of these states. Our results hold for free-standing films as well as heterostructures with large-gap insulators.

  15. Experiment on thermal insulation and sodium deposition of shield plug

    International Nuclear Information System (INIS)

    Hashiguchi, K.; Honda, M.; Shiratori, H.; Ozaki, O.; Suzuki, M.

    1986-01-01

    A series of experiments on temperature distribution and thermal insulation characteristics was conducted using a reduced scale model of LMFBR shield plug. Observation and measurement of sodium deposition were also conducted on the model after the experiment. The effect of annulus natural convection was clarified for temperature and the thermal insulation characteristics from evaluating the result. Temperature distribution analysis was conducted successfully by combining the general purpose structural analysis program NASTRAN and vertical annulus natural convection analysis program VANAC. Moreover, significant effect was substantiated for the annulus convection barrier to increase the thermal insulation performance, narrow horizontal gap structure to prevent sodium deposition and thermal insulation plates. (author)

  16. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Lisco, F., E-mail: F.Lisco@lboro.ac.uk [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom); Losurdo, M. [Institute of Inorganic Methodologies and of Plasmas, IMIP-CNR, via Orabona 4, 70126 Bari (Italy); Walls, J.M. [Centre for Renewable Energy Systems Technology (CREST), School of Electronic, Electrical and Systems Engineering, Loughborough University, Leicestershire LE11 3TU (United Kingdom)

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films.

  17. High rate deposition of thin film cadmium sulphide by pulsed direct current magnetron sputtering

    International Nuclear Information System (INIS)

    Lisco, F.; Kaminski, P.M.; Abbas, A.; Bowers, J.W.; Claudio, G.; Losurdo, M.; Walls, J.M.

    2015-01-01

    Cadmium Sulphide (CdS) is an important n-type semiconductor widely used as a window layer in thin film photovoltaics Copper Indium Selenide, Copper Indium Gallium (di)Selenide, Copper Zinc Tin Sulphide and Cadmium Telluride (CdTe). Cadmium Sulphide has been deposited using a number of techniques but these techniques can be slow (chemical bath deposition and Radio Frequency sputtering) or the uniformity and the control of thickness can be relatively difficult (close space sublimation). In this paper we report on the development of a process using pulsed Direct Current magnetron sputtering which allows nanometre control of thin film thickness using time only. The CdS thin films deposited in this process are highly uniform and smooth. They exhibit the preferred hexagonal structure at room temperature deposition and they have excellent optical properties. Importantly, the process is highly stable despite the use of a semi-insulating magnetron target. Moreover, the process is very fast. The deposition rate using 1.5 kW of power to a 6-inch circular magnetron was measured to be greater than 8 nm/s. This makes the process suitable for industrial deployment. - Highlights: • Pulsed DC magnetron sputtering of CdS • High deposition rate deposition • Uniform, pinhole free films

  18. Insulator layer formation in MgB2 SIS junctions

    International Nuclear Information System (INIS)

    Shimakage, H.; Tsujimoto, K.; Wang, Z.; Tonouchi, M.

    2005-01-01

    The dependence of current-voltage characteristics on thin film deposition conditions was investigated using MgB 2 /AlN/NbN SIS junctions. By increasing the substrate temperature in AlN insulator deposition, the current density decreased and the normal resistance increased. The results indicated that an additional insulator layer between the MgB 2 and AlN formed, either before or during the AlN deposition. The thickness of the additional insulator layer was increased with an increase in the AlN deposition temperature. From the dependence of current density on the thickness of AlN in low temperature depositions, the thickness of the additional insulator layer was estimated to be 1-1.5 nm when the AlN insulator was deposited from 0.14 to 0.7 nm. Moreover, with the current density of MgB 2 /AlN/MgB 2 SIS junctions, further insulator layer formation was confirmed

  19. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    Science.gov (United States)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  20. Improvements in the reliability of a-InGaZnO thin-film transistors with triple stacked gate insulator in flexible electronics applications

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Hua-Mao [Department of Photonics & Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu, Taiwan (China); Chang, Ting-Chang, E-mail: tcchang3708@gmail.com [Department of Physics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Department of Photonics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Advanced Optoelectronics Technology Center, National Cheng Kung University, Taiwan (China); Tai, Ya-Hsiang [Department of Photonics & Institute of Electro-Optical Engineering, National Chiao Tung University, Hsinchu, Taiwan (China); Chen, Kuan-Fu [Department of Physics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Chiang, Hsiao-Cheng [Department of Photonics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Liu, Kuan-Hsien [Department of Electrophysics, National Chiao Tung University, Hsinchu, Taiwan (China); Lee, Chao-Kuei [Department of Photonics, National Sun Yat-Sen University, Kaohsiung, Taiwan (China); Lin, Wei-Ting; Cheng, Chun-Cheng; Tu, Chun-Hao; Liu, Chu-Yu [Advanced Technology Research Center, AU Optronics Corp, Hsinchu, Taiwan (China)

    2015-11-30

    This study examined the impact of the low-temperature stacking gate insulator on the gate bias instability of a-InGaZnO thin film transistors in flexible electronics applications. Although the quality of SiN{sub x} at low process/deposition temperature is better than that of SiO{sub x} at similarly low process/deposition temperature, there is still a very large positive threshold voltage (V{sub th}) shift of 9.4 V for devices with a single low-temperature SiN{sub x} gate insulator under positive gate bias stress. However, a suitable oxide–nitride–oxide-stacked gate insulator exhibits a V{sub th} shift of only 0.23 V. This improvement results from the larger band offset and suitable gate insulator thickness that can effectively suppress carrier trapping behavior. - Highlights: • The cause of the bias instability for a low-temperature gate insulator is verified. • A triple-stacked gate insulator was fabricated. • A suitable triple stacked gate insulator shows only 0.23 V threshold voltage shift.

  1. Study of thin insulating films using secondary ion emission

    International Nuclear Information System (INIS)

    Hilleret, Noel

    1973-01-01

    Secondary ion emission from insulating films was investigated using a CASTAING-SLODZIAN ion analyzer. Various different aspects of the problem were studied: charge flow across a silica film; the mobilization of sodium during ion bombardment; consequences of the introduction of oxygen on the emission of secondary ions from some solids; determination of the various characteristics of secondary ion emission from silica, silicon nitride and silicon. An example of measurements made using this type of operation is presented: profiles (concentration as a function of depth) of boron introduced by diffusion or implantation in thin films of silica on silicon or silicon nitride. Such measurements have applications in microelectronics. The same method of operation was extended to other types of insulating film, and in particular, to the metallurgical study of passivation films formed on the surface of stainless steels. (author) [fr

  2. ZnO film deposition on Al film and effects of deposition temperature on ZnO film growth characteristics

    International Nuclear Information System (INIS)

    Yoon, Giwan; Yim, Munhyuk; Kim, Donghyun; Linh, Mai; Chai, Dongkyu

    2004-01-01

    The effects of the deposition temperature on the growth characteristics of the ZnO films were studied for film bulk acoustic wave resonator (FBAR) device applications. All films were deposited using a radio frequency magnetron sputtering technique. It was found that the growth characteristics of ZnO films have a strong dependence on the deposition temperature from 25 to 350 deg. C. ZnO films deposited below 200 deg. C exhibited reasonably good columnar grain structures with highly preferred c-axis orientation while those above 200 deg. C showed very poor columnar grain structures with mixed-axis orientation. This study seems very useful for future FBAR device applications

  3. Quantum magnetotransport properties of ultrathin topological insulator films

    KAUST Repository

    Tahir, M.

    2013-01-30

    We study the quantum magnetotransport in ultrathin topological insulator films in an external magnetic field considering hybridization between the upper and lower surfaces of the film. We investigate the two possible mechanisms for splitting of Landau levels, Zeeman and hybridization effects, and show that their interplay leads to minima in the collisional and Hall conductivities with a metal-to-insulator phase transition at the charge neutrality point. Hall plateaus arise at unusual multiples of e2/h . Evidence of a quantum phase transition for the zeroth and splitting of the higher Landau levels is found from the temperature and magnetic field dependences of the transport.

  4. Quantum magnetotransport properties of ultrathin topological insulator films

    KAUST Repository

    Tahir, M.; Sabeeh, K.; Schwingenschlö gl, Udo

    2013-01-01

    We study the quantum magnetotransport in ultrathin topological insulator films in an external magnetic field considering hybridization between the upper and lower surfaces of the film. We investigate the two possible mechanisms for splitting of Landau levels, Zeeman and hybridization effects, and show that their interplay leads to minima in the collisional and Hall conductivities with a metal-to-insulator phase transition at the charge neutrality point. Hall plateaus arise at unusual multiples of e2/h . Evidence of a quantum phase transition for the zeroth and splitting of the higher Landau levels is found from the temperature and magnetic field dependences of the transport.

  5. Thin-film composite materials as a dielectric layer for flexible metal-insulator-metal capacitors.

    Science.gov (United States)

    Tiwari, Jitendra N; Meena, Jagan Singh; Wu, Chung-Shu; Tiwari, Rajanish N; Chu, Min-Ching; Chang, Feng-Chih; Ko, Fu-Hsiang

    2010-09-24

    A new organic-organic nanoscale composite thin-film (NCTF) dielectric has been synthesized by solution deposition of 1-bromoadamantane and triblock copolymer (Pluronic P123, BASF, EO20-PO70-EO20), in which the precursor solution has been achieved with organic additives. We have used a sol-gel process to make a metal-insulator-metal capacitor (MIM) comprising a nanoscale (10 nm-thick) thin-film on a flexible polyimide (PI) substrate at room temperature. Scanning electron microscope and atomic force microscope revealed that the deposited NCTFs were crack-free, uniform, highly resistant to moisture absorption, and well adhered on the Au-Cr/PI. The electrical properties of 1-bromoadamantane-P123 NCTF were characterized by dielectric constant, capacitance, and leakage current measurements. The 1-bromoadamantane-P123 NCTF on the PI substrate showed a low leakage current density of 5.5 x 10(-11) A cm(-2) and good capacitance of 2.4 fF at 1 MHz. In addition, the calculated dielectric constant of 1-bromoadamantane-P123 NCTF was 1.9, making them suitable candidates for use in future flexible electronic devices as a stable intermetal dielectric. The electrical insulating properties of 1-bromoadamantane-P123 NCTF have been improved due to the optimized dipole moments of the van der Waals interactions.

  6. Metal-insulator transition in SrTi{sub 1−x}V{sub x}O{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Gu, Man [Department of Physics, University of Virginia, 382 McCormick Rd., Charlottesville, Virginia 22904 (United States); Wolf, Stuart A. [Department of Physics, University of Virginia, 382 McCormick Rd., Charlottesville, Virginia 22904 (United States); Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., Charlottesville, Virginia 22904 (United States); Lu, Jiwei [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., Charlottesville, Virginia 22904 (United States)

    2013-11-25

    Epitaxial SrTi{sub 1−x}V{sub x}O{sub 3} (0 ≤ x ≤ 1) thin films were grown on (001)-oriented (LaAlO{sub 3}){sub 0.3}(Sr{sub 2}AlTaO{sub 6}){sub 0.7} (LSAT) substrates using the pulsed electron-beam deposition technique. The transport study revealed a temperature driven metal-insulator transition (MIT) at 95 K for x = 0.67. The films with higher vanadium concentration (x > 0.67) were metallic corresponding to a Fermi liquid system. In the insulating phase (x < 0.67), the resistivity behavior was governed by Mott's variable range hopping mechanism. The possible mechanisms for the induced MIT are discussed, including the effects of electron correlation, lattice distortion, and Anderson localization.

  7. Depositing bulk or micro-scale electrodes

    Science.gov (United States)

    Shah, Kedar G.; Pannu, Satinderpall S.; Tolosa, Vanessa; Tooker, Angela C.; Sheth, Heeral J.; Felix, Sarah H.; Delima, Terri L.

    2016-11-01

    Thicker electrodes are provided on microelectronic device using thermo-compression bonding. A thin-film electrical conducting layer forms electrical conduits and bulk depositing provides an electrode layer on the thin-film electrical conducting layer. An insulating polymer layer encapsulates the electrically thin-film electrical conducting layer and the electrode layer. Some of the insulating layer is removed to expose the electrode layer.

  8. Structural properties and sensing characteristics of high-k Ho2O3 sensing film-based electrolyte-insulator-semiconductor

    International Nuclear Information System (INIS)

    Pan, Tung-Ming; Huang, Ming-De

    2011-01-01

    Highlights: → We report the structural properties and sensing characteristics of Ho 2 O 3 sensing membranes deposited on Si substrates by reactive sputtering. → We applied X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy to study the structural and morphological features of these films after they had been subjected to annealing at various temperatures (700 deg. C, 800 deg. C, and 900 deg. C). → The Ho 2 O 3 electrolyte-insulator-semiconductor device annealed at 800 deg. C exhibited a higher sensitivity, a lower hysteresis voltage, and a smaller drift rate than other annealing temperatures. - Abstract: In this study, we report a Ho 2 O 3 electrolyte-insulator-semiconductor (EIS) device films deposited on Si substrates through reactive sputtering. The effect of thermal annealing (700, 800, and 900 deg. C) on the structural and surface properties of Ho 2 O 3 sensing film was investigated by X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy. We found that the EIS device with a Ho 2 O 3 sensing film annealed at 800 deg. C exhibited a higher sensitivity of ∼57 mV/pH, a lower hysteresis voltage of 2.68 mV, and a smaller drift rate of 2.83 mV h -1 compared to those at other annealing conditions. This improvement can be attributed to the well-crystallized Ho 2 O 3 structure and the large surface roughness.

  9. Laser deposition of HTSC films

    International Nuclear Information System (INIS)

    Sobol', Eh.N.; Bagratashvili, V.N.; Zherikhin, A.N.; Sviridov, A.P.

    1990-01-01

    Studies of the high-temperature superconducting (HTSC) films fabrication by the laser deposition are reviewed. Physical and chemical processes taking place during laser deposition are considered, such as the target evaporation, the material transport from the target to the substrate, the film growth on the substrate, thermochemical reactions and mass transfer within the HTSC films and their stability. The experimental results on the laser deposition of different HTSC ceramics and their properties investigations are given. The major technological issues are discussed including the deposition schemes, the oxygen supply, the target compositions and structure, the substrates and interface layers selection, the deposition regimes and their impact on the HTSC films properties. 169 refs.; 6 figs.; 2 tabs

  10. ITO thin films deposited by advanced pulsed laser deposition

    International Nuclear Information System (INIS)

    Viespe, Cristian; Nicolae, Ionut; Sima, Cornelia; Grigoriu, Constantin; Medianu, Rares

    2007-01-01

    Indium tin oxide thin films were deposited by computer assisted advanced PLD method in order to obtain transparent, conductive and homogeneous films on a large area. The films were deposited on glass substrates. We studied the influence of the temperature (room temperature (RT)-180 deg. C), pressure (1-6 x 10 -2 Torr), laser fluence (1-4 J/cm 2 ) and wavelength (266-355 nm) on the film properties. The deposition rate, roughness, film structure, optical transmission, electrical conductivity measurements were done. We deposited uniform ITO thin films (thickness 100-600 nm, roughness 5-10 nm) between RT and 180 deg. C on a large area (5 x 5 cm 2 ). The films have electrical resistivity of 8 x 10 -4 Ω cm at RT, 5 x 10 -4 Ω cm at 180 deg. C and an optical transmission in the visible range, around 89%

  11. Wet chemical deposition of single crystalline epitaxial manganite thin films with atomically flat surface

    International Nuclear Information System (INIS)

    Mishra, Amita; Dutta, Anirban; Samaddar, Sayanti; Gupta, Anjan K.

    2013-01-01

    We report the wet chemical deposition of single crystalline epitaxial thin films of the colossal magneto-resistive manganite La 0.67 Sr 0.33 MnO 3 on the lattice-matched (001)-face of a La 0.3 Sr 0.7 Al 0.65 Ta 0.35 O 3 substrate. Topographic images of these films taken with a scanning tunneling microscope show atomically flat terraces separated by steps of monatomic height. The resistivity of these films shows an insulator-metal transition at 310 K, nearly coincident with the Curie temperature of 340 K, found from magnetization measurements. The films show a magnetoresistance of 7% at 300 K and 1.2 T. Their saturation magnetization value at low temperatures is consistent with that of the bulk. - Highlights: ► Wet chemical deposition of La 0.67 Sr 0.33 MnO 3 (LSMO) on a lattice-matched substrate. ► Single crystalline epitaxial LSMO films obtained. ► Flat terraces separated by monatomic steps observed by scanning tunneling microscope

  12. Atomic layer deposition of HfO{sub 2} for integration into three-dimensional metal-insulator-metal devices

    Energy Technology Data Exchange (ETDEWEB)

    Assaud, Loic [Aix Marseille Univ, CNRS, CINAM, Marseille (France); ICMMO-ERIEE, Universite Paris-Sud / Universite Paris-Saclay, CNRS, Orsay (France); Pitzschel, Kristina; Barr, Maissa K.S.; Petit, Matthieu; Hanbuecken, Margrit; Santinacci, Lionel [Aix Marseille Univ, CNRS, CINAM, Marseille (France); Monier, Guillaume [Universite Clermont Auvergne, Universite Blaise Pascal, CNRS, Institut Pascal, Clermont-Ferrand (France)

    2017-12-15

    HfO{sub 2} nanotubes have been fabricated via a template-assisted deposition process for further use in three-dimensional metal-insulator-metal (MIM) devices. HfO{sub 2} thin layers were grown by Atomic Layer Deposition (ALD) in anodic alumina membranes (AAM). The ALD was carried out using tetrakis(ethylmethylamino)hafnium and water as Hf and O sources, respectively. Long exposure durations to the precursors have been used to maximize the penetration depth of the HfO{sub 2} layer within the AAM and the effect of the process temperature was investigated. The morphology, the chemical composition, and the crystal structure were studied as a function of the deposition parameters using transmission and scanning electron microscopies, X-ray photoelectron spectroscopy, and X-ray diffraction, respectively. As expected, the HfO{sub 2} layers grown at low-temperature (T = 150 C) were amorphous, while for a higher temperature (T = 250 C), polycrystalline films were observed. The electrical characterizations have shown better insulating properties for the layers grown at low temperature. Finally, TiN/HfO{sub 2}/TiN multilayers were grown in an AAM as proof-of-concept for three-dimensional MIM nanostructures. (orig.)

  13. Giant spin Hall angle from topological insulator BixSe(1 - x) thin films

    Science.gov (United States)

    Dc, Mahendra; Jamali, Mahdi; Chen, Junyang; Hickey, Danielle; Zhang, Delin; Zhao, Zhengyang; Li, Hongshi; Quarterman, Patrick; Lv, Yang; Mkhyon, Andre; Wang, Jian-Ping

    Investigation on the spin-orbit torque (SOT) from large spin-orbit coupling materials has been attracting interest because of its low power switching of the magnetization and ultra-fast driving of the domain wall motion that can be used in future spin based memory and logic devices. We investigated SOT from topological insulator BixSe(1 - x) thin film in BixSe(1 - x) /CoFeB heterostructure by using the dc planar Hall method, where BixSe(1 - x) thin films were prepared by a unique industry-compatible deposition process. The angle dependent Hall resistance was measured in the presence of a rotating external in-plane magnetic field at bipolar currents. The spin Hall angle (SHA) from this BixSe(1 - x) thin film was found to be as large as 22.41, which is the largest ever reported at room temperature (RT). The giant SHA and large spin Hall conductivity (SHC) make this BixSe(1 - x) thin film a very strong candidate as an SOT generator in SOT based memory and logic devices.

  14. Fabrication and characterization of Aerogel-Polydimethyl siloxane (PDMS) Insulation Film

    Science.gov (United States)

    Noh, Yeoung ah; Song, Sinae; Taik Kim, Hee

    2018-03-01

    The building has a large impact on the space heating demand and the indoor environment is affected by climate or daylight. Hence, silica aerogel has generally used as a film to reduce the coefficient of the window in the building. Silica aerogel is a suitable material to apply for insulation material with lower thermal conductivity than that of air to save interior energy. However expensive precursor and drying process were the main issue of the silica aerogel synthesis and practical usage. We attempt to fabricate aerogel insulation film for energy saving through the economic process under ambient pressure. Silica aerogel was synthesized from rice husk ash, which was an agricultural waste to be able to recycle. Taguchi design was used to optimize the parameters (amount of rice husk ash, pH, aging time) controlling the surface area of silica aerogel. The silica aerogel is prepared by sol-gel processing through acidic treatment and aging. The silica aerogel was obtained by modification of silica hydrogel surface and dry at ambient pressure. Finally, aerogel film was respectively fabricated by the different content of aerogel in polydimethylsiloxane (PDMS). Silica aerogel obtained 21 – 24nm average particle size was analyzed by SEM and silica aerogel with high surface area (832.26 m2/g), pore size ( 3.30nm ) was characterized by BET. Then silica Aerogel – PDMS insulation film with thermal conductivity (0.002 W/mK) was analyzed by thermal wave system. The study demonstrates an eco-friendly and low-cost route toward silica – PDMS insulation film with low thermal conductivity (0.002 W/mK).

  15. Thick film hydrogen sensor

    Science.gov (United States)

    Hoffheins, Barbara S.; Lauf, Robert J.

    1995-01-01

    A thick film hydrogen sensor element includes an essentially inert, electrically-insulating substrate having deposited thereon a thick film metallization forming at least two resistors. The metallization is a sintered composition of Pd and a sinterable binder such as glass frit. An essentially inert, electrically insulating, hydrogen impermeable passivation layer covers at least one of the resistors.

  16. Specular Andreev reflection in thin films of topological insulators

    Science.gov (United States)

    Majidi, Leyla; Asgari, Reza

    2016-05-01

    We theoretically reveal the possibility of specular Andreev reflection in a thin film topological insulator normal-superconductor (N/S) junction in the presence of a gate electric field. The probability of specular Andreev reflection increases with the electric field, and electron-hole conversion with unit efficiency happens in a wide experimentally accessible range of the electric field. We show that perfect specular Andreev reflection can occur for all angles of incidence with a particular excitation energy value. In addition, we find that the thermal conductance of the structure displays exponential dependence on the temperature. Our results reveal the potential of the proposed topological insulator thin-film-based N/S structure for the realization of intraband specular Andreev reflection.

  17. Lead-free (K0.5Na0.5)NbO3 thin films by pulsed laser deposition driving MEMS-based piezoelectric cantilevers

    NARCIS (Netherlands)

    Nguyen, Duc Minh; Dekkers, Jan M.; Houwman, Evert Pieter; Vu, H.T.; Vu, Hung N.; Rijnders, Augustinus J.H.M.

    2016-01-01

    Thin film capacitors of the lead-free (K0.5Na0.5)NbO3 (KNN) with (100) orientation were grown on Pt/Ti/SiO2/SOI (silicon-on-insulator) substrates by pulsed laser deposition. The films are pure phases and do not show other crystal orientations. The remnant polarization Pr, saturation polarization

  18. Transmission of reactive pulsed laser deposited VO{sub 2} films in the THz domain

    Energy Technology Data Exchange (ETDEWEB)

    Émond, Nicolas; Hendaoui, Ali; Ibrahim, Akram; Al-Naib, Ibraheem; Ozaki, Tsuneyuki; Chaker, Mohamed, E-mail: chaker@emt.inrs.ca

    2016-08-30

    Highlights: • Synthesis of vanadium dioxide (VO{sub 2}) thin films as a function of oxygen pressure (2–25 mTorr) using Reactive Pulsed Laser Deposition (RPLD). • Characterization of RPLD-grown VO{sub 2} thin films in the THz frequency range. • THz switches and/or sensors require VO{sub 2} films deposited at low oxygen pressure (i.e. low transition temperature, large amplitude contrast of THz transmission, narrow hysteresis width). • THz optical memory applications require VO{sub 2} films deposited at high oxygen pressure (broad hysteresis width). - Abstract: This work reports on the characteristics of the insulator-to-metal transition (IMT) of reactive pulsed laser deposited vanadium dioxide (VO{sub 2}) films in the terahertz (THz) frequency range, namely the transition temperature T{sub IMT}, the amplitude contrast of the THz transmission over the IMT ΔA, the transition sharpness ΔT and the hysteresis width ΔH. XRD analysis shows the sole formation of VO{sub 2} monoclinic structure with an enhancement of (011) preferential orientation when varying the O{sub 2} pressure (P{sub O2}) during the deposition process from 2 to 25 mTorr. THz transmission measurements as a function of temperature reveal that VO{sub 2} films obtained at low P{sub O2} exhibit low T{sub IMT}, large ΔA, and narrow ΔH. Increasing P{sub O2} results in VO{sub 2} films with higher T{sub IMT}, smaller ΔA, broader ΔH and asymmetric hysteresis loop. The good control of the VO{sub 2} IMT features in the THz domain could be further exploited for the development of advanced smart devices, such as ultrafast switches, modulators, memories and sensors.

  19. Photodetectors based on carbon nanotubes deposited by using a spray technique on semi-insulating gallium arsenide

    Directory of Open Access Journals (Sweden)

    Domenico Melisi

    2014-11-01

    Full Text Available In this paper, a spray technique is used to perform low temperature deposition of multi-wall carbon nanotubes on semi-insulating gallium arsenide in order to obtain photodectors. A dispersion of nanotube powder in non-polar 1,2-dichloroethane is used as starting material. The morphological properties of the deposited films has been analysed by means of electron microscopy, in scanning and transmission mode. Detectors with different layouts have been prepared and current–voltage characteristics have been recorded in the dark and under irradiation with light in the range from ultraviolet to near infrared. The device spectral efficiency obtained from the electrical characterization is finally reported and an improvement of the photodetector behavior due to the nanotubes is presented and discussed.

  20. Passivation of pigment-grade TiO2 particles by nanothick atomic layer deposited SiO2 films

    International Nuclear Information System (INIS)

    King, David M; Liang Xinhua; Weimer, Alan W; Burton, Beau B; Akhtar, M Kamal

    2008-01-01

    Pigment-grade TiO 2 particles were passivated using nanothick insulating films fabricated by atomic layer deposition (ALD). Conformal SiO 2 and Al 2 O 3 layers were coated onto anatase and rutile powders in a fluidized bed reactor. SiO 2 films were deposited using tris-dimethylaminosilane (TDMAS) and H 2 O 2 at 500 deg. C. Trimethylaluminum and water were used as precursors for Al 2 O 3 ALD at 177 deg. C. The photocatalytic activity of anatase pigment-grade TiO 2 was decreased by 98% after the deposition of 2 nm SiO 2 films. H 2 SO 4 digest tests were performed to exhibit the pinhole-free nature of the coatings and the TiO 2 digest rate was 40 times faster for uncoated TiO 2 than SiO 2 coated over a 24 h period. Mass spectrometry was used to monitor reaction progress and allowed for dosing time optimization. These results demonstrate that the TDMAS-H 2 O 2 chemistry can deposit high quality, fully dense SiO 2 films on high radius of curvature substrates. Particle ALD is a viable passivation method for pigment-grade TiO 2 particles

  1. Highly conductive homoepitaxial Si-doped Ga2O3 films on (010) β-Ga2O3 by pulsed laser deposition

    Science.gov (United States)

    Leedy, Kevin D.; Chabak, Kelson D.; Vasilyev, Vladimir; Look, David C.; Boeckl, John J.; Brown, Jeff L.; Tetlak, Stephen E.; Green, Andrew J.; Moser, Neil A.; Crespo, Antonio; Thomson, Darren B.; Fitch, Robert C.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-07-01

    Si-doped Ga2O3 thin films were fabricated by pulsed laser deposition on semi-insulating (010) β-Ga2O3 and (0001) Al2O3 substrates. Films deposited on β-Ga2O3 showed single crystal, homoepitaxial growth as determined by high resolution transmission electron microscopy and x-ray diffraction. Corresponding films deposited on Al2O3 were mostly single phase, polycrystalline β-Ga2O3 with a preferred (20 1 ¯ ) orientation. An average conductivity of 732 S cm-1 with a mobility of 26.5 cm2 V-1 s-1 and a carrier concentration of 1.74 × 1020 cm-3 was achieved for films deposited at 550 °C on β-Ga2O3 substrates as determined by Hall-Effect measurements. Two orders of magnitude improvement in conductivity were measured using native substrates versus Al2O3. A high activation efficiency was obtained in the as-deposited condition. The high carrier concentration Ga2O3 thin films achieved by pulsed laser deposition enable application as a low resistance ohmic contact layer in β-Ga2O3 devices.

  2. Nanoscale leakage current measurements in metal organic chemical vapor deposition crystalline SrTiO3 films

    International Nuclear Information System (INIS)

    Rozier, Y.; Gautier, B.; Hyvert, G.; Descamps, A.; Plossu, C.; Dubourdieu, C.; Ducroquet, F.

    2009-01-01

    The properties of SrTiO 3 thin films, grown by liquid injection metal organic chemical vapor deposition on Si/SiO 2 , using a mixture of precursors, have been investigated at the nanoscale using an Atomic Force Microscope in the so-called Conductive Atomic Force Microscopy mode. Maps of the leakage currents with a nanometric resolution have been obtained on films elaborated at different temperatures and stoichiometries in order to discriminate the role of each parameter on the onset of leakage currents in the resulting layers. It appears that the higher the deposition temperature, the higher the leakage currents of the films. The mapping with a nanometric precision allows to show a heterogeneous behaviour of the surface with leaky grains and insulating boundaries. The study of films elaborated at the same temperature with different compositions supports the assumption that the leakage currents on Ti-rich layers are far higher than on Sr-rich layers

  3. Fluxons in thin-film superconductor-insulator superlattices

    DEFF Research Database (Denmark)

    Sakai, S.; Bodin, P.; Pedersen, Niels Falsig

    1993-01-01

    In a system of thin alternating layers of superconductors and insulators the equations describing static and dynamic fluxon solutions are derived. The approach, represented by a useful compact matrix form, is intended to describe systems fabricated for example of niobium or niobium-nitride thin...... films; in the limit of ultrathin superconductor films it may give a model for describing fluxon motion in layered high-Tc superconductors. Numerical examples of current versus voltage curves to be expected in such an experiment are presented. Journal of Applied Physics is copyrighted by The American...

  4. Theory of bulk-surface coupling in topological insulator films

    Science.gov (United States)

    Saha, Kush; Garate, Ion

    2014-12-01

    We present a quantitative microscopic theory of the disorder- and phonon-induced coupling between surface and bulk states in doped topological insulator films. We find a simple mathematical structure for the surface-to-bulk scattering matrix elements and confirm the importance of bulk-surface coupling in transport and photoemission experiments, assessing its dependence on temperature, carrier density, film thickness, and particle-hole asymmetry.

  5. In-Ga-Zn-oxide thin-film transistors with Sb2TeOx gate insulators fabricated by reactive sputtering using a metallic Sb2Te target

    International Nuclear Information System (INIS)

    Cheong, Woo-Seok

    2011-01-01

    Using reactive sputtering, we made transparent amorphous Sb 2 TeO x thin films from a metallic Sb 2 Te target in an oxidizing atmosphere. In-Ga-Zn-oxide thin-film transistors (IGZO TFTs) with Sb 2 TeO x gate insulators deposited at room temperature showed a large hysteresis with a counter clockwise direction, which was caused by mobile charges in the gate insulators. The problems of the mobile charges was solved by using Sb 2 TeO x films formed at 250 .deg. C. After the IGZO TFT had been annealed at 200 .deg. C for 1 hour in an O 2 ambient, the mobility of the IGZO TFT was 22.41 cm 2 /Vs, and the drain current on-off ratio was ∼10 8 .

  6. Surface modification of polyimide gate insulators for solution-processed 2,7-didecyl[1]benzothieno[3,2-b][1]benzothiophene (C10-BTBT) thin-film transistors.

    Science.gov (United States)

    Jang, Kwang-Suk; Kim, Won Soo; Won, Jong-Myung; Kim, Yun-Ho; Myung, Sung; Ka, Jae-Won; Kim, Jinsoo; Ahn, Taek; Yi, Mi Hye

    2013-01-21

    The surface property of a polyimide gate insulator was successfully modified with an n-octadecyl side-chain. Alkyl chain-grafted poly(amic acid), the polyimide precursor, was synthesized using the diamine comonomer with an alkyl side-chain. By adding a base catalyst to the poly(amic acid) coating solution, the imidization temperature of the spin-coated film could be reduced to 200 °C. The 350 nm-thick polyimide film had a dielectric constant of 3.3 at 10 kHz and a leakage current density of less than 8.7 × 10(-10) A cm(-2), while biased from 0 to 100 V. To investigate the potential of the alkyl chain-grafted polyimide film as a gate insulator for solution-processed organic thin-film transistors (TFTs), we fabricated C(10)-BTBT TFTs. C(10)-BTBT was deposited on the alkyl chain-grafted polyimide gate insulator by spin-coating, forming a well-ordered crystal structure. The field-effect mobility and the on/off current ratio of the TFT device were measured to be 0.20-0.56 cm(2) V(-1) s(-1) and >10(5), respectively.

  7. Modification and structuring of conducting polymer films on insulating substrates by ion beam treatment

    International Nuclear Information System (INIS)

    Asmus, T.; Wolf, Gerhard K.

    2000-01-01

    Besides the commonly used procedures of UV-, X-ray and electron beam lithography, surface structuring by ion beam processes represents an alternative route to receive patterns in the nanometre-micrometre scale. In this work we focused on changes of surface properties of the polymer materials induced by ion irradiation and on reproducing hexagonal and square patterns in the micrometre scale. To achieve a better understanding of modification and structuring of insulating and conducting polymers by ion beam treatment we investigated effects of 14 keV Ar + bombardment on thin films of doped conducting polyethoxithiophene (PEOT) and polyethylenedioxithiophene (PEDT) on polyethersulfone (PES) as insulating substrate within the fluence range from 10 14 to 10 17 ions/cm 2 . Changes of surface properties like wettability, solubility, topology and electrochemical behaviour have been studied by contact angle technique, AFM/LFM, cyclovoltammetry and electrochemical microelectrode. By irradiation through copper masks structured patterns were achieved. These patterns can be converted by galvanic or electroless copper deposition in structured metal layers

  8. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    Science.gov (United States)

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  9. Sharpness and intensity modulation of the metal-insulator transition in ultrathin VO2 films by interfacial structure manipulation

    Science.gov (United States)

    McGee, Ryan; Goswami, Ankur; Pal, Soupitak; Schofield, Kalvin; Bukhari, Syed Asad Manzoor; Thundat, Thomas

    2018-03-01

    Vanadium dioxide (VO2) undergoes a structural transformation from monoclinic (insulator) to tetragonal (metallic) upon heating above 340 K, accompanied by abrupt changes to its electronic, optical, and mechanical properties. Not only is this transition scientifically intriguing, but there are also numerous applications in sensing, memory, and optoelectronics. Here we investigate the effect different substrates and the processing conditions have on the characteristics metal-insulator transition (MIT), and how the properties can be tuned for specific applications. VO2 thin films were grown on c -plane sapphire (0001) and p-type silicon by pulsed laser deposition. High-resolution x-ray diffraction along with transmission electron microscopy reveals textured epitaxial growth on sapphire by domain-matching epitaxy, while the presence of a native oxide layer on silicon prevented any preferential growth resulting in a polycrystalline film. An orientation relationship of (010)VO2|| (0001)Al 2O3 was established for VO2 grown on sapphire, while no such relationship was found for VO2 grown on silicon. Surface-energy minimization is the driving force behind grain growth, as the lowest energy VO2 plane grew on silicon, while on sapphire the desire for epitaxial growth was dominant. Polycrystallinity of films grown on silicon caused a weaker and less prominent MIT than observed on sapphire, whose MIT was higher in magnitude and steeper in slope. The position of the MIT was shown to depend on the competing effects of misfit strain and grain growth. Higher deposition temperatures caused an increase in the MIT, while compressive strain resulted in a decreased MIT.

  10. Magnetic-field induced semimetal in topological crystalline insulator thin films

    International Nuclear Information System (INIS)

    Ezawa, Motohiko

    2015-01-01

    We investigate electromagnetic properties of a topological crystalline insulator (TCI) thin film under external electromagnetic fields. The TCI thin film is a topological insulator indexed by the mirror-Chern number. It is demonstrated that the gap closes together with the emergence of a pair of gapless cones carrying opposite chirarities by applying in-plane magnetic field. A pair of gapless points have opposite vortex numbers. This is a reminiscence of a pair of Weyl cones in 3D Weyl semimetal. We thus present an a magnetic-field induced semimetal–semiconductor transition in 2D material. This is a giant-magnetoresistance, where resistivity is controlled by magnetic field. Perpendicular electric field is found to shift the gapless points and also renormalize the Fermi velocity in the direction of the in-plane magnetic field. - Highlights: • The band structure of topological crystalline insulator thin films can be controlled by applying in-plane magnetic field. • At the gap closing magnetic field, a pair of gapless cones carrying opposite chirarities emerge. • A pair of gapless points have opposite vortex numbers. • This is a reminiscence of a pair of Weyl cones in 3D Weyl semimetal. • A magnetic-field induced semimetal–semiconductor transition occurs in 2D material

  11. Magnetic-field induced semimetal in topological crystalline insulator thin films

    Energy Technology Data Exchange (ETDEWEB)

    Ezawa, Motohiko, E-mail: ezawa@ap.t.u-tokyo.ac.jp

    2015-06-19

    We investigate electromagnetic properties of a topological crystalline insulator (TCI) thin film under external electromagnetic fields. The TCI thin film is a topological insulator indexed by the mirror-Chern number. It is demonstrated that the gap closes together with the emergence of a pair of gapless cones carrying opposite chirarities by applying in-plane magnetic field. A pair of gapless points have opposite vortex numbers. This is a reminiscence of a pair of Weyl cones in 3D Weyl semimetal. We thus present an a magnetic-field induced semimetal–semiconductor transition in 2D material. This is a giant-magnetoresistance, where resistivity is controlled by magnetic field. Perpendicular electric field is found to shift the gapless points and also renormalize the Fermi velocity in the direction of the in-plane magnetic field. - Highlights: • The band structure of topological crystalline insulator thin films can be controlled by applying in-plane magnetic field. • At the gap closing magnetic field, a pair of gapless cones carrying opposite chirarities emerge. • A pair of gapless points have opposite vortex numbers. • This is a reminiscence of a pair of Weyl cones in 3D Weyl semimetal. • A magnetic-field induced semimetal–semiconductor transition occurs in 2D material.

  12. Formation and dielectric properties of polyelectrolyte multilayers studied by a silicon-on-insulator based thin film resistor.

    Science.gov (United States)

    Neff, Petra A; Wunderlich, Bernhard K; Klitzing, Regine V; Bausch, Andreas R

    2007-03-27

    The formation of polyelectrolyte multilayers (PEMs) is investigated using a silicon-on-insulator based thin film resistor which is sensitive to variations of the surface potential. The buildup of the PEMs at the silicon oxide surface of the device can be observed in real time as defined potential shifts. The influence of polymer charge density is studied using the strong polyanion poly(styrene sulfonate), PSS, combined with the statistical copolymer poly(diallyl-dimethyl-ammoniumchloride-stat-N-methyl-N-vinylacetamide), P(DADMAC-stat-NMVA), at various degrees of charge (DC). The multilayer formation stops after a few deposition steps for a DC below 75%. We show that the threshold of surface charge compensation corresponds to the threshold of multilayer formation. However, no reversion of the preceding surface charge was observed. Screening of polyelectrolyte charges by mobile ions within the polymer film leads to a decrease of the potential shifts with the number of layers deposited. This decrease is much slower for PEMs consisting of P(DADMAC-stat-NMVA) and PSS as compared to PEMs consisting of poly(allylamine-hydrochloride), PAH, and PSS. From this, significant differences in the dielectric constants of the polyelectrolyte films and in the concentration of mobile ions within the films can be derived.

  13. Synthesis of ultrathin polymer insulating layers by initiated chemical vapour deposition for low-power soft electronics.

    Science.gov (United States)

    Moon, Hanul; Seong, Hyejeong; Shin, Woo Cheol; Park, Won-Tae; Kim, Mincheol; Lee, Seungwon; Bong, Jae Hoon; Noh, Yong-Young; Cho, Byung Jin; Yoo, Seunghyup; Im, Sung Gap

    2015-06-01

    Insulating layers based on oxides and nitrides provide high capacitance, low leakage, high breakdown field and resistance to electrical stresses when used in electronic devices based on rigid substrates. However, their typically high process temperatures and brittleness make it difficult to achieve similar performance in flexible or organic electronics. Here, we show that poly(1,3,5-trimethyl-1,3,5-trivinyl cyclotrisiloxane) (pV3D3) prepared via a one-step, solvent-free technique called initiated chemical vapour deposition (iCVD) is a versatile polymeric insulating layer that meets a wide range of requirements for next-generation electronic devices. Highly uniform and pure ultrathin films of pV3D3 with excellent insulating properties, a large energy gap (>8 eV), tunnelling-limited leakage characteristics and resistance to a tensile strain of up to 4% are demonstrated. The low process temperature, surface-growth character, and solvent-free nature of the iCVD process enable pV3D3 to be grown conformally on plastic substrates to yield flexible field-effect transistors as well as on a variety of channel layers, including organics, oxides, and graphene.

  14. Unusual metal-insulator transition in disordered ferromagnetic films

    International Nuclear Information System (INIS)

    Muttalib, K.A.; Wölfle, P.; Misra, R.; Hebard, A.F.

    2012-01-01

    We present a theoretical interpretation of recent data on the conductance near and farther away from the metal-insulator transition in thin ferromagnetic Gd films of thickness b≈2-10 nm. For increasing sheet resistances a dimensional crossover takes place from d=2 to d=3 dimensions, since the large phase relaxation rate caused by scattering of quasiparticles off spin wave excitations renders the dephasing length L φ ≲b at strong disorder. The conductivity data in the various regimes obey fractional power-law or logarithmic temperature dependence. One observes weak localization and interaction induced corrections at weaker disorder. At strong disorder, near the metal-insulator transition, the data show scaling and collapse onto two scaling curves for the metallic and insulating regimes. We interpret this unusual behavior as proof of two distinctly different correlation length exponents on both sides of the transition.

  15. Chemical vapour deposition of thin-film dielectrics

    International Nuclear Information System (INIS)

    Vasilev, Vladislav Yu; Repinsky, Sergei M

    2005-01-01

    Data on the chemical vapour deposition of thin-film dielectrics based on silicon nitride, silicon oxynitride and silicon dioxide and on phosphorus- and boron-containing silicate glasses are generalised. The equipment and layer deposition procedures are described. Attention is focussed on the analysis and discussion of the deposition kinetics and on the kinetic models for film growth. The film growth processes are characterised and data on the key physicochemical properties of thin-film covalent dielectric materials are given.

  16. Nanostructured hydrophobic DC sputtered inorganic oxide coating for outdoor glass insulators

    Energy Technology Data Exchange (ETDEWEB)

    Dave, V. [Department of Electrical Engineering, Indian Institute of Technology Roorkee, Roorkee 247667 (India); Institute Instrumentation Centre, Indian Institute of Technology, Roorkee, Roorkee 247667 (India); Gupta, H.O. [Department of Electrical Engineering, Indian Institute of Technology Roorkee, Roorkee 247667 (India); Chandra, R., E-mail: ramesfic@gmail.com [Institute Instrumentation Centre, Indian Institute of Technology, Roorkee, Roorkee 247667 (India)

    2014-03-01

    Graphical abstract: - Highlights: • Deposition of contamination on outdoor glass insulators and its physical and economical consequences were discussed. • Synthesis of nanostructured hydrophobic HfO{sub 2} film on glass as a remedial measure by varying DC sputtering power. • Investigated and correlated structural, optical, electrical and hydrophobic properties of HfO{sub 2} films with respect to power. • Optimum results were obtained at a 50 W DC sputtering power. - Abstract: We report the structural, optical and electrical properties of nanostructured hydrophobic inorganic hafnium oxide coating for outdoor glass insulator using DC sputtering technique to combat contamination problem. The properties were studied as a function of DC power. The characterization of the films was done using X-ray diffraction, EDS, surface profilometer, AFM, impedance analyser and water contact angle measurement system. The DC power was varied from 30 to 60 W and found to have a great impact on the properties of hafnium oxide. All the deposited samples were polycrystalline with nanostructured hydrophobic surfaces. The intensity of crystallinity of the film was found to be dependent on sputtering power and hydrophobicity was correlated to the nanoscale roughness of the films. The optical property reveals 80% average transmission for all the samples. The refractive index was found in the range of 1.85–1.92, near to the bulk value. The band gap calculated from transmission data was >5.3 eV for all deposited samples ensuring dielectric nature of the films. Surface energy calculated by two methods was found minimum for the film deposited at 50 W sputtering power. The resistivity was also high enough (∼10{sup 4} Ω cm) to hinder the flow of leakage current through the film. The dielectric constant (ε) was found to be thickness dependent and also high enough (ε{sub max} = 23.12) to bear the large electric field of outdoor insulators.

  17. High performance organic field-effect transistors with ultra-thin HfO2 gate insulator deposited directly onto the organic semiconductor

    International Nuclear Information System (INIS)

    Ono, S.; Häusermann, R.; Chiba, D.; Shimamura, K.; Ono, T.; Batlogg, B.

    2014-01-01

    We have produced stable organic field-effect transistors (OFETs) with an ultra-thin HfO 2 gate insulator deposited directly on top of rubrene single crystals by atomic layer deposition (ALD). We find that ALD is a gentle deposition process to grow thin films without damaging rubrene single crystals, as results these devices have a negligibly small threshold voltage and are very stable against gate-bias-stress, and the mobility exceeds 1 cm 2 /V s. Moreover, the devices show very little degradation even when kept in air for more than 2 months. These results demonstrate thin HfO 2 layers deposited by ALD to be well suited as high capacitance gate dielectrics in OFETs operating at small gate voltage. In addition, the dielectric layer acts as an effective passivation layer to protect the organic semiconductor

  18. Electronic reconstruction at the interface between the Mott insulator LaVO{sub 3} and the band insulator SrTiO{sub 3}

    Energy Technology Data Exchange (ETDEWEB)

    Stuebinger, Martin; Gabel, Judith; Gagel, Philipp; Sing, Michael; Claessen, Ralph [Universitaet Wuerzburg, Physikalisches Institut and Roentgen Center for Complex Material Systems (RCCM), 97074 Wuerzburg (Germany)

    2016-07-01

    Akin to the well known oxide heterostructure LaAlO{sub 3}/SrTiO{sub 3} (LAO/STO) the formation of a conducting interface is found between the strongly correlated, polar Mott insulator LaV{sup 3+}O{sub 3} (LVO) and the non-polar band insulator STO. Since LaV{sup 3+}O{sub 3} tends to overoxidize to the thermodynamically more favourable LaV{sup 5+}O{sub 4} phase when exposed to air, a suitable passivation is required. Therefore, we have employed pulsed laser deposition thin film growth of LVO films with a crystalline LAO capping layer. In situ photoemission measurements of samples before and after being exposed to air show that the V oxidation state can indeed be stabilized by the LAO capping layer. By transport measurements, we identify an insulator-to-metal transition at a combined LAO/LVO overlayer thickness of 4 to 5 unit cells. With LVO being a Mott insulator, passivation by the LAO capping opens the opportunity to study a band-filling controlled Mott insulator to metal transition induced by a purely electrostatic mechanism without interfering overoxidation of the LVO film.

  19. Stabilization of stoichiometric LaTiO{sub 3} thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schmitt, Matthias; Scheiderer, Philipp; Goessmann, Alex; Sing, Michael; Claessen, Ralph [Universitaet Wuerzburg, Physikalisches Institut and Roentgen Center for Complex Material Systems (RCCM), 97074 Wuerzburg (Germany)

    2016-07-01

    Like in the famous oxide heterostructure LaAlO{sub 3}/SrTiO{sub 3} (LAO/STO) a two dimensional electron system is found at the interface between the strongly correlated Mott insulator LaTi{sup 3+}O{sub 3} and the band insulator STO. In contrast to LAO, the stabilization of LaTi{sup 3+}O{sub 3} requires strong reducing growth conditions since the thermodynamically stable bulk phase is the oxygen-rich La{sub 2}Ti{sup 4+}{sub 2}O{sub 7}. Therefore, we have systematically studied the impact of oxidizing and reducing background atmospheres and the influence of the substrate on LaTi{sup 3+}O{sub 3} thin film growth by pulsed laser deposition. In situ x-ray photoelectron spectroscopy of the films prepared on STO exhibit overoxidation probably due to oxygen out-diffusion from the STO substrate, which is reduced for growth on DyScO{sub 3} due to the lower oxygen mobility. In addition, we found that a LAO capping layer of a few unit cells thickness acting like a diffusion barrier for oxygen prevents the LTO film from overoxidation during storage in air.

  20. Giant magneto-optical Kerr effect and universal Faraday effect in thin-film topological insulators.

    Science.gov (United States)

    Tse, Wang-Kong; MacDonald, A H

    2010-07-30

    Topological insulators can exhibit strong magneto-electric effects when their time-reversal symmetry is broken. In this Letter we consider the magneto-optical Kerr and Faraday effects of a topological insulator thin film weakly exchange coupled to a ferromagnet. We find that its Faraday rotation has a universal value at low frequencies θF=tan(-1)α, where α is the vacuum fine structure constant, and that it has a giant Kerr rotation θK=π/2. These properties follow from a delicate interplay between thin-film cavity confinement and the surface Hall conductivity of a topological insulator's helical quasiparticles.

  1. Effects of deposition time in chemically deposited ZnS films in acidic solution

    Energy Technology Data Exchange (ETDEWEB)

    Haddad, H.; Chelouche, A., E-mail: azeddinechelouche@gmail.com; Talantikite, D.; Merzouk, H.; Boudjouan, F.; Djouadi, D.

    2015-08-31

    We report an experimental study on the synthesis and characterization of zinc sulfide (ZnS) single layer thin films deposited on glass substrates by chemical bath deposition technique in acidic solution. The effect of deposition time on the microstructure, surface morphology, optical absorption, transmittance, and photoluminescence (PL) was investigated by X-ray diffraction (XRD), scanning electronic microscopy (SEM), UV-Vis–NIR spectrophotometry and photoluminescence (PL) spectroscopy. The results showed that the samples exhibit wurtzite structure and their crystal quality is improved by increasing deposition time. The latter, was found to affect the morphology of the thin films as showed by SEM micrographs. The optical measurements revealed a high transparency in the visible range and a dependence of absorption edge and band gap on deposition time. The room temperature PL spectra indicated that all ZnS grown thin films emit a UV and blue light, while the band intensities are found to be dependent on deposition times. - Highlights: • Single layer ZnS thin films were deposited by CBD in acidic solution at 95 °C. • The effect of deposition time was investigated. • Coexistence of ZnS and ZnO hexagonal structures for time deposition below 2 h • Thicker ZnS films were achieved after monolayer deposition for 5 h. • The highest UV-blue emission observed in thin film deposited at 5 h.

  2. Fabrication of amorphous InGaZnO thin-film transistor with solution processed SrZrO3 gate insulator

    Science.gov (United States)

    Takahashi, Takanori; Oikawa, Kento; Hoga, Takeshi; Uraoka, Yukiharu; Uchiyama, Kiyoshi

    2017-10-01

    In this paper, we describe a method of fabrication of thin film transistors (TFTs) with high dielectric constant (high-k) gate insulator by a solution deposition. We chose a solution processed SrZrO3 as a gate insulator material, which possesses a high dielectric constant of 21 with smooth surface. The IGZO-TFT with solution processed SrZrO3 showed good switching property and enough saturation features, i.e. field effect mobility of 1.7cm2/Vs, threshold voltage of 4.8V, sub-threshold swing of 147mV/decade, and on/off ratio of 2.3×107. Comparing to the TFTs with conventional SiO2 gate insulator, the sub-threshold swing was improved by smooth surface and high field effect due to the high dielectric constant of SrZrO3. These results clearly showed that use of solution processed high-k SrZrO3 gate insulator could improve sub-threshold swing. In addition, the residual carbon originated from organic precursors makes TFT performances degraded.

  3. Measurement of full-field deformation induced by a dc electrical field in organic insulator films

    Directory of Open Access Journals (Sweden)

    Boudou L.

    2010-06-01

    Full Text Available Digital image correlation method (DIC using the correlation coefficient curve-fitting for full-field surface deformation measurements of organic insulator films is investigated in this work. First the validation of the technique was undertaken. The computer-generated speckle images and the measurement of coefficient of thermal expansion (CTE of aluminium are used to evaluate the measurement accuracy of the technique. In a second part the technique is applied to measure the mechanical deformation induced by electrical field application to organic insulators. For that Poly(ethylene naphthalene 2,6-dicarboxylate (PEN thin films were subjected to DC voltage stress and DIC provides the full-field induced deformations of the test films. The obtained results show that the DIC is a practical and robust tool for better comprehension of mechanical behaviour of the organic insulator films under electrical stress.

  4. Optical thin film deposition

    International Nuclear Information System (INIS)

    Macleod, H.A.

    1979-01-01

    The potential usefulness in the production of optical thin-film coatings of some of the processes for thin film deposition which can be classified under the heading of ion-assisted techniques is examined. Thermal evaporation is the process which is virtually universally used for this purpose and which has been developed to a stage where performance is in almost all respects high. Areas where further improvements would be of value, and the possibility that ion-assisted deposition might lead to such improvements, are discussed. (author)

  5. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  6. Ion beam deposited epitaxial thin silicon films

    International Nuclear Information System (INIS)

    Orrman-Rossiter, K.G.; Al-Bayati, A.H.; Armour, D.G.; Donnelly, S.E.; Berg, J.A. van den

    1991-01-01

    Deposition of thin films using low energy, mass-separated ion beams is a potentially important low temperature method of producing epitaxial layers. In these experiments silicon films were grown on Si (001) substrates using 10-200 eV 28 Si + and 30 Si + ions at substrate temperatures in the range 273-1073 K, under ultrahigh-vacuum conditions (deposition pressure -7 Pa). The film crystallinity was assessed in situ using medium energy ion scattering (MEIS). Films of crystallinity comparable to bulk samples were grown using 10-40 eV 28 Si + and 30 Si + ions at deposition temperatures in the range 623-823 K. These experiments confirmed the role of key experimental parameters such as ion energy, substrate temperature during deposition, and the surface treatment prior to deposition. It was found that a high temperature in situ anneal (1350-1450 K) gave the best results for epitaxial nucleation, whereas low energy (20-40 eV) Cl + ion bombardment resulted in amorphous film growth. The deposition energy for good epitaxial growth indicates that it is necessary to provide enough energy to induce local mobility but not to cause atomic displacements leading to the buildup of stable defects, e.g. divacancies, below the surface layer of the growing film. (orig.)

  7. Direct current magnetron sputter-deposited ZnO thin films

    International Nuclear Information System (INIS)

    Hoon, Jian-Wei; Chan, Kah-Yoong; Krishnasamy, Jegenathan; Tou, Teck-Yong; Knipp, Dietmar

    2011-01-01

    Zinc oxide (ZnO) is a very promising electronic material for emerging transparent large-area electronic applications including thin-film sensors, transistors and solar cells. We fabricated ZnO thin films by employing direct current (DC) magnetron sputtering deposition technique. ZnO films with different thicknesses ranging from 150 nm to 750 nm were deposited on glass substrates. The deposition pressure and the substrate temperature were varied from 12 mTorr to 25 mTorr, and from room temperature to 450 deg. C, respectively. The influence of the film thickness, deposition pressure and the substrate temperature on structural and optical properties of the ZnO films was investigated using atomic force microscopy (AFM) and ultraviolet-visible (UV-Vis) spectrometer. The experimental results reveal that the film thickness, deposition pressure and the substrate temperature play significant role in the structural formation and the optical properties of the deposited ZnO thin films.

  8. Distribution of Energy Deposited in Plastic Tubing and Copper-Wire Insulation by Electron Beam Irradiation

    DEFF Research Database (Denmark)

    Pedersen, Walther Batsberg; Miller, Arne; Pejtersen, K.

    1978-01-01

    chloride insulation. Radiochromic dye films equivalent to the insulating materials were used as accurate dosimeters having a response independent of dose rate. Irradiations were in various geometries, wire and plastic thicknesses, positions along the beam scan, and with different backing materials near...

  9. Edge states and integer quantum Hall effect in topological insulator thin films.

    Science.gov (United States)

    Zhang, Song-Bo; Lu, Hai-Zhou; Shen, Shun-Qing

    2015-08-25

    The integer quantum Hall effect is a topological state of quantum matter in two dimensions, and has recently been observed in three-dimensional topological insulator thin films. Here we study the Landau levels and edge states of surface Dirac fermions in topological insulators under strong magnetic field. We examine the formation of the quantum plateaux of the Hall conductance and find two different patterns, in one pattern the filling number covers all integers while only odd integers in the other. We focus on the quantum plateau closest to zero energy and demonstrate the breakdown of the quantum spin Hall effect resulting from structure inversion asymmetry. The phase diagrams of the quantum Hall states are presented as functions of magnetic field, gate voltage and chemical potential. This work establishes an intuitive picture of the edge states to understand the integer quantum Hall effect for Dirac electrons in topological insulator thin films.

  10. Superconductor-Metal-Insulator transition in two dimensional Ta thin Films

    Science.gov (United States)

    Park, Sun-Gyu; Kim, Eunseong

    2013-03-01

    Superconductor-insulator transition has been induced by tuning film thickness or magnetic field. Recent electrical transport measurements of MoGe, Bi, Ta thin films revealed an interesting intermediate metallic phase which intervened superconducting and insulating phases at certain range of magnetic field. Especially, Ta thin films show the characteristic IV behavior at each phase and the disorder tuned intermediate metallic phase [Y. Li, C. L. Vicente, and J. Yoon, Physical Review B 81, 020505 (2010)]. This unexpected metallic phase can be interpreted as a consequence of vortex motion or contribution of fermionic quasiparticles. In this presentation, we report the scaling behavior during the transitions in Ta thin film as well as the transport measurements in various phases. Critical exponents v and z are obtained in samples with wide ranges of disorder. These results reveal new universality class appears when disorder exceeds a critical value. Dynamical exponent z of Superconducting sample is found to be 1, which is consistent with theoretical prediction of unity. z in a metallic sample is suddenly increased to be approximately 2.5. This critical exponent is much larger than the value found in other system and theoretical prediction. We gratefully acknowledge the financial support by the National Research Foundation of Korea through the Creative Research Initiatives.

  11. Effects of ultraviolet irradiation treatment on low-k SiOC(-H) ultra-thin films deposited by using TMS/O2 PEALD

    International Nuclear Information System (INIS)

    Kim, Changyoung; Woo, Jongkwan; Choi, Chikyu; Navamathavan, R.

    2012-01-01

    We report on the electrical characteristics for the metal-insulator-semiconductor (MIS) structure of low-dielectric-constant SiOC(-H) films. The SiOC(-H) thin films were deposited on p-Si(100) substrates by using a plasma-enhanced atomic layer deposition (PEALD) system. To improve the structural and the electrical characteristics, we post-treated the SiOC(-H) films deposited using PEALD with ultraviolet (UV) irradiation for various time intervals. The radical intensities in the bulk plasma were observed to be influenced strongly by the radio-frequency (rf) power. A complete dissociation of the trimethylsilane (TMS) precursor took place for rf powers greater than 300 W. As the UV treatment time was increased, the bonding structure of the SiOC(-H) film clearly separated to Si-O-Si and Si-O-C bonds. Also, the fixed charge density and the interface state density on the SiOC(-H)/p-Si(100) interface decreased as the UV treatment time was increased to 6 min. Therefore, we were able to minimize the defects and to reduce the interface charge by adjusting the UV dose.

  12. UV light induced insulator-metal transition in ultra-thin ZnO/TiO{sub x} stacked layer grown by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Saha, D., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Misra, P., E-mail: sahaphys@gmail.com, E-mail: pmisra@rrcat.gov.in; Joshi, M. P.; Kukreja, L. M. [Laser Materials Processing Division, Raja Ramanna Centre for Advanced Technology, Indore 452 013 (India)

    2016-08-28

    In the present study, atomic layer deposition has been used to grow a series of Ti incorporated ZnO thin films by vertically stacking different numbers (n = 1–7) of ZnO/TiO{sub x} layers on (0001) sapphire substrates. The effects of defect states mediated chemisorption of O{sub 2} and/OH groups on the electrical properties of these films have been investigated by illuminating the samples under UV light inside a high vacuum optical cryostat. The ultra-thin film having one stacked layer (n = 1) did not show any change in its electrical resistance upon UV light exposure. On the contrary, marginal drop in the electrical resistivity was measured for the samples with n ≥ 3. Most surprisingly, the sample with n = 2 (thickness ∼ 12 nm) showed an insulator to metal transition upon UV light exposure. The temperature dependent electrical resistivity measurement on the as grown film (n = 2) showed insulating behaviour, i.e., diverging resistivity on extrapolation to T→ 0 K. However, upon UV light exposure, it transformed to a metallic state, i.e., finite resistivity at T → 0 K. Such an insulator-metal transition plausibly arises due to the de-trapping of conduction electrons from the surface defect sites which resulted in an upward shift of the Fermi level above the mobility edge. The low-temperature electron transport properties on the insulating film (n = 2) were investigated by a combined study of zero field electrical resistivity ρ(T) and magnetoresistance (MR) measurements. The observed negative MR was found to be in good agreement with the magnetic field induced suppression of quantum interference between forward-going paths of tunnelling electrons. Both ρ(T) and MR measurements provided strong evidence for the Efros-Shklovskii type variable range hopping conduction in the low-temperature (≤40 K) regime. Such studies on electron transport in ultra-thin n-type doped ZnO films are crucial to achieve optimum functionality

  13. Effects of deposition period on the chemical bath deposited Cu4SnS4 thin films

    International Nuclear Information System (INIS)

    Kassim, Anuar; Wee Tee, Tan; Soon Min, Ho.; Nagalingam, Saravanan

    2010-01-01

    Cu 4 SnS 4 thin films were prepared by simple chemical bath deposition technique. The influence of deposition period on the structural, morphological and optical properties of films was studied. The films were characterized using X-ray diffraction, atomic force microscopy and UV-Vis Spectrophotometer. X-ray diffraction patterns indicated that the films were polycrystalline with prominent peak attributed to (221) plane of orthorhombic crystal structure. The films prepared at 80 min showed significant increased in the intensity of all diffractions. According to AFM images, these films indicated that the surface of substrate was covered completely. The obtained films also produced higher absorption characteristics when compared to the films prepared at other deposition periods based on optical absorption studies. The band gap values of films deposited at different deposition periods were in the range of 1.6-2.1 eV. Deposition for 80 min was found to be the optimum condition to produce good quality thin films under the current conditions. (author).

  14. Chromium carbide thin films deposited by ultra-short pulse laser deposition

    International Nuclear Information System (INIS)

    Teghil, R.; Santagata, A.; De Bonis, A.; Galasso, A.; Villani, P.

    2009-01-01

    Pulsed laser deposition performed by a laser with a pulse duration of 250 fs has been used to deposit films from a Cr 3 C 2 target. Due to the different processes involved in the laser ablation when it is performed by an ultra-short pulse source instead of a conventional short pulse one, it has been possible to obtain in vacuum films containing only one type of carbide, Cr 3 C 2 , as shown by X-ray photoelectron spectroscopy. On the other hand, Cr 3 C 2 is not the only component of the films, since a large amount of amorphous carbon is also present. The films, deposited at room temperature, are amorphous and seem to be formed by the coalescence of a large number of particles with nanometric size. The film composition can be explained in terms of thermal evaporation from particles ejected from the target.

  15. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  16. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    Science.gov (United States)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  17. Triboelectric charge generation by semiconducting SnO2 film grown by atomic layer deposition

    Science.gov (United States)

    Lee, No Ho; Yoon, Seong Yu; Kim, Dong Ha; Kim, Seong Keun; Choi, Byung Joon

    2017-07-01

    Improving the energy harvesting efficiency of triboelectric generators (TEGs) requires exploring new types of materials that can be used, and understanding their properties. In this study, we have investigated semiconducting SnO2 thin films as friction layers in TEGs, which has not been explored thus far. Thin films of SnO2 with various thicknesses were grown by atomic layer deposition on Si substrates. Either polymer or glass was used as counter friction layers. Vertical contact/separation mode was utilized to evaluate the TEG efficiency. The results indicate that an increase in the SnO2 film thickness from 5 to 25 nm enhances the triboelectric output voltage of the TEG. Insertion of a 400-nm-thick Pt sub-layer between the SnO2 film and Si substrate further increased the output voltage up to 120 V in a 2 cm × 2 cm contact area, while the enhancement was cancelled out by inserting a 10-nm-thick insulating Al2O3 film between SnO2 and Pt films. These results indicate that n-type semiconducting SnO2 films can provide triboelectric charge to counter-friction layers in TEGs.[Figure not available: see fulltext.

  18. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    Science.gov (United States)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  19. Influence of ion bombardment on structural and electrical properties of SiO2 thin films deposited from O2/HMDSO inductively coupled plasmas under continuous wave and pulsed modes

    International Nuclear Information System (INIS)

    Bousquet, A.; Goullet, A.; Leteinturier, C.; Granier, A.; Coulon, N.

    2008-01-01

    Low pressure Plasma Enhanced Chemical Vapour Deposition is commonly used to deposit insulators on temperature sensitive substrates. In these processes, the ion bombardment experienced by films during its growth is known to have benefits but also some disadvantages on material properties. In the present paper, we investigate the influence of this bombardment on the structure and the electrical properties of SiO 2 -like film deposited from oxygen/hexa-methyl-di-siloxane radiofrequency plasma in continuous and pulsed modes. First, we studied the ion kinetics thanks to time-resolved measurements by Langmuir probe. After, we showed the ion bombardment in such plasma controls the OH bond content in deposited films. Finally, we highlight the impressive reduction of fixed charge and interface state densities in films obtained in pulsed mode due to a lower ion bombardment. (authors)

  20. A flexible Li-ion battery with design towards electrodes electrical insulation

    Science.gov (United States)

    Vieira, E. M. F.; Ribeiro, J. F.; Sousa, R.; Correia, J. H.; Goncalves, L. M.

    2016-08-01

    The application of micro electromechanical systems (MEMS) technology in several consumer electronics leads to the development of micro/nano power sources with high power and MEMS integration possibility. This work presents the fabrication of a flexible solid-state Li-ion battery (LIB) (~2.1 μm thick) with a design towards electrodes electrical insulation, using conventional, low cost and compatible MEMS fabrication processes. Kapton® substrate provides flexibility to the battery. E-beam deposited 300 nm thick Ge anode was coupled with LiCoO2/LiPON (cathode/solid-state electrolyte) in a battery system. LiCoO2 and LiPON films were deposited by RF-sputtering with a power source of 120 W and 100 W, respectively. LiCoO2 film was annealed at 400 °C after deposition. The new design includes Si3N4 and LiPO thin-films, providing electrode electrical insulation and a battery chemical stability safeguard, respectively. Microstructure and battery performance were investigated by scanning electron microscopy, electric resistivity and electrochemical measurements (open circuit potential, charge/discharge cycles and electrochemical impedance spectroscopy). A rechargeable thin-film and lightweight flexible LIB using MEMS processing compatible materials and techniques is reported.

  1. Chromium-induced ferromagnetism with perpendicular anisotropy in topological crystalline insulator SnTe (111) thin films

    Science.gov (United States)

    Wang, Fei; Zhang, Hongrui; Jiang, Jue; Zhao, Yi-Fan; Yu, Jia; Liu, Wei; Li, Da; Chan, Moses H. W.; Sun, Jirong; Zhang, Zhidong; Chang, Cui-Zu

    2018-03-01

    Topological crystalline insulator is a recently discovered topological phase of matter. It possesses multiple Dirac surface states, which are protected by the crystal symmetry. This is in contrast to the time-reversal symmetry that is operative in the well-known topological insulators. In the presence of a Zeeman field and/or strain, the multiple Dirac surface states are gapped. The high-Chern-number quantum anomalous Hall (QAH) state is predicted to emerge if the chemical potential resides in all the Zeeman gaps. Here, we use molecular-beam epitaxy to grow 12 double-layer (DL) pure and Cr-doped SnTe (111) thin film on heat-treated SrTi O3 (111) substrate using a quintuple layer of insulating (Bi0.2Sb0.8 ) 2T e3 topological insulator as a buffer film. The Hall traces of Cr-doped SnTe film at low temperatures display square hysteresis loops indicating long-range ferromagnetic order with perpendicular anisotropy. The Curie temperature of the 12 DL S n0.9C r0.1Te film is ˜110 K. Due to the chemical potential crossing the bulk valence bands, the anomalous Hall resistance of 12 DL S n0.9C r0.1Te film is substantially lower than the predicted quantized value (˜1 /4 h /e2 ). It is possible that with systematic tuning the chemical potential via chemical doping and electrical gating, the high-Chern-number QAH state can be realized in the Cr-doped SnTe (111) thin film.

  2. Effects of different needles and substrates on CuInS{sub 2} deposited by electrostatic spray deposition

    Energy Technology Data Exchange (ETDEWEB)

    Roncallo, S. [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Painter, J.D., E-mail: j.d.painter@cranfield.ac.u [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Healy, M.J.F. [Centre for Materials Science and Engineering, Cranfield University, Shrivenham, Swindon, SN6 8LA (United Kingdom); Ritchie, S.A.; Finnis, M.V. [Department of Engineering Systems and Management, Cranfield University, Shrivenham, Swindon SN6 8LA (United Kingdom); Rogers, K.D. [Cranfield Health, Cranfield University, Cranfield, Bedfordshire, MK43 0AL (United Kingdom); Scragg, J.J. [University of Bath, Claverton Down, Bath, BA2 7AY (United Kingdom); Dale, P.J. [Laboratoire Photovoltaique, University of Luxembourg, 41 Rue du Brill, L-4422, Belvaux (Luxembourg); Zoppi, G. [Northumbria Photovoltaics Applications Centre, Northumbria, University, Newcastle upon Tyne NE1 8ST (United Kingdom)

    2011-03-31

    Copper indium disulphide (CuInS{sub 2}) thin films were deposited using the electrostatic spray deposition method. The effects of applied voltage and solution flow rate on the aerosol cone shape, film composition, surface morphology and current conversion were investigated. The effect of aluminium substrates and transparent fluorine doped tin oxide (SnO{sub 2}:F) coated glass substrates on the properties of as-deposited CuInS{sub 2} films were analysed. An oxidation process occurs during the deposition onto the metallic substrates which forms an insulating layer between the photoactive film and substrate. The effects of two different spray needles on the properties of the as-deposited films were also studied. The results reveal that the use of a stainless steel needle results in contamination of the film due to the transfer of metal impurities through the spray whilst this is not seen for the glass needle. The films were characterised using a number of different analytical techniques such as X-ray diffraction, scanning electron microscopy, Rutherford back-scattering and secondary ion mass spectroscopy and opto-electronic measurements.

  3. Ablation characteristics and reaction mechanism of insulation materials under slag deposition condition

    Science.gov (United States)

    Guan, Yiwen; Li, Jiang; Liu, Yang

    2017-07-01

    Current understanding of the physical and chemical processes involved in the ablation of insulation materials by highly aluminized solid propellants is limited. The study on the heat transfer and ablation principle of ethylene propylene diene monomer (EPDM) materials under slag deposition condition is essential for future design or modification of large solid rocket motors (SRMs) for launch application. In this paper, the alumina liquid flow pattern and the deposition principle in full-scale SRM engines are discussed. The interaction mechanism between the alumina droplets and the wall are analyzed. Then, an experimental method was developed to simulate the insulation material ablation under slag deposition condition. Experimental study was conducted based on a laboratory-scale device. Meanwhile, from the analysis of the cross-sectional morphology and chemical composition of the charring layer after ablation, the reaction mechanism of the charring layer under deposition condition was discussed, and the main reaction equation was derived. The numerical simulation and experimental results show the following. (i) The alumina droplet flow in the deposition section of the laboratory-scale device is similar to that of a full-scale SRM. (ii) The charring layer of the EPDM insulator displays a porous tight/loose structure under high-temperature slag deposition condition. (iii) A seven-step carbothermal reduction in the alumina is derived and established under high-pressure and high-temperature environment in the SRM combustion chamber. (iv) The analysis using thermodynamic software indicates that the reaction of the alumina and charring layer initially forms Al4C3 during the operation. Then, Al element and Al2OC compound are subsequently produced with the reduction in the release of gas CO as well with continuous environmental heating.

  4. Effects of reductive annealing on insulating polycrystalline thin films of Nb-doped anatase TiO2: recovery of high conductivity

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Hirose, Yasushi; Hasegawa, Tetsuya

    2016-01-01

    We studied the effects of reductive annealing on insulating polycrystalline thin films of anatase Nb-doped TiO 2 (TNO). The insulating TNO films were intentionally fabricated by annealing conductive TNO films in oxygen ambient at 400 °C. Reduced free carrier absorption in the insulating TNO films indicated carrier compensation due to excess oxygen. With H 2 -annealing, both carrier density and Hall mobility recovered to the level of conducting TNO, demonstrating that the excess oxygen can be efficiently removed by the annealing process without introducing additional scattering centers. (paper)

  5. Controllable deposition of gadolinium doped ceria electrolyte films by magnetic-field-assisted electrostatic spray deposition

    International Nuclear Information System (INIS)

    Ksapabutr, Bussarin; Chalermkiti, Tanapol; Wongkasemjit, Sujitra; Panapoy, Manop

    2013-01-01

    This paper describes a simple and low-temperature approach to fabrication of dense and crack-free gadolinium doped ceria (GDC) thin films with controllable deposition by a magnetic-field-assisted electrostatic spray deposition technique. The influences of external permanent magnets on the deposition of GDC films were investigated. The coating area deposited using two magnets with the same pole arrangement decreased in comparison with the case of no magnets, whereas the largest deposition area was obtained in the system of the opposite poles. Analysis of as-deposited films at 450 °C indicated the formation of uniform, smooth and dense thin films with a single-phase fluorite structure. The films produced in the system using same poles were thicker, smaller in crystallite size and smoother than those fabricated under other conditions. Additionally, the GDC film deposited using the same pole arrangement showed the maximum in electrical conductivity of about 2.5 × 10 −2 S/cm at a low operating temperature of 500 °C. - Highlights: • Magnetic-field-assisted electrostatic spray allows a controllable coating. • Dense, crack-free thin films were obtained at low process temperature of 450 °C. • Control of deposition, thickness and uniformity is easy to achieve simultaneously. • Films from the same pole were thicker, smaller in crystal size and smoother. • The maximum conductivity of doped ceria film was 2.5 × 10 −2 S/cm at 500 °C

  6. A 350 mK, 9 T scanning tunneling microscope for the study of superconducting thin films on insulating substrates and single crystals.

    Science.gov (United States)

    Kamlapure, Anand; Saraswat, Garima; Ganguli, Somesh Chandra; Bagwe, Vivas; Raychaudhuri, Pratap; Pai, Subash P

    2013-12-01

    We report the construction and performance of a low temperature, high field scanning tunneling microscope (STM) operating down to 350 mK and in magnetic fields up to 9 T, with thin film deposition and in situ single crystal cleaving capabilities. The main focus lies on the simple design of STM head and a sample holder design that allows us to get spectroscopic data on superconducting thin films grown in situ on insulating substrates. Other design details on sample transport, sample preparation chamber, and vibration isolation schemes are also described. We demonstrate the capability of our instrument through the atomic resolution imaging and spectroscopy on NbSe2 single crystal and spectroscopic maps obtained on homogeneously disordered NbN thin film.

  7. Nonvolatile memory thin-film transistors using biodegradable chicken albumen gate insulator and oxide semiconductor channel on eco-friendly paper substrate.

    Science.gov (United States)

    Kim, So-Jung; Jeon, Da-Bin; Park, Jung-Ho; Ryu, Min-Ki; Yang, Jong-Heon; Hwang, Chi-Sun; Kim, Gi-Heon; Yoon, Sung-Min

    2015-03-04

    Nonvolatile memory thin-film transistors (TFTs) fabricated on paper substrates were proposed as one of the eco-friendly electronic devices. The gate stack was composed of chicken albumen gate insulator and In-Ga-Zn-O semiconducting channel layers. All the fabrication processes were performed below 120 °C. To improve the process compatibility of the synthethic paper substrate, an Al2O3 thin film was introduced as adhesion and barrier layers by atomic layer deposition. The dielectric properties of biomaterial albumen gate insulator were also enhanced by the preparation of Al2O3 capping layer. The nonvolatile bistabilities were realized by the switching phenomena of residual polarization within the albumen thin film. The fabricated device exhibited a counterclockwise hysteresis with a memory window of 11.8 V, high on/off ratio of approximately 1.1 × 10(6), and high saturation mobility (μsat) of 11.5 cm(2)/(V s). Furthermore, these device characteristics were not markedly degraded even after the delamination and under the bending situration. When the curvature radius was set as 5.3 cm, the ION/IOFF ratio and μsat were obtained to be 5.9 × 10(6) and 7.9 cm(2)/(V s), respectively.

  8. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    Energy Technology Data Exchange (ETDEWEB)

    Deen, I. [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada); Zhitomirsky, I., E-mail: zhitom@mcmaster.ca [Department of Materials Science and Engineering, McMaster University, 1280 Main Street West, Hamilton, Ontario, Canada L8S 4L7 (Canada)

    2014-02-15

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties.

  9. Electrophoretic deposition of composite halloysite nanotube–hydroxyapatite–hyaluronic acid films

    International Nuclear Information System (INIS)

    Deen, I.; Zhitomirsky, I.

    2014-01-01

    Highlights: ► Composite halloysite nanotubes–hydroxyapatite–hyaluronic acid films were prepared. ► Electrophoretic deposition method was used for deposition. ► Natural hyaluronic acid was used as a dispersing, charging and film forming agent. ► Film composition and deposition yield can be varied. ► The films can be used for biomedical implants with controlled release of drugs. -- Abstract: Electrophoretic deposition method has been developed for the deposition of biocomposite films containing halloysite nanotubes (HNTs), hydroxyapatite (HA) and hyaluronic acid. The method is based on the use of natural hyaluronate biopolymer as a dispersing and charging agent for HNT and HA and film forming agent for the fabrication of the composite films. The deposition kinetics was studied by the quartz crystal microbalance method. The composite films were studied by X-ray diffraction, thermogravimetric analysis, differential thermal analysis and electron microscopy. The composite films are promising materials for the fabrication of biomedical implants with advanced functional properties

  10. Excimer Laser Deposition of PLZT Thin Films

    National Research Council Canada - National Science Library

    Petersen, GAry

    1991-01-01

    .... In order to integrate these devices into optical systems, the production of high quality thin films with high transparency and perovskite crystal structure is desired. This requires development of deposition technologies to overcome the challenges of depositing and processing PLZT thin films.

  11. Atomic layer deposition of a MoS₂ film.

    Science.gov (United States)

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  12. Influence of oxygen flow rate on metal-insulator transition of vanadium oxide thin films grown by RF magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Xu; Liu, Xinkun; Li, Haizhu; Huang, Mingju [Henan University, Key Lab of Informational Opto-Electronical Materials and Apparatus, School of Physics and Electronics, Kaifeng (China); Zhang, Angran [South China Normal University, Institute of Electronic Paper Displays, South China Academy of Advanced Optoelectronics, Guangzhou (China)

    2017-03-15

    High-quality vanadium oxide (VO{sub 2}) films have been fabricated on Si (111) substrates by radio frequency (RF) magnetron sputtering deposition method. The sheet resistance of VO{sub 2} has a significant change (close to 5 orders of magnitude) in the process of the metal-insulator phase transition (MIT). The field emission-scanning electron microscope (FE-SEM) results show the grain size of VO{sub 2} thin films is larger with the increase of oxygen flow. The X-ray diffraction (XRD) results indicate the thin films fabricated at different oxygen flow rates grow along the (011) crystalline orientation. As the oxygen flow rate increases from 3 sccm to 6 sccm, the phase transition temperature of the films reduces from 341 to 320 K, the width of the thermal hysteresis loop decreases from 32 to 9 K. The thin films fabricated in the condition of 5 sccm have a high temperature coefficient of resistance (TCR) -3.455%/K with a small resistivity of 2.795 ρ/Ω cm. (orig.)

  13. Photoluminescence properties of poly (p-phenylene vinylene) films deposited by chemical vapor deposition

    International Nuclear Information System (INIS)

    Gedelian, Cynthia A.; Rajanna, K.C.; Premerlani, Brian; Lu, Toh-Ming

    2014-01-01

    Photoluminescence spectra of PPV at varying thicknesses and temperatures have been studied. A study of the quenching of the polymer film using a modified version of fluorescence spectroscopy reveals interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. The application of the Stern–Volmer equation to solid film is discussed. Stern–Volmer plots were nonlinear with downward deviations at higher thickness of the film which was explained due to self-quenching in films and larger conformational change and increased restriction from change in electron density due to electron transition during excitation in bulk polymer films over 60 nm thick. PPV deposited into porous (∼4 nm in diameter) nanostructured substrate shows a larger 0–0 than 0–1 transition peak intensity and decreased disorder in the films due to structure imposed by substrate matrix. Temperature dependent effects are measured for a film at 500 Å, right on the border between the two areas. PPV films deposited on porous methyl silsesquioxane (MSQ) were also examined in order to compare the flat film to a substrate that allows for the domination of interface effects. The enthalpies of the first two peaks are very similar, but the third peak demonstrates a lower enthalpy and a larger wavelength shift with temperature. Films deposited inside pores show a smaller amount of disorder than flat films. Calculation of the Huang–Rhys factor at varying temperatures for the flat film and film in porous MSQ shows large temperature dependence for the flat film but a smaller amount of disorder in the nanostructured film. -- Highlights: • Poly (p-phenylene vinylene) films deposited by chemical vapor deposition exhibited photoluminescence properties. • Fluorescence spectra of the polymer films revealed interface effects dominating at thicknesses below about 600 Å, while bulk effects dominate at higher thicknesses. • Stern–Volmer plots were

  14. Hydrothermal crystallization of amorphous titania films deposited using low temperature atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, D.R.G. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)], E-mail: drm@ansto.gov.au; Triani, G.; Zhang, Z. [Institute of Materials Engineering, ANSTO, PMB 1, Menai, NSW 2234 (Australia)

    2008-10-01

    A two stage process (atomic layer deposition, followed by hydrothermal treatment) for producing crystalline titania thin films at temperatures compatible with polymeric substrates (< 130 deg. C) has been assessed. Titania thin films were deposited at 80 deg. C using atomic layer deposition. They were extremely flat, uniform and almost entirely amorphous. They also contained relatively high levels of residual Cl from the precursor. After hydrothermal treatment at 120 deg. C for 1 day, > 50% of the film had crystallized. Crystallization was complete after 10 days of hydrothermal treatment. Crystallization of the film resulted in the formation of coarse grained anatase. Residual Cl was completely expelled from the film upon crystallization. As a result of the amorphous to crystalline transformation voids formed at the crystallization front. Inward and lateral crystal growth resulted in voids being localized to the film/substrate interface and crystallite perimeters resulting in pinholing. Both these phenomena resulted in films with poor adhesion and film integrity was severely compromised.

  15. Plasma Deposited SiO2 for Planar Self-Aligned Gate Metal-Insulator-Semiconductor Field Effect Transistors on Semi-Insulating InP

    Science.gov (United States)

    Tabory, Charles N.; Young, Paul G.; Smith, Edwyn D.; Alterovitz, Samuel A.

    1994-01-01

    Metal-insulator-semiconductor (MIS) field effect transistors were fabricated on InP substrates using a planar self-aligned gate process. A 700-1000 A gate insulator of Si02 doped with phosphorus was deposited by a direct plasma enhanced chemical vapor deposition at 400 mTorr, 275 C, 5 W, and power density of 8.5 MW/sq cm. High frequency capacitance-voltage measurements were taken on MIS capacitors which have been subjected to a 700 C anneal and an interface state density of lxl0(exp 11)/eV/cq cm was found. Current-voltage measurements of the capacitors show a breakdown voltage of 107 V/cm and a insulator resistivity of 10(exp 14) omega cm. Transistors were fabricated on semi-insulating InP using a standard planar self-aligned gate process in which the gate insulator was subjected to an ion implantation activation anneal of 700 C. MIS field effect transistors gave a maximum extrinsic transconductance of 23 mS/mm for a gate length of 3 microns. The drain current drift saturated at 87.5% of the initial current, while reaching to within 1% of the saturated value after only 1x10(exp 3). This is the first reported viable planar InP self-aligned gate transistor process reported to date.

  16. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    Science.gov (United States)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  17. Plasma-polymerized SiOx deposition on polymer film surfaces for preparation of oxygen gas barrier polymeric films

    International Nuclear Information System (INIS)

    Inagaki, N.

    2003-01-01

    SiOx films were deposited on surfaces of three polymeric films, PET, PP, and Nylon; and their oxygen gas barrier properties were evaluated. To mitigate discrepancies between the deposited SiOx and polymer film, surface modification of polymer films was done, and how the surface modification could contribute to was discussed from the viewpoint of apparent activation energy for the permeation process. The SiOx deposition on the polymer film surfaces led to a large decrease in the oxygen permeation rate. Modification of polymer film surfaces by mans of the TMOS or Si-COOH coupling treatment in prior to the SiOx deposition was effective in decreasing the oxygen permeation rate. The cavity model is proposed as an oxygen permeation process through the SiOx-deposited Nylon film. From the proposed model, controlling the interface between the deposited SiOx film and the polymer film is emphasized to be a key factor to prepare SiOx-deposited polymer films with good oxygen gas barrier properties. (author)

  18. Influence of deposition time on the properties of chemical bath deposited manganese sulfide thin films

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-12-01

    Full Text Available Manganese sulfide thin films were chemically deposited from an aqueous solution containing manganese sulfate, sodium thiosulfate and sodium tartrate. The influence of deposition time (2, 3, 6 and 8 days on the properties of thin films was investigated. The structure and surface morphology of the thin films were studied by X-ray diffraction and atomic force microscopy, respectively. In addition, in order to investigate the optical properties of the thin films, the UV-visible spectrophotometry was used. The XRD results indicated that the deposited MnS2 thin films exhibited a polycrystalline cubic structure. The number of MnS2 peaks on the XRD patterns initially increased from three to six peaks and then decreased to five peaks, as the deposition time was increased from 2 to 8 days. From the AFM measurements, the film thickness and surface roughness were found to be dependent on the deposition time.

  19. Deposition of antimony telluride thin film by ECALE

    Institute of Scientific and Technical Information of China (English)

    GAO; Xianhui; YANG; Junyou; ZHU; Wen; HOU; Jie; BAO; Siqian; FAN; Xi'an; DUAN; Xingkai

    2006-01-01

    The process of Sb2Te3 thin film growth on the Pt substrate by electrochemical atomic layer epitaxy (ECALE) was studied. Cyclic voltammetric scanning was performed to analyze the electrochemical behavior of Te and Sb on the Pt substrate. Sb2Te3 film was formed using an automated flow deposition system by alternately depositing Te and Sb atomic layers for 400 circles. The deposited Sb2Te3 films were characterized by XRD, EDX, FTIR and FESEM observation. Sb2Te3 compound structure was confirmed by XRD pattern and agreed well with the results of EDX quantitative analysis and coulometric analysis. FESEM micrographs showed that the deposit was composed of fine nano particles with size of about 20 nm. FESEM image of the cross section showed that the deposited films were very smooth and dense with thickness of about 190 nm. The optical band gap of the deposited Sb2Te3 film was determined as 0.42 eV by FTIR spectroscopy, and it was blue shifted in comparison with that of the bulk Sb2Te3 single crystal due to its nanocrystalline microstructure.

  20. Pulsed laser deposition of Tl-Ca-Ba-Cu-O films

    International Nuclear Information System (INIS)

    Ianno, N.J.; Liou, S.H.; Woollam, J.A.; Thompson, D.; Johs, B.

    1990-01-01

    Pulsed laser deposition is a technique commonly used to deposit high quality thin films of high temperature superconductors. This paper discusses the results obtained when this technique is applied to the deposition of Tl-Ca-Ba-Cu-O thin films using a frequency doubled Nd:YAG laser operating at 532 nm and an excimer laser operating at 248 nm. Films with onset temperatures of 125 K and zero resistance temperatures of 110 K deposited on (100) oriented MgO from a composite Tl2Ca2Ba2Cu3Ox target were obtained at both wavelengths upon appropriate post deposition annealing. Films deposited at 532 nm exhibit a rough surface, while those deposited at 248 nm are smooth and homogeneous. Upon annealing, films deposited at both wavelengths are single phase Tl2Ca2Ba2Cu3Ox. 12 refs

  1. Plasma-deposited a-C(N) H films

    CERN Document Server

    Franceschini, D E

    2000-01-01

    The growth behaviour, film structure and mechanical properties of plasma-deposited amorphous hydrogenated carbon-nitrogen films are shortly reviewed. The effect of nitrogen-containing gas addition to the deposition to the hydrocarbon atmospheres used is discussed, considering the modifications observed in the chemical composition growth kinetics, carbon atom hybridisation and chemical bonding arrangements of a-C(N):H films. The overall structure behaviour is correlated to the variation of the mechanical properties.

  2. Nickel films: Nonselective and selective photochemical deposition and properties

    International Nuclear Information System (INIS)

    Smirnova, N.V.; Boitsova, T.B.; Gorbunova, V.V.; Alekseeva, L.V.; Pronin, V.P.; Kon'uhov, G.S.

    2006-01-01

    Nickel films deposited on quartz surfaces by the photochemical reduction of a chemical nickel plating solution were studied. It was found that the deposition of the films occurs after an induction period, the length of which depends on the composition of the photolyte and the light intensity. Ni particles with a mean diameter of 20-30 nm were detected initially by transmission electron microscopy. The particles then increased in size (50 nm) upon irradiation and grouped into rings consisting of 4-5 particles. Irradiation with high-intensity light produces three-dimensional films. The calculated extinction coefficient of the nickel film was found to be 4800 L mol -1 cm -1 . Electron diffraction revealed that the prepared amorphous nickel films crystallize after one day of storage. It was determined that the films exhibit catalytic activity in the process of nickel deposition from nickel plating solution. The catalytic action remains for about 5-7 min after exposure of the films to air. The processes of selective and nonselective deposition of the nickel films are discussed. The use of poly(butoxy titanium) in the process of selective photochemical deposition enables negative and positive images to be prepared on quartz surfaces

  3. Electrical transport and capacitance characteristics of metal-insulator-metal structures using hexagonal and cubic boron nitride films as dielectrics

    Science.gov (United States)

    Teii, Kungen; Kawamoto, Shinsuke; Fukui, Shingo; Matsumoto, Seiichiro

    2018-04-01

    Metal-insulator-metal capacitor structures using thick hexagonal and cubic boron nitride (hBN and cBN) films as dielectrics are produced by plasma jet-enhanced chemical vapor deposition, and their electrical transport and capacitance characteristics are studied in a temperature range of 298 to 473 K. The resistivity of the cBN film is of the order of 107 Ω cm at 298 K, which is lower than that of the hBN film by two orders of magnitude, while it becomes the same order as the hBN film above ˜423 K. The dominant current transport mechanism at high fields (≥1 × 104 V cm-1) is described by the Frenkel-Poole emission and thermionic emission models for the hBN and cBN films, respectively. The capacitance of the hBN film remains stable for a change in alternating-current frequency and temperature, while that of the cBN film has variations of at most 18%. The dissipation factor as a measure of energy loss is satisfactorily low (≤5%) for both films. The origin of leakage current and capacitance variation is attributed to a high defect density in the film and a transition interlayer between the substrate and the film, respectively. This suggests that cBN films with higher crystallinity, stoichiometry, and phase purity are potentially applicable for dielectrics like hBN films.

  4. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    Science.gov (United States)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  5. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    International Nuclear Information System (INIS)

    Bao Quanhe; Chen Chuanzhong; Wang Diangang; Liu Junming

    2008-01-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 4 3- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA

  6. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  7. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    Science.gov (United States)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  8. Spin-transport-phenomena in metals, semiconductors, and insulators

    Energy Technology Data Exchange (ETDEWEB)

    Althammer, Matthias Klaus

    2012-07-19

    Assuming that one could deterministically inject, transport, manipulate, store and detect spin information in solid state devices, the well-established concepts of charge-based electronics could be transferred to the spin realm. This thesis explores the injection, transport, manipulation and storage of spin information in metallic conductors, semiconductors, as well as electrical insulators. On the one hand, we explore the spin-dependent properties of semiconducting zinc oxide thin films deposited via laser-molecular beam epitaxy (laser-MBE). After demonstrating that the zinc oxide films fabricated during this thesis have excellent structural, electrical, and optical properties, we investigate the spin-related properties by optical pump/probe, electrical injection/optical detection, and all electrical spin valve-based experiments. The two key results from these experiments are: (i) Long-lived spin states with spin dephasing times of 10 ns at 10 K related to donor bound excitons can be optically addressed. (ii) The spin dephasing times relevant for electrical transport-based experiments are {<=} 2 ns at 10 K and are correlated with structural quality. On the other hand we focus on two topics of current scientific interest: the comparison of the magnetoresistance to the magnetothermopower of conducting ferromagnets, and the investigation of pure spin currents generated in ferromagnetic insulator/normal metal hybrid structures. We investigate the magnetoresistance and magnetothermopower of gallium manganese arsenide and Heusler thin films as a function of external magnetic field orientation. Using a series expansion of the resistivity and Seebeck tensors and the inherent symmetry of the sample's crystal structure, we show that a full quantitative extraction of the transport tensors from such experiments is possible. Regarding the spin currents in ferromagnetic insulator/normal metal hybrid structures we studied the spin mixing conductance in yttrium iron garnet

  9. ZnSe thin films by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Patil, P.S.; Tributsch, H. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CS, Glienicker Strasse-100, D-14109 Berlin (Germany); Ennaoui, A. [Hahn-Meitner-Institute, Bereich Physikalische Chemie, Abt. CG, Glienicker Strasse-100, D-14109 Berlin (Germany)

    1998-09-04

    The ZnSe thin films have been deposited onto glass substrates by the simple chemical bath deposition method using selenourea as a selenide ion source from an aqueous alkaline medium. The effect of Zn ion concentration, bath temperature and deposition time period on the quality and thickness of ZnSe films has been studied. The ZnSe films have been characterized by XRD, TEM, EDAX, TRMC (time-resolved microwave conductivity), optical absorbance and RBS techniques for their structural, compositional, electronic and optical properties. The as-deposited ZnSe films are found to be amorphous, Zn rich with optical band gap, Eg, equal to 2.9 eV

  10. Properties of indium tin oxide films deposited on unheated polymer substrates by ion beam assisted deposition

    International Nuclear Information System (INIS)

    Yu Zhinong; Li Yuqiong; Xia Fan; Zhao Zhiwei; Xue Wei

    2009-01-01

    The optical, electrical and mechanical properties of indium tin oxide (ITO) films prepared on polyethylene terephthalate (PET) substrates by ion beam assisted deposition at room temperature were investigated. The properties of ITO films can be improved by introducing a buffer layer of silicon dioxide (SiO 2 ) between the ITO film and the PET substrate. ITO films deposited on SiO 2 -coated PET have better crystallinity, lower electrical resistivity, and improved resistance stability under bending than those deposited on bare PET. The average transmittance and the resistivity of ITO films deposited on SiO 2 -coated PET are 85% and 0.90 x 10 -3 Ω cm, respectively, and when the films are bent, the resistance remains almost constant until a bending radius of 1 cm and it increases slowly under a given bending radius with an increase of the bending cycles. The improved resistance stability of ITO films deposited on SiO 2 -coated PET is mainly attributed to the perfect adhesion of ITO films induced by the SiO 2 buffer layer.

  11. Helium ion beam induced electron emission from insulating silicon nitride films under charging conditions

    Science.gov (United States)

    Petrov, Yu. V.; Anikeva, A. E.; Vyvenko, O. F.

    2018-06-01

    Secondary electron emission from thin silicon nitride films of different thicknesses on silicon excited by helium ions with energies from 15 to 35 keV was investigated in the helium ion microscope. Secondary electron yield measured with Everhart-Thornley detector decreased with the irradiation time because of the charging of insulating films tending to zero or reaching a non-zero value for relatively thick or thin films, respectively. The finiteness of secondary electron yield value, which was found to be proportional to electronic energy losses of the helium ion in silicon substrate, can be explained by the electron emission excited from the substrate by the helium ions. The method of measurement of secondary electron energy distribution from insulators was suggested, and secondary electron energy distribution from silicon nitride was obtained.

  12. Long-ranged interactions in thin TiN films at the superconductor-insulator transition?

    Energy Technology Data Exchange (ETDEWEB)

    Kronfeldner, Klaus; Strunk, Christoph [Institute for Experimental and Applied Physics, University of Regensburg (Germany); Baturina, Tatyana [A.V. Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk (Russian Federation)

    2015-07-01

    We measured IV-characteristics and magnetoresistance of square TiN-films in the vicinity of the disorder-tuned superconductor-insulator transition (SIT) for different sizes (5 μm to 240 μm). While the films are superconducting at zero magnetic field, at finite fields a SIT occurs. The resistance shows thermally activated behaviour on both sides of the SIT. Deep in the superconducting regime the activation energy grows linear with the sample size as expected for a size-independent critical current density. Closer to the SIT the activation energy becomes clearly size independent. On the insulating side the magnetoresistance maximum and the activation energy both grow logarithmically with sample size which is consistent with a size-limited charge BKT (Berezinskii-Kosterlitz-Thouless) scenario. In order to test for the presence of long-ranged interactions in our films, we investigate the influence of a topgate. It is expected to screen the possible long-ranged interactions as the distance of the film to the gate is much shorter than the electrostatic screening length deduced from the size-dependent activation energy.

  13. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    International Nuclear Information System (INIS)

    Hernandez-Como, N.; Martinez-Landeros, V.; Mejia, I.; Aguirre-Tostado, F.S.; Nascimento, C.D.; Azevedo, G. de M; Krug, C.; Quevedo-Lopez, M.A.

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10 −1 to 10 4 Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10 19 to 10 13 cm −3 and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm 2 /V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10 19 to 10 13 cm −3 . • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied

  14. Large-scale and patternable graphene: direct transformation of amorphous carbon film into graphene/graphite on insulators via Cu mediation engineering and its application to all-carbon based devices

    Science.gov (United States)

    Chen, Yu-Ze; Medina, Henry; Lin, Hung-Chiao; Tsai, Hung-Wei; Su, Teng-Yu; Chueh, Yu-Lun

    2015-01-01

    Chemical vapour deposition of graphene was the preferred way to synthesize graphene for multiple applications. However, several problems related to transfer processes, such as wrinkles, cleanness and scratches, have limited its application at the industrial scale. Intense research was triggered into developing alternative synthesis methods to directly deposit graphene on insulators at low cost with high uniformity and large area. In this work, we demonstrate a new concept to directly achieve growth of graphene on non-metal substrates. By exposing an amorphous carbon (a-C) film in Cu gaseous molecules after annealing at 850 °C, the carbon (a-C) film surprisingly undergoes a noticeable transformation to crystalline graphene. Furthermore, the thickness of graphene could be controlled, depending on the thickness of the pre-deposited a-C film. The transformation mechanism was investigated and explained in detail. This approach enables development of a one-step process to fabricate electrical devices made of all carbon material, highlighting the uniqueness of the novel approach for developing graphene electronic devices. Interestingly, the carbon electrodes made directly on the graphene layer by our approach offer a good ohmic contact compared with the Schottky barriers usually observed on graphene devices using metals as electrodes.Chemical vapour deposition of graphene was the preferred way to synthesize graphene for multiple applications. However, several problems related to transfer processes, such as wrinkles, cleanness and scratches, have limited its application at the industrial scale. Intense research was triggered into developing alternative synthesis methods to directly deposit graphene on insulators at low cost with high uniformity and large area. In this work, we demonstrate a new concept to directly achieve growth of graphene on non-metal substrates. By exposing an amorphous carbon (a-C) film in Cu gaseous molecules after annealing at 850 °C, the carbon (a

  15. Harnessing the metal-insulator transition for tunable metamaterials

    Science.gov (United States)

    Charipar, Nicholas A.; Charipar, Kristin M.; Kim, Heungsoo; Bingham, Nicholas S.; Suess, Ryan J.; Mathews, Scott A.; Auyeung, Raymond C. Y.; Piqué, Alberto

    2017-08-01

    The control of light-matter interaction through the use of subwavelength structures known as metamaterials has facilitated the ability to control electromagnetic radiation in ways not previously achievable. A plethora of passive metamaterials as well as examples of active or tunable metamaterials have been realized in recent years. However, the development of tunable metamaterials is still met with challenges due to lack of materials choices. To this end, materials that exhibit a metal-insulator transition are being explored as the active element for future metamaterials because of their characteristic abrupt change in electrical conductivity across their phase transition. The fast switching times (▵t < 100 fs) and a change in resistivity of four orders or more make vanadium dioxide (VO2) an ideal candidate for active metamaterials. It is known that the properties associated with thin film metal-insulator transition materials are strongly dependent on the growth conditions. For this work, we have studied how growth conditions (such as gas partial pressure) influence the metalinsulator transition in VO2 thin films made by pulsed laser deposition. In addition, strain engineering during the growth process has been investigated as a method to tune the metal-insulator transition temperature. Examples of both the optical and electrical transient dynamics facilitating the metal-insulator transition will be presented together with specific examples of thin film metamaterial devices.

  16. Improving the Performance of a Semitransparent BIPV by Using High-Reflectivity Heat Insulation Film

    Directory of Open Access Journals (Sweden)

    Huei-Mei Liu

    2016-01-01

    Full Text Available Currently, standard semitransparent photovoltaic (PV modules can largely replace architectural glass installed in the windows, skylights, and facade of a building. Their main features are power generation and transparency, as well as possessing a heat insulating effect. Through heat insulation solar glass (HISG encapsulation technology, this study improved the structure of a typical semitransparent PV module and explored the use of three types of high-reflectivity heat insulation films to form the HISG building-integrated photovoltaics (BIPV systems. Subsequently, the authors analyzed the influence of HISG structures on the optical, thermal, and power generation performance of the original semitransparent PV module and the degree to which enhanced performance is possible. The experimental results indicated that the heat insulation performance and power generation of HISGs were both improved. Selecting an appropriate heat insulation film so that a larger amount of reflective solar radiation is absorbed by the back side of the HISG can yield greater enhancement of power generation. The numerical results conducted in this study also indicated that HISG BIPV system not only provides the passive energy needed for power loading in a building, but also decreases the energy consumption of the HVAC system in subtropical and temperate regions.

  17. In situ deposition of thallium-containing oxides

    International Nuclear Information System (INIS)

    Myers, K.E.

    1996-01-01

    The number and variety of thallium based materials that can be made by in situ methods have grown consistently since the first report of successful thallium cuprate deposition by Face and Nestlerode in 1992. Processes for the deposition of superconductors, normal metals, and insulators have been developed. Most work to date has been done on the Tl-1212 phases, TlBa 2 CaCu 2 O 7 and (Tl,Pb)Sr 2 CaCu 2 O 7 . Recently however, the in situ thallium technique has been extended to other materials. For example, epitaxial thin films of thallium tantalate, an insulator of the pyrochlore structure and a potential buffer layer for thallium cuprate films, have been grown. Multilayers, important in the fabrication of Josephson junctions, have been demonstrated with the thallium lead cuprates. This paper reviews progress in the area of in situ thallium deposition technology which will make more complex thallium cuprate multilayer structures and devices possible

  18. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    Science.gov (United States)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  19. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham; Nikzad, Shouleh [Jet Propulsion Laboratory, California Institute of Technology, 4800 Oak Grove Drive, Pasadena, California 91109 (United States)

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates that the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.

  20. Spin accumulation in disordered topological insulator ultrathin films

    Science.gov (United States)

    Siu, Zhuo Bin; Ho, Cong Son; Tan, Seng Ghee; Jalil, Mansoor B. A.

    2017-08-01

    Topological insulator (TI) ultrathin films differ from the more commonly studied semi-infinite bulk TIs in that the former possess both top and bottom surfaces where the surface states localized at different surfaces can couple to one another across the finite thickness of the film. In the presence of an in-plane magnetization, the TI thin films display two distinct phases depending on which of the inter-surface coupling or the magnetization is stronger. In this work, we consider a Bi2Se3 TI thin film system with an in-plane magnetization and numerically calculate the resulting spin accumulation on both surfaces of the film due to an in-plane electric field to linear order. We describe a numerical scheme for performing the Kubo formula calculation in which we include impurity scattering and vertex corrections. We find that the sums of the spin accumulation over the two surfaces in the in-plane direction perpendicular to the magnetization and in the out of plane direction are antisymmetric in Fermi energy around the charge neutrality point and are non-vanishing only when the symmetry between the top and bottom TI surfaces is broken. The impurity scattering, in general, diminishes the magnitude of the spin accumulation.

  1. ZnO film deposition by DC magnetron sputtering: Effect of target configuration on the film properties

    Energy Technology Data Exchange (ETDEWEB)

    Arakelova, E.; Khachatryan, A.; Kteyan, A.; Avjyan, K.; Grigoryan, S.

    2016-08-01

    Ballistic transport model for target-to-substrate atom transfer during magnetron sputter deposition was used to develop zinc target (cathode) configuration that enabled growth of uniform zinc oxide films on extensive surfaces and provided reproducibility of films characteristics irrespective of the cathode wear-out. The advantage of the developed target configuration for high-quality ZnO film deposition was observed in the sputtering pressure range of 5− 50 mTorr, and in the range of cathode-to-substrate distances 7–20 cm. Characteristics of the deposited films were demonstrated by using X-ray diffraction analysis, as well as optical and electrical measurements. - Highlights: • Change of target configuration for optimization of magnetron sputtering deposition is proposed. • Improvement of ZnO film properties due to use of this target is demonstrated. • This configuration provided reproducibility of the deposited films properties.

  2. Temperature dependence of InN film deposition by an RF plasma-assisted reactive ion beam sputtering deposition technique

    International Nuclear Information System (INIS)

    Shinoda, Hiroyuki; Mutsukura, Nobuki

    2005-01-01

    Indium nitride (InN) films were deposited on Si(100) substrates using a radiofrequency (RF) plasma-assisted reactive ion beam sputtering deposition technique at various substrate temperatures. The X-ray diffraction patterns of the InN films suggest that the InN films deposited at substrate temperatures up to 370 deg C were cubic crystalline InN; and at 500 deg C, the InN film was hexagonal crystalline InN. In a scanning electron microscope image of the InN film surface, facets of cubic single-crystalline InN grains were clearly observed on the InN film deposited at 370 deg C. The inclusion of metallic indium appeared on the InN film deposited at 500 deg C

  3. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Many thin film deposition techniques involve some form of energetic particle bombardment of the growing film. The degree of bombardment greatly influences the film composition, structure and other properties. While in some techniques the degree of bombardment is secondary to the original process design, in recent years more deposition systems are being designed with the capability for controlled ion bombardment of thin films during deposition. The highest degree of control is obtained with ion beam sources which operate independently of the vapor source providing the thin film material. Other plasma techniques offer varying degrees of control of energetic particle bombardment. Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. (Auth.)

  4. High performance inkjet-printed metal oxide thin film transistors via addition of insulating polymer with proper molecular weight

    Science.gov (United States)

    Sun, Dawei; Chen, Cihai; Zhang, Jun; Wu, Xiaomin; Chen, Huipeng; Guo, Tailiang

    2018-01-01

    Fabrication of metal oxide thin film transistor (MOTFT) arrays using the inkjet printing process has caused tremendous interest for low-cost and large-area flexible electronic devices. However, the inkjet-printed MOTFT arrays usually exhibited a non-uniform geometry due to the coffee ring effect, which restricted their commercial application. Therefore, in this work, a strategy is reported to control the geometry and enhance device performance of inkjet-printed MOTFT arrays by the addition of an insulating polymer to the precursor solution prior to film deposition. Moreover, the impact of the polymer molecular weight (MW) on the geometry, chemical constitution, crystallization, and MOTFT properties of inkjet-printed metal oxide depositions was investigated. The results demonstrated that with an increase of MW of polystyrene (PS) from 2000 to 200 000, the coffee ring was gradually faded and the coffee ring effect was completely eliminated when MW reached 200 000, which is associated with the enhanced viscosity with the insulating polymer, providing a high resistance to the outward capillary flow, which facilitated the depinning of the contact line, leading to the elimination of the coffee ring. More importantly, the carrier mobility increased significantly from 4.2 cm2 V-1 s-1 up to 13.7 cm2 V-1 s-1 as PS MW increased from 2000 to 200 000, which was about 3 times that of the pristine In2O3 TFTs. Grazing incidence X-ray diffraction and X-ray photoelectron spectroscopy results indicated that PS doping of In2O3 films not only frustrated crystallization but also altered chemical constitution by enhancing the formation of the M-O structure, both of which facilitated the carrier transport. These results demonstrated that the simple polymer additive process provides a promising method that can efficiently control the geometry of MO arrays during inkjet printing and maximize the device performance of MOTFT arrays, which showed great potential for the application in next

  5. Chemical bath deposition of indium sulphide thin films: preparation and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Lokhande, C.D.; Ennaoui, A.; Patil, P.S.; Giersig, M.; Diesner, K.; Muller, M.; Tributsch, H. [Hahn-Meitner-Institut Berlin GmbH (Germany). Bereich Physikalische Chemie

    1999-02-26

    Indium sulphide (In{sub 2}S{sub 3}) thin films have been successfully deposited on different substrates under varying deposition conditions using chemical bath deposition technique. The deposition mechanism of In{sub 2}S{sub 3} thin films from thioacetamide deposition bath has been proposed. Films have been characterized with respect to their crystalline structure, composition, optical and electrical properties by means of X-ray diffraction, TEM, EDAX, optical absorption, TRMC (time resolved microwave conductivity) and RBS. Films on glass substrates were amorphous and on FTO (flourine doped tin oxide coated) glass substrates were polycrystalline (element of phase). The optical band gap of In{sub 2}S{sub 3} thin film was estimated to be 2.75 eV. The as-deposited films were photoactive as evidenced by TRMC studies. The presence of oxygen in the film was detected by RBS analysis. (orig.) 27 refs.

  6. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    Science.gov (United States)

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  7. Cadmium sulfide thin films growth by chemical bath deposition

    Science.gov (United States)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  8. Aluminosilicate glass thin films elaborated by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Carlier, Thibault [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Saitzek, Sébastien [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Méar, François O., E-mail: francois.mear@univ-lille1.fr [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France); Blach, Jean-François; Ferri, Anthony [Univ. Artois, CNRS, Centrale Lille, ENSCL, Univ. Lille, UMR 8181, Unité de Catalyse et de Chimie du Solide (UCCS), F-62300 Lens (France); Huvé, Marielle; Montagne, Lionel [Univ. Lille, CNRS, Centrale Lille, ENSCL, Univ. Artois, UMR 8181 – UCCS – Unité de Catalyse et Chimie du Solide, F-59000 Lille (France)

    2017-03-01

    Highlights: • Successfully deposition of a glassy thin film by PLD. • A good homogeneity and stoichiometry of the coating. • Influence of the deposition temperature on the glassy thin-film structure. - Abstract: In the present work, we report the elaboration of aluminosilicate glass thin films by Pulsed Laser Deposition at various temperatures deposition. The amorphous nature of glass thin films was highlighted by Grazing Incidence X-Ray Diffraction and no nanocristallites were observed in the glassy matrix. Chemical analysis, obtained with X-ray Photoelectron Spectroscopy and Time of Flight Secondary Ion Mass Spectroscopy, showed a good transfer and homogeneous elementary distribution with of chemical species from the target to the film a. Structural studies performed by Infrared Spectroscopy showed that the substrate temperature plays an important role on the bonding configuration of the layers. A slight shift of Si-O modes to larger wavenumber was observed with the synthesis temperature, assigned to a more strained sub-oxide network. Finally, optical properties of thins film measured by Spectroscopic Ellipsometry are similar to those of the bulk aluminosilicate glass, which indicate a good deposition of aluminosilicate bulk glass.

  9. Fabrication and characterization of NiO based metal-insulator-metal diode using Langmuir-Blodgett method for high frequency rectification

    Science.gov (United States)

    Azad, Ibrahim; Ram, Manoj K.; Goswami, D. Yogi; Stefanakos, Elias

    2018-04-01

    Thin film metal-insulator-metal (MIM) diodes have attracted significant attention for use in infrared energy harvesting and detection applications. As demonstrated over the past decades, MIM or metal-insulator-insulator-metal (MIIM) diodes can operate at the THz frequencies range by quantum tunneling of electrons. The aim of this work is to synthesize required ultra-thin insulating layers and fabricate MIM diodes using the Langmuir-Blodgett (LB) technique. The nickel stearate (NiSt) LB precursor film was deposited on glass, silicon (Si), ITO glass and gold coated silicon substrates. The photodesorption (UV exposure) and the thermodesorption (annealing at 100 °C and 350 °C) methods were used to remove organic components from the NiSt LB film and to achieve a uniform homogenous nickel oxide (NiO) film. These ultrathin NiO films were characterized by EDS, AFM, FTIR and cyclic voltammetry methods, respectively. The MIM diode was fabricated by depositing nickel (Ni) on the NiO film, all on a gold (Au) plated silicon (Si) substrate. The current (I)-voltage (V) characteristics of the fabricated diode were studied to understand the conduction mechanism assumed to be tunneling of electron through the ultra-thin insulating layer. The sensitivity of the diode was measured to be as high as 35 V-1. The diode resistance was ˜100 ohms (at a bias voltage of 0.60 V), and the rectification ratio was about 22 (for a signal voltage of ±200 mV). At the bias point, the diode response demonstrated significant non-linearity and high asymmetry, which are very desirable characteristics for applications in infrared detection and harvesting.

  10. Defect control in room temperature deposited cadmium sulfide thin films by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Hernandez-Como, N. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Martinez-Landeros, V. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Mejia, I. [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States); Aguirre-Tostado, F.S. [Centro de Investigación en Materiales Avanzados, Monterrey, Nuevo Leon, 66600, México (Mexico); Nascimento, C.D.; Azevedo, G. de M; Krug, C. [Instituto de Física, Universidade Federal do Rio Grande do Sul, Porto Alegre, 91509-900 (Brazil); Quevedo-Lopez, M.A., E-mail: mquevedo@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas, Richardson, TX, 75080 (United States)

    2014-01-01

    The control of defects in cadmium sulfide thin films and its impact on the resulting CdS optical and electrical characteristics are studied. Sulfur vacancies and cadmium interstitial concentrations in the CdS films are controlled using the ambient pressure during pulsed laser deposition. CdS film resistivities ranging from 10{sup −1} to 10{sup 4} Ω-cm are achieved. Hall Effect measurements show that the carrier concentration ranges from 10{sup 19} to 10{sup 13} cm{sup −3} and is responsible for the observed resistivity variation. Hall mobility varies from 2 to 12 cm{sup 2}/V-s for the same pressure regime. Although the energy bandgap remains unaffected (∼ 2.42 eV), the optical transmittance is reduced due to the increase of defects in the CdS films. Rutherford back scattering spectroscopy shows the dependence of the CdS films stoichiometry with deposition pressure. The presence of CdS defects is attributed to more energetic species reaching the substrate, inducing surface damage in the CdS films during pulsed laser deposition. - Highlights: • CdS thin films deposited by pulsed laser deposition at room temperature. • The optical, electrical and structural properties were evaluated. • Carrier concentration ranged from 10{sup 19} to 10{sup 13} cm{sup −3}. • The chemical composition was studied by Rutherford back scattering. • The density of sulfur vacancies and cadmium interstitial was varied.

  11. A high-efficiency solution-deposited thin-film photovoltaic device

    Energy Technology Data Exchange (ETDEWEB)

    Mitzi, David B; Yuan, Min; Liu, Wei; Chey, S Jay; Schrott, Alex G [IBM T. J. Watson Research Center, Yorktown Heights, NY (United States); Kellock, Andrew J; Deline, Vaughn [IBM Almaden Research Center, San Jose, CA (United States)

    2008-10-02

    High-quality Cu(In,Ga)Se{sub 2} (CIGS) films are deposited from hydrazine-based solutions and are employed as absorber layers in thin-film photovoltaic devices. The CIGS films exhibit tunable stoichiometry and well-formed grain structure without requiring post-deposition high-temperature selenium treatment. Devices based on these films offer power conversion efficiencies of 10% (AM1.5 illumination). (Abstract Copyright [2008], Wiley Periodicals, Inc.)

  12. Chemical bath ZnSe thin films: deposition and characterisation

    Science.gov (United States)

    Lokhande, C. D.; Patil, P. S.; Ennaoui, A.; Tributsch, H.

    1998-01-01

    The zinc selenide (ZnSe) thin films have been deposited by a simple and inexpensive chemical bath deposition (CBD) method. The selenourea was used as a selenide ion source. The ZnSe films have been characterised by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), energy dispersive X-ray spectroscopy (EDAX), Rutherford back scattering (RBS), and optical absorption. The as-deposited ZnSe films on various substrates are found to be amorphous and contain O2 and N2 in addition to Zn and Se. The optical band gap of the film is estimated to be 2.9 eV. The films are photoactive as evidenced by time resolved microwave conductivity (TRMC).

  13. Topological Insulator State in Thin Bismuth Films Subjected to Plane Tensile Strain

    Science.gov (United States)

    Demidov, E. V.; Grabov, V. M.; Komarov, V. A.; Kablukova, N. S.; Krushel'nitskii, A. N.

    2018-03-01

    The results of experimental examination of galvanomagnetic properties of thin bismuth films subjected to plane tensile strain resulting from the difference in thermal expansion coefficients of the substrate material and bismuth are presented. The resistivity, the magnetoresistance, and the Hall coefficient were studied at temperatures ranging from 5 to 300 K in magnetic fields as strong as 0.65 T. Carrier densities were calculated. A considerable increase in carrier density in films thinner than 30 nm was observed. This suggests that surface states are more prominent in thin bismuth films on mica substrates, while the films themselves may exhibit the properties of a topological insulator.

  14. Sputter deposition of BSCCO films from a hollow cathode

    International Nuclear Information System (INIS)

    Lanagan, M.T.; Kampwirth, R.T.; Doyle, K.; Kowalski, S.; Miller, D.; Gray, K.E.

    1991-01-01

    High-T c superconducting thin films were deposited onto MgO single crystal substrates from a hollow cathode onto ceramic targets with the nominal composition of Bi 2 Sr 2 CaCu 2 O x . Films similar in composition to those used for the targets were deposited on MgO substrates by rf sputtering. The effects of sputtering time, rf power, and post-annealing on film microstructure and properties were studied in detail. Substrate temperature was found to have a significant influence on the film characteristics. Initial results show that deposition rates from a hollow cathode are an order of magnitude higher than those of a planar magnetron source at equivalent power levels. Large deposition rates allow for the coating of long lengths of wire

  15. Porous nanostructured ZnO films deposited by picosecond laser ablation

    International Nuclear Information System (INIS)

    Sima, Cornelia; Grigoriu, Constantin; Besleaga, Cristina; Mitran, Tudor; Ion, Lucian; Antohe, Stefan

    2012-01-01

    Highlights: ► We deposite porous nanostructured ZnO films by picoseconds laser ablation (PLA). ► We examine changes of the films structure on the experimental parameter deposition. ► We demonstrate PLA capability to produce ZnO nanostructured films free of particulates. - Abstract: Porous nanostructured polycrystalline ZnO films, free of large particulates, were deposited by picosecond laser ablation. Using a Zn target, zinc oxide films were deposited on indium tin oxide (ITO) substrates using a picosecond Nd:YVO 4 laser (8 ps, 50 kHz, 532 nm, 0.17 J/cm 2 ) in an oxygen atmosphere at room temperature (RT). The morpho-structural characteristics of ZnO films deposited at different oxygen pressures (150–900 mTorr) and gas flow rates (0.25 and 10 sccm) were studied. The post-deposition influence of annealing (250–550 °C) in oxygen on the film characteristics was also investigated. At RT, a mixture of Zn and ZnO formed. At substrate temperatures above 350 °C, the films were completely oxidized, containing a ZnO wurtzite phase with crystallite sizes of 12.2–40.1 nm. At pressures of up to 450 mTorr, the porous films consisted of well-distinguished primary nanoparticles with average sizes of 45–58 nm, while at higher pressures, larger clusters (3.1–14.7 μm) were dominant, leading to thicker films; higher flow rates favored clustering.

  16. Electrical Conductivity of CUXS Thin Film Deposited by Chemical ...

    African Journals Online (AJOL)

    Thin films of CuxS have successfully been deposited on glass substrates using the Chemical Bath Deposition (CBD) technique. The films were then investigated for their electrical properties. The results showed that the electrical conductivities of the CuxS films with different molarities (n) of thiourea (Tu), determined using ...

  17. Properties of electrophoretically deposited single wall carbon nanotube films

    International Nuclear Information System (INIS)

    Lim, Junyoung; Jalali, Maryam; Campbell, Stephen A.

    2015-01-01

    This paper describes techniques for rapidly producing a carbon nanotube thin film by electrophoretic deposition at room temperature and determines the film mass density and electrical/mechanical properties of such films. The mechanism of electrophoretic deposition of thin layers is explained with experimental data. Also, film thickness is measured as a function of time, electrical field and suspension concentration. We use Rutherford backscattering spectroscopy to determine the film mass density. Films created in this manner have a resistivity of 2.14 × 10 −3 Ω·cm, a mass density that varies with thickness from 0.12 to 0.54 g/cm 3 , and a Young's modulus between 4.72 and 5.67 GPa. The latter was found to be independent of thickness from 77 to 134 nm. We also report on fabricating free-standing films by removing the metal seed layer under the CNT film, and selectively etching a sacrificial layer. This method could be extended to flexible photovoltaic devices or high frequency RF MEMS devices. - Highlights: • We explain the electrophoretic deposition process and mechanism of thin SWCNT film deposition. • Characterization of the SWCNT film properties including density, resistivity, transmittance, and Young's modulus. • The film density and resistivity are found to be a function of the film thickness. • Techniques developed to create free standing layers of SW-CNTs for flexible electronics and mechanical actuators

  18. Defect studies of thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Vlček, M; Čížek, J; Procházka, I; Novotný, M; Bulíř, J; Lančok, J; Anwand, W; Brauer, G; Mosnier, J-P

    2014-01-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  19. UV laser deposition of metal films by photogenerated free radicals

    Science.gov (United States)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  20. Electric controlling of surface metal-insulator transition in the doped BaTiO3 film

    Directory of Open Access Journals (Sweden)

    Wei Xun

    2017-07-01

    Full Text Available Based on first-principles calculations, the BaTiO3(BTO film with local La-doping is studied. For a selected concentration and position of doping, the surface metal-insulator transition occurs under the applied electric field, and the domain appears near the surface for both bipolar states. Furthermore, for the insulated surface state, i.e., the downward polarization state in the doped film, the gradient bandgap structure is achieved, which favors the absorption of solar energy. Our investigation can provide an alternative avenue in modification of surface property and surface screening effect in polar materials.

  1. Electron-lattice energy relaxation in laser-excited thin-film Au-insulator heterostructures studied by ultrafast MeV electron diffraction.

    Science.gov (United States)

    Sokolowski-Tinten, K; Shen, X; Zheng, Q; Chase, T; Coffee, R; Jerman, M; Li, R K; Ligges, M; Makasyuk, I; Mo, M; Reid, A H; Rethfeld, B; Vecchione, T; Weathersby, S P; Dürr, H A; Wang, X J

    2017-09-01

    We apply time-resolved MeV electron diffraction to study the electron-lattice energy relaxation in thin film Au-insulator heterostructures. Through precise measurements of the transient Debye-Waller-factor, the mean-square atomic displacement is directly determined, which allows to quantitatively follow the temporal evolution of the lattice temperature after short pulse laser excitation. Data obtained over an extended range of laser fluences reveal an increased relaxation rate when the film thickness is reduced or the Au-film is capped with an additional insulator top-layer. This behavior is attributed to a cross-interfacial coupling of excited electrons in the Au film to phonons in the adjacent insulator layer(s). Analysis of the data using the two-temperature-model taking explicitly into account the additional energy loss at the interface(s) allows to deduce the relative strength of the two relaxation channels.

  2. Role of hydrogen in Sb film deposition and characterization of Sb and GexSby films deposited by cyclic plasma enhanced chemical vapor deposition using metal-organic precursors

    International Nuclear Information System (INIS)

    Kim, Hyung Keun; Jung, Jin Hwan; Choi, Doo Jin

    2012-01-01

    To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and Ge x Sb y phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, Ge x Sb y phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge 0.32 Sb 0.68 , Ge 0.38 Sb 0.62 , Ge 0.44 Sb 0.56 , Ge 0.51 Sb 0.49 and Ge 0.67 Sb 0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various Ge x Sb y film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current–voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, V th . V th values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and V

  3. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  4. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    Science.gov (United States)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  5. Metal-insulator transitions in IZO, IGZO, and ITZO films

    Energy Technology Data Exchange (ETDEWEB)

    Makise, Kazumasa, E-mail: makise@nict.go.jp [National Institute of Information and Communications Technology, Kobe 651-2492 (Japan); Hidaka, Kazuya; Ezaki, Syohei; Asano, Takayuki; Shinozaki, Bunju [Department of Physics, Kyushu University, Fukuoka 810-8560 (Japan); Tomai, Shigekazu; Yano, Koki; Nakamura, Hiroaki [Central Research Laboratories, Idemitsu Kosan Co. Ltd, Chiba 299-0293 (Japan)

    2014-10-21

    In this study, we measured the low-temperature resistivity of amorphous two- and three-dimensional (2D and 3D) indium-zinc oxide, indium-gallium-zinc oxide, and indium-tin-zinc oxide films with a wide range of carrier densities. To determine their critical characteristics at the metal-insulator transition (MIT), we used the Ioffe–Regel criterion. We found that the MIT occurs in a narrow range between k{sub F}ℓ =0.13 and k{sub F}ℓ =0.25, where k{sub F} and ℓ are the Fermi wave number and electron mean free path, respectively. For films in the insulating region, we analyzed ρ(T) using a procedure proposed by Zabrodskii and Zinov'eva. This analysis confirmed the occurrence of Mott and Efros–Shklovskii (ES) variable-range hopping. The materials studied show crossover behavior from exp(T{sub Mott}/T){sup 1/4} or exp(T{sub Mott}/T){sup 1/3} for Mott hopping conduction to exp(T{sub ES}/T){sup 1/2} for ES hopping conduction with decreasing temperature. For both 2D and 3D materials, we found that the relationship between T{sub Mott} and T{sub ES} satisfies T{sub ES}∝T{sub Mott}{sup 2/3}.

  6. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  7. Amorphous Terfenol-D films using nanosecond pulsed laser deposition

    International Nuclear Information System (INIS)

    Ma, James; O'Brien, Daniel T.; Kovar, Desiderio

    2009-01-01

    Thin films of Terfenol-D were produced by nanosecond pulsed laser deposition (PLD) at two fluences. Electron dispersive spectroscopy conducted using scanning electron and transmission electron microscopes showed that the film compositions were similar to that of the PLD target. Contrary to previous assertions that suggested that nanosecond PLD results in crystalline films, X-ray diffraction and transmission electron microscopy analysis showed that the films produced at both fluences were amorphous. Splatters present on the film had similar compositions to the overall film and were also amorphous. Magnetic measurements showed that the films had high saturation magnetization and magnetostriction, similar to high quality films produced using other physical vapor deposition methods.

  8. Structural and proximity-induced ferromagnetic properties of topological insulator-magnetic insulator heterostructures

    Directory of Open Access Journals (Sweden)

    Zilong Jiang

    2016-05-01

    Full Text Available The spontaneously broken time reversal symmetry can lead to the formation of an energy gap in the Dirac spectrum of the surface states of a topological insulator (TI which can consequently give rise to a variety of interesting phenomena potentially useful for spintronics. In this work, we couple a non-magnetic TI to a high Curie temperature TC magnetic insulator to induce strong exchange interaction via the proximity effect. We have successfully grown 5 quintuple layer thick ternary TI (BixSb1-x2Te3 films on atomically flat yttrium iron garnet (YIG film with the combination of molecular beam epitaxy and pulsed laser deposition, in which the Fermi level position relative to the Dirac point is varied by controlling the Bi:Sb ratio. The anomalous Hall effect (AHE and suppressed weak antilocalization (WAL measured under out of plane magnetic fields reveal that the TI surface in contact with YIG is magnetized. Our high-quality (BixSb1-x2Te3/Y IG heterostructure provides a tunable system for exploring the quantum anomalous Hall effect (QAHE at higher temperatures in TI-based spintronic devices.

  9. Ellipsometric study of nanostructured carbon films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Bereznai, M.; Budai, J.; Hanyecz, I.; Kopniczky, J.; Veres, M.; Koos, M.; Toth, Z.

    2011-01-01

    When depositing carbon films by plasma processes the resulting structure and bonding nature strongly depends on the plasma energy and background gas pressure. To produce different energy plasma, glassy carbon targets were ablated by laser pulses of different excimer lasers: KrF (248 nm) and ArF (193 nm). To modify plume characteristics argon atmosphere was applied. The laser plume was directed onto Si substrates, where the films were grown. To evaluate ellipsometric measurements first a combination of the Tauc-Lorentz oscillator and the Sellmeier formula (TL/S) was applied. Effective Medium Approximation models were also used to investigate film properties. Applying argon pressures above 10 Pa the deposits became nanostructured as indicated by high resolution scanning electron microscopy. Above ∼ 100 and ∼ 20 Pa films could not be deposited by KrF and ArF laser, respectively. Our ellipsometric investigations showed, that with increasing pressure the maximal refractive index of both series decreased, while the optical band gap starts with a decrease, but shows a non monotonous course. Correlation between the size of the nanostructures, bonding structure, which was followed by Raman spectroscopy and optical properties were also investigated.

  10. The control of magnetism near metal-to-insulator transitions of VO2 nano-belts

    CSIR Research Space (South Africa)

    Nkosi, SS

    2016-12-01

    Full Text Available The magnetic properties of paramagnetic/weakly ferromagnetic films are strongly affected by the proximity to materials that undergo a metal to insulator phase transition. Here, we show that under the deposition conditions associated with structural...

  11. Effect of annealing temperature on electrical properties of poly (methyl methacrylate): titanium dioxide nanocomposite films using spin coating deposition technique

    International Nuclear Information System (INIS)

    Ismail, L N; Habibah, Z; Herman, S H; Rusop, M

    2014-01-01

    Nanocomposite poly (methyl methacrylate) :titanium dioxide (PMMA :TiO 2 ) film were deposited on glass substrate. The effect of annealing temperature, especially on electrical, dielectric and the morphological properties of the thin films were investigated by current-voltage (I-V) measurement, impedance spectroscopy, and FESEM. The annealing temperature is varies from 120°C, 140°C, 160°C, 180°C and 200°C. The electrical properties results showing when nanocomposite film annealed at '20°C produce the lowest current. Meanwhile, when the annealing temperature increased, the current increased drastically and this indicates the PMMA:TiO 2 nanocomposite film are no longer having insulating properties. The dielectric properties also indicate that film annealed at 120°C has the best dielectric properties compared to other temperature. The FESEM results show that as the temperature increased, the PMMA:TiO 2 nanocomposite film started to create a phase separation between the PMMA matrix and TiO 2 nanoparticles

  12. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  13. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    International Nuclear Information System (INIS)

    Chen Huawei; Tieu, A. Kiet; Liu Qiang; Hagiwara, Ichiro; Lu Cheng

    2007-01-01

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters

  14. Molecular dynamics simulation about porous thin-film growth in secondary deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chen Huawei [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China) and Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)]. E-mail: chen_hua_wei@yahoo.com; Tieu, A. Kiet [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia); Liu Qiang [School of Mechanical Engineering and Automation, Beihang University, No. 37 Xuyuan Road, Haidian District, Beijing (China); Hagiwara, Ichiro [Department of Mechanical Sciences and Engineering, Graduate School of Science and Engineering, Tokyo Institute of Technology, 2-12-1 O-okayama, Meguro-ku, Tokyo (Japan); Lu Cheng [Mechanical Materials and Mechatronic Engineering, University of Wollongong, Northfields Avenue, NSW 2522 (Australia)

    2007-07-15

    The thin film growth has been confirmed to be assembled by an enormous number of clusters in experiments of CVD. Sequence of clusters' depositions proceeds to form the thin film at short time as gas fluids through surface of substrate. In order to grow condensed thin film using series of cluster deposition, the effect of initial velocity, substrate temperature and density of clusters on property of deposited thin film, especially appearance of nanoscale pores inside thin film must be investigated. In this simulation, three different cluster sizes of 203, 653, 1563 atoms with different velocities (0, 10, 100, 1000 and 3000 m/s) were deposited on a Cu(0 0 1) substrate whose temperatures were set between 300 and 1000 K. Four clusters and one cluster were used in primary deposition and secondary deposition, respectively. We have clarified that adhesion between clusters and substrate is greatly influenced by initial velocity. As a result, the exfoliation pattern of deposited thin film is dependent on initial velocity and different between them. One borderline dividing whole region into porous region and nonporous region are obtained to show the effect of growth conditions on appearance of nanoscale pores inside thin film. Moreover, we have also shown that the likelihood of porous thin film is dependent on the point of impact of a cluster relative to previously deposited clusters.

  15. Atomic layer deposition of boron-containing films using B{sub 2}F{sub 4}

    Energy Technology Data Exchange (ETDEWEB)

    Mane, Anil U., E-mail: amane@anl.gov; Elam, Jeffrey W. [Argonne National Laboratory, Argonne, Illinois 60126 (United States); Goldberg, Alexander; Halls, Mathew D. [Schrödinger, Inc., San Diego, California 92122 (United States); Seidel, Thomas E. [Seitek50, Palm Coast, Florida 32135 (United States); Current, Michael I. [Current Scientific, San Jose, California 95124 (United States); Despres, Joseph; Byl, Oleg; Tang, Ying; Sweeney, Joseph [Entegris, Danbury, Connecticut 06810 (United States)

    2016-01-15

    Ultrathin and conformal boron-containing atomic layer deposition (ALD) films could be used as a shallow dopant source for advanced transistor structures in microelectronics manufacturing. With this application in mind, diboron tetrafluoride (B{sub 2}F{sub 4}) was explored as an ALD precursor for the deposition of boron containing films. Density functional theory simulations for nucleation on silicon (100) surfaces indicated better reactivity of B{sub 2}F{sub 4} in comparison to BF{sub 3}. Quartz crystal microbalance experiments exhibited growth using either B{sub 2}F{sub 4}-H{sub 2}O for B{sub 2}O{sub 3} ALD, or B{sub 2}F{sub 4}-disilane (Si{sub 2}H{sub 6}) for B ALD, but in both cases, the initial growth per cycle was quite low (≤0.2 Å/cycle) and decreased to near zero growth after 8–30 ALD cycles. However, alternating between B{sub 2}F{sub 4}-H{sub 2}O and trimethyl aluminum (TMA)-H{sub 2}O ALD cycles resulted in sustained growth at ∼0.65 Å/cycle, suggesting that the dense –OH surface termination produced by the TMA-H{sub 2}O combination enhances the uptake of B{sub 2}F{sub 4} precursor. The resultant boron containing films were analyzed for composition by x-ray photoelectron spectroscopy, and capacitance measurements indicated an insulating characteristic. Finally, diffused boron profiles less than 100 Å were obtained after rapid thermal anneal of the boron containing ALD film.

  16. Cuprous oxide thin films grown by hydrothermal electrochemical deposition technique

    International Nuclear Information System (INIS)

    Majumder, M.; Biswas, I.; Pujaru, S.; Chakraborty, A.K.

    2015-01-01

    Semiconducting cuprous oxide films were grown by a hydrothermal electro-deposition technique on metal (Cu) and glass (ITO) substrates between 60 °C and 100 °C. X-ray diffraction studies reveal the formation of cubic cuprous oxide films in different preferred orientations depending upon the deposition technique used. Film growth, uniformity, grain size, optical band gap and photoelectrochemical response were found to improve in the hydrothermal electrochemical deposition technique. - Highlights: • Cu 2 O thin films were grown on Cu and glass substrates. • Conventional and hydrothermal electrochemical deposition techniques were used. • Hydrothermal electrochemical growth showed improved morphology, thickness and optical band gap

  17. Direct observation of the lattice precursor of the metal-to-insulator transition in V2O3 thin films by surface acoustic waves

    Science.gov (United States)

    Kündel, J.; Pontiller, P.; Müller, C.; Obermeier, G.; Liu, Z.; Nateprov, A. A.; Hörner, A.; Wixforth, A.; Horn, S.; Tidecks, R.

    2013-03-01

    A surface acoustic wave (SAW) delay line is used to study the metal-to-insulator (MI) transition of V2O3 thin films deposited on a piezoelectric LiNbO3 substrate. Effects contributing to the sound velocity shift of the SAW which are caused by elastic properties of the lattice of the V2O3 films when changing the temperature are separated from those originating from the electrical conductivity. For this purpose the electric field accompanying the elastic wave of the SAW has been shielded by growing the V2O3 film on a thin metallic Cr interlayer (coated with Cr2O3), covering the piezoelectric substrate. Thus, the recently discovered lattice precursor of the MI transition can be directly observed in the experiments, and its fine structure can be investigated.

  18. MIS field effect transistor with barium titanate thin film as a gate insulator

    Energy Technology Data Exchange (ETDEWEB)

    Firek, P., E-mail: pfirek@elka.pw.edu.p [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland); Werbowy, A.; Szmidt, J. [Institute of Microelectronics and Optoelectronics, Warsaw University of Technology, Koszykowa 75, 00-662 Warsaw (Poland)

    2009-11-25

    The properties of barium titanate (BaTiO{sub 3}, BT) like, e.g. high dielectric constant and resistivity, allow it to find numerous applications in field of microelectronics. In this work silicon metal insulator semiconductor field effect transistor (MISFET) structures with BaTiO{sub 3} (containing La{sub 2}O{sub 3} admixture) thin films in a role of gate insulator were investigated. The films were produced by means of radio frequency plasma sputtering (RF PS) of sintered BaTiO{sub 3} + La{sub 2}O{sub 3} (2 wt.%) target. In the paper transfer and output current-voltage (I-V), transconductance and output conductance characteristics of obtained transistors are presented and discussed. Basic parameters of these devices like, e.g. threshold voltage (V{sub TH}), are determined and discussed.

  19. Electron tunneling studies of ultrathin films near the superconductor-to-insulator transition

    International Nuclear Information System (INIS)

    Valles, J.M. Jr.; Garno, J.P.

    1994-01-01

    Electron tunneling measurements on ultrathin quench-condensed films near the superconductor-to-insulator (SI) transition reveal that the superconducting state degrades with increasing normal state sheet resistance, R □ , in a manner that depends strongly on film morphology. In homogeneously disordered films, the superconducting energy gap Δ 0 decreases continuously and appears to go to zero at the SI transition. In granular films the transport properties degrade while Δ 0 remains constant. Measurements in the normal state reveal disorder enhanced e - -e - interaction corrections to the density of states. These effects are strong and depend on morphology in a manner that is consistent with their playing an important role in driving the SI transition. (orig.)

  20. Cu and Cu(Mn) films deposited layer-by-layer via surface-limited redox replacement and underpotential deposition

    Energy Technology Data Exchange (ETDEWEB)

    Fang, J.S., E-mail: jsfang@nfu.edu.tw [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Sun, S.L. [Department of Materials Science and Engineering, National Formosa University, Huwei 63201, Taiwan (China); Cheng, Y.L. [Department of Electrical Engineering, National Chi-Nan University, Nan-Tou 54561, Taiwan (China); Chen, G.S.; Chin, T.S. [Department of Materials Science and Engineering, Feng Chia University, Taichung 40724, Taiwan (China)

    2016-02-28

    Graphical abstract: - Abstract: The present paper reports Cu and Cu(Mn) films prepared layer-by-layer using an electrochemical atomic layer deposition (ECALD) method. The structure and properties of the films were investigated to elucidate their suitability as Cu interconnects for microelectronics. Previous studies have used primarily a vacuum-based atomic layer deposition to form a Cu metallized film. Herein, an entirely wet chemical process was used to fabricate a Cu film using the ECALD process by combining underpotential deposition (UPD) and surface-limited redox replacement (SLRR). The experimental results indicated that an inadequate UPD of Pb affected the subsequent SLRR of Cu and lead to the formation of PbSO{sub 4}. A mechanism is proposed to explain the results. Layer-by-layer deposition of Cu(Mn) films was successfully performed by alternating the deposition cycle-ratios of SLRR-Cu and UPD-Mn. The proposed self-limiting growth method offers a layer-by-layer wet chemistry-based deposition capability for fabricating Cu interconnects.

  1. Diamond-like carbon films deposited on polycarbonates by plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Guo, C.T. [Department of Computer and Communication, Diwan College of Management, 72141 Taiwan (China)], E-mail: ctguo@dwu.edu.tw

    2008-04-30

    Diamond-like carbon films were coated on optical polycarbonate using plasma-enhanced chemical vapor deposition. A mixture of SiH{sub 4} and CH{sub 4}/H{sub 2} gases was utilized to reduce the internal compressive stress of the deposited films. The structure of the DLC films was characterized as a function of film thickness using Raman spectroscopy. The dependence of G peak positions and the intensity ratio of I{sub D}/I{sub G} on the DLC film thicknesses was analyzed in detail. Other studies involving atomic force microscopy, ultraviolet visible spectrometry, and three adhesion tests were conducted. Good transparency in the visible region, and good adhesion between diamond-like carbon films and polycarbonate were demonstrated. One-time recordings before and after a DLC film was coated on compact rewritable disc substrates were analyzed as a case study. The results reveal that the diamond-like carbon film overcoating the optical polycarbonates effectively protects the storage media.

  2. Lanthanoid titanate film structure deposited at different temperatures in vacuum

    International Nuclear Information System (INIS)

    Kushkov, V.D.; Zaslavskij, A.M.; Mel'nikov, A.V.; Zverlin, A.V.; Slivinskaya, A.Eh.

    1991-01-01

    Influence of deposition temperature on the structure of lanthanoid titanate films, prepared by the method of high-rate vacuum condensation. It is shown that formation of crystal structure, close to equilibrium samples, proceeds at 1100-1300 deg C deposition temperatures. Increase of temperature in this range promotes formation of films with higher degree of structural perfection. Amorphous films of lanthanoid titanates form at 200-1000 deg C. Deposition temperature shouldn't exceed 1400 deg C to prevent the formation of perovskite like phases in films

  3. Preparation and structural characterization of FeCo epitaxial thin films on insulating single-crystal substrates

    International Nuclear Information System (INIS)

    Nishiyama, Tsutomu; Ohtake, Mitsuru; Futamoto, Masaaki; Kirino, Fumiyoshi

    2010-01-01

    FeCo epitaxial films were prepared on MgO(111), SrTiO 3 (111), and Al 2 O 3 (0001) single-crystal substrates by ultrahigh vacuum molecular beam epitaxy. The effects of insulating substrate material on the film growth process and the structures were investigated. FeCo(110) bcc films grow on MgO substrates with two type domains, Nishiyama-Wassermann (NW) and Kurdjumov-Sachs (KS) relationships. On the contrary, FeCo films grown on SrTiO 3 and Al 2 O 3 substrates include FeCo(111) bcc crystal in addition to the FeCo(110) bcc crystals with NW and KS relationships. The FeCo(111) bcc crystal consists of two type domains whose orientations are rotated around the film normal by 180 deg. each other. The out-of-plane and the in-plane lattice spacings of FeCo(110) bcc and FeCo(111) bcc crystals formed on the insulating substrates are in agreement with those of the bulk Fe 50 Co 50 (at. %) crystal with small errors ranging between +0.2% and +0.4%, showing that the strains in the epitaxial films are very small.

  4. P-type thin films transistors with solution-deposited lead sulfide films as semiconductor

    Energy Technology Data Exchange (ETDEWEB)

    Carrillo-Castillo, A.; Salas-Villasenor, A.; Mejia, I. [Department of Materials Science and Engineering, The University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Aguirre-Tostado, S. [Centro de Investigacion en Materiales Avanzados, S. C. Alianza Norte 202, Parque de Investigacion e Innovacion Tecnologica, Apodaca, Nuevo Leon, C.P. 666000 (Mexico); Gnade, B.E. [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States); Quevedo-Lopez, M.A., E-mail: mxq071000@utdallas.edu [Department of Materials Science and Engineering, University of Texas at Dallas. 800 West Campbell Rd, Richardson, TX 75083 (United States)

    2012-01-31

    In this paper we demonstrate p-type thin film transistors fabricated with lead sulfide (PbS) as semiconductor deposited by chemical bath deposition methods. Crystallinity and morphology of the resulting PbS films were characterized using X-ray diffraction, atomic force microscopy and scanning electron microscopy. Devices were fabricated using photolithographic processes in a bottom gate configuration with Au as source and drain top contacts. Field effect mobility for as-fabricated devices was {approx} 0.09 cm{sup 2} V{sup -1} s{sup -1} whereas the mobility for devices annealed at 150 Degree-Sign C/h in forming gas increased up to {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Besides the thermal annealing, the entire fabrications process was maintained below 100 Degree-Sign C. The electrical performance of the PbS-thin film transistors was studied before and after the 150 Degree-Sign C anneal as well as a function of the PbS active layer thicknesses. - Highlights: Black-Right-Pointing-Pointer Thin film transistors with PbS as semiconductor deposited by chemical bath deposition. Black-Right-Pointing-Pointer Photolithography-based thin film transistors with PbS films at low temperatures. Black-Right-Pointing-Pointer Electron mobility for anneal-PbS devices of {approx} 0.14 cm{sup 2} V{sup -1} s{sup -1}. Black-Right-Pointing-Pointer Highest mobility reported in thin film transistors with PbS as the semiconductor.

  5. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.; Hoogland, Sjoerd; Adachi, Michael M.; Kanjanaboos, Pongsakorn; Wong, Chris T. O.; McDowell, Jeffrey J.; Xu, Jixian; Voznyy, Oleksandr; Ning, Zhijun; Houtepen, Arjan J.; Sargent, Edward H.

    2014-01-01

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  6. Perovskite Thin Films via Atomic Layer Deposition

    KAUST Repository

    Sutherland, Brandon R.

    2014-10-30

    © 2014 Wiley-VCH Verlag GmbH & Co. KGaA. (Graph Presented) A new method to deposit perovskite thin films that benefit from the thickness control and conformality of atomic layer deposition (ALD) is detailed. A seed layer of ALD PbS is place-exchanged with PbI2 and subsequently CH3NH3PbI3 perovskite. These films show promising optical properties, with gain coefficients of 3200 ± 830 cm-1.

  7. Strain-enhanced optical absorbance of topological insulator films

    DEFF Research Database (Denmark)

    Brems, Mathias Rosdahl; Paaske, Jens; Lunde, Anders Mathias

    2018-01-01

    Topological insulator films are promising materials for optoelectronics due to a strong optical absorption and a thickness-dependent band gap of the topological surface states. They are superior candidates for photodetector applications in the THz-infrared spectrum, with a potential performance...... thickness, the surface-state band gap, and thereby the optical absorption, can be effectively tuned by the application of uniaxial strain epsilon(zz), leading to a divergent band-edge absorbance for epsilon(zz) greater than or similar to 6%. Shear strain breaks the crystal symmetry and leads...

  8. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    International Nuclear Information System (INIS)

    Purohit, Viswas; Mielczarski, Ela; Mielczarski, Jerzy A.; Akesso, Laurent

    2013-01-01

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O

  9. Evidence of coexistence of micro and nanoporosity of organo-silica polymeric films deposited on silicon by plasma deposition

    Energy Technology Data Exchange (ETDEWEB)

    Purohit, Viswas, E-mail: vishwas.purohit@gmail.com [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Mielczarski, Ela; Mielczarski, Jerzy A. [Laboratoire Environnment et Mineralurgie, UMR 7569 CNRS, INPL-ENSG, BP.40, 54501 Vandoeuvre-les-Nancy (France); Akesso, Laurent [Teer Coatings Ltd., Droitwich, Worcestershire WR9 9AS (United Kingdom)

    2013-09-16

    A range of hybrid, SiOCH films were deposited on silicon substrates within a radio frequency plasma reactor using hexamethyldisiloxane (HMDSO) as a precursor. The plasma polymerized films were deposited at various HMDSO/argon/oxygen ratios. The composition and structure, at microscopic and nanoscopic levels, of the deposited films were determined by external reflection and transmission Fourier Transform Infrared (FTIR) spectroscopy as well as by X-Ray Photoelectron Spectroscopy (XPS). The content of carbon and oxygen in films were found to be inversely proportional to each other. XPS results showed that the outermost surface of the deposited films are nanoporous and coexist with microporosity which was revealed by electron microscopy. The structure of deposited coatings is anisotropic as was documented by polarized external reflection FTIR spectroscopy. Several correlations between the film chemical composition, surface structure, and macroscopic properties of the films such as: hydrophobicity and hydrophilicity were established. - Highlights: • Hybrid organo-polymer silicon films deposited by RF plasma on silicon substrates. • FTIR and XPS reveal porosity by interpreting bonding between Si and –O. • Quantification of nano and microporosity are identified with bonding of Si with –O.

  10. Thin-film VO2 submillimeter-wave modulators and polarizers

    International Nuclear Information System (INIS)

    Fan, J.C.C.; Fetterman, H.R.; Bachner, F.J.; Zavracky, P.M.; Parker, C.D.

    1977-01-01

    Submillimeter-wave modulators and switchable polarizers have been fabricated from VO 2 thin films deposited on sapphire substrates. By passing electric current pulses through elements made from these films, the films can be thermally cycled through the insulator-to-metal transition that occurs in VO 2 at about 65 degreeC. In the insulating state, the films are found to have negligible effect on the transmission at submillimeter wavelengths, while above the phase transition the transmission is strongly reduced by the free-electron effects characteristic of a metal. Other possible applications of such switchable VO 2 elements include variable bandpass filters and diffraction grating beam-steering devices

  11. Structural surprises in friction-deposited films of poly(tetrafluoroethylene)

    DEFF Research Database (Denmark)

    Breiby, Dag Werner; Sølling, Theis Ivan; Bunk, Oliver

    2005-01-01

    Thin films of poly(tetrafluoroethylene) (PTFE) produced by friction deposition were studied using grazing incidence X-ray diffraction as the principal tool. The structure of the deposited thin films was compared with that of the surface of the PTFE bar used for depositing the films. Both exhibited...... the 15/7 helix conformation characteristic of crystal PTFE phase IV. A high degree of biaxial orientation was found for the highly crystalline thin films. Whereas the unit cell of the bar surface material appeared to be single-stem hexagonal, the film displayed diffraction characteristics consistent...... the possibility of a continuous transition between the low-order single-stem hexagonal and the multistem high-order unit cell. The degree of chain orientation was much lower at the surface of the bar than in the thin film. A modification of the commonly accepted mechanism for the transfer of material from the bar...

  12. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    Science.gov (United States)

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  13. In situ Raman spectroscopy of topological insulator BiTe films with varying thickness

    DEFF Research Database (Denmark)

    Wang, C.; Zhu, X.; Nilsson, Louis

    2013-01-01

    Topological insulators (TIs) are a new state of quantum matter with a band gap in bulk and conducting surface states. In this work, the Raman spectra of topological insulator Bi2Te3 films prepared by molecular beam epitaxy (MBE) have been measured by an in situ ultrahigh vacuum (UHV...... effects and symmetry breaking. In addition, an obvious change was observed at 3 QL when a Dirac cone formed. These results offer some new information about the novel quantum states of TIs....

  14. Morphology evolution in spinel manganite films deposited from an aqueous solution

    International Nuclear Information System (INIS)

    Ko, Song Won; Li, Jing; Trolier-McKinstry, Susan

    2012-01-01

    Spinel manganite films were deposited by the spin spray technique at low deposition temperatures ( 1000, agglomeration of small particles was dominant, which suggests that homogeneous nucleation is dominant during deposition. Heterogeneous nucleation was critical to obtain dense films. - Highlights: ► Film microstructure depends on supersaturation. ► Heterogeneous nucleation induces dense and continuous films. ► The spin spray technique enables use of a variety of substrates.

  15. Ultrashort pulse laser deposition of thin films

    Science.gov (United States)

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  16. CdS films deposited by chemical bath under rotation

    International Nuclear Information System (INIS)

    Oliva-Aviles, A.I.; Patino, R.; Oliva, A.I.

    2010-01-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl 2 , KOH, NH 4 NO 3 and CS(NH 2 ) 2 as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  17. CdS films deposited by chemical bath under rotation

    Energy Technology Data Exchange (ETDEWEB)

    Oliva-Aviles, A.I., E-mail: aoliva@mda.cinvestav.mx [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico); Patino, R.; Oliva, A.I. [Centro de Investigacion y de Estudios Avanzados Unidad Merida, Departamento de Fisica Aplicada. A.P. 73-Cordemex, 97310 Merida, Yucatan (Mexico)

    2010-08-01

    Cadmium sulfide (CdS) films were deposited on rotating substrates by the chemical bath technique. The effects of the rotation speed on the morphological, optical, and structural properties of the films were discussed. A rotating substrate-holder was fabricated such that substrates can be taken out from the bath during the deposition. CdS films were deposited at different deposition times (10, 20, 30, 40 and 50 min) onto Corning glass substrates at different rotation velocities (150, 300, 450, and 600 rpm) during chemical deposition. The chemical bath was composed by CdCl{sub 2}, KOH, NH{sub 4}NO{sub 3} and CS(NH{sub 2}){sub 2} as chemical reagents and heated at 75 deg. C. The results show no critical effects on the band gap energy and the surface roughness of the CdS films when the rotation speed changes. However, a linear increase on the deposition rate with the rotation energy was observed, meanwhile the stoichiometry was strongly affected by the rotation speed, resulting a better 1:1 Cd/S ratio as speed increases. Rotation effects may be of interest in industrial production of CdTe/CdS solar cells.

  18. Picosecond and subpicosecond pulsed laser deposition of Pb thin films

    Directory of Open Access Journals (Sweden)

    F. Gontad

    2013-09-01

    Full Text Available Pb thin films were deposited on Nb substrates by means of pulsed laser deposition (PLD with UV radiation (248 nm, in two different ablation regimes: picosecond (5 ps and subpicosecond (0.5 ps. Granular films with grain size on the micron scale have been obtained, with no evidence of large droplet formation. All films presented a polycrystalline character with preferential orientation along the (111 crystalline planes. A maximum quantum efficiency (QE of 7.3×10^{-5} (at 266 nm and 7 ns pulse duration was measured, after laser cleaning, demonstrating good photoemission performance for Pb thin films deposited by ultrashort PLD. Moreover, Pb thin film photocathodes have maintained their QE for days, providing excellent chemical stability and durability. These results suggest that Pb thin films deposited on Nb by ultrashort PLD are a noteworthy alternative for the fabrication of photocathodes for superconductive radio-frequency electron guns. Finally, a comparison with the characteristics of Pb films prepared by ns PLD is illustrated and discussed.

  19. Hot-wire substoichiometric tungsten oxide films deposited in hydrogen environment with n-type conductivity

    International Nuclear Information System (INIS)

    Kostis, I; Vasilopoulou, M; Giannakopoulos, K; Papadimitropoulos, G; Davazoglou, D; Michalas, L; Papaioannou, G; Konofaos, N; Iliadis, A A; Kennou, S

    2012-01-01

    Substoichiometric tungsten oxide nanostructured films were synthesized by a hot-wire deposition technique in hydrogen-rich environment and characterized for their structural and electrical properties. A semiconducting behaviour was identified, allowing n-type conductivity even at room temperature which is an important result since it is well known that fully stoichiometric tungsten trioxide is nearly an insulator. Current-voltage characteristics for various temperatures were measured for tungsten oxide/Si heterostructures and analysed using proper modelling. As a result, the conduction mechanism inside the films was identified and found to be of a dual nature, with variable range hopping being dominant at near room temperatures. The saturation current was found to be thermally activated and the activation energy was calculated at 0.40 eV and the grain boundaries barrier at 150 meV. From Hall measurements it was also revealed that the dominant carriers are electrons and a carrier concentration of about 10 14 cm -3 was estimated.

  20. Recent progress of obliquely deposited thin films for industrial applications

    Science.gov (United States)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  1. Morphological Characteristics of Au Films Deposited on Ti: A Combined SEM-AFM Study

    Directory of Open Access Journals (Sweden)

    Francesco Ruffino

    2018-03-01

    Full Text Available Deposited Au films and coatings are, nowadays, routinely used as active or passive elements in several innovative electronic, optoelectronic, sensing, and energy devices. In these devices, the physical properties of the Au films are strongly determined by the films nanoscale structure. In addition, in these devices, often, a layer of Ti is employed to promote adhesion and, so, influencing the nanoscale structure of the deposited Au film. In this work, we present experimental analysis on the nanoscale cross-section and surface morphology of Au films deposited on Ti. In particular, we sputter-deposited thick (>100 nm thickness Au films on Ti foils and we used Scanning Electron Microscopy to analyze the films cross-sectional and surface morphology as a function of the Au film thickness and deposition angle. In addition, we analyzed the Au films surface morphology by Atomic Force Microscopy which allowed quantifying the films surface roughness versus the film thickness and deposition angle. The results establish a relation between the Au films cross-sectional and surface morphologies and surface roughness to the film thickness and deposition angle. These results allow setting a general working framework to obtain Au films on Ti with specific morphological and topographic properties for desired applications in which the Ti adhesion layer is needed for Au.

  2. Fabrication and characterization of vacuum deposited fluorescein thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jalkanen, Pasi, E-mail: pasi.jalkanen@gmail.co [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kulju, Sampo, E-mail: sampo.j.kulju@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Arutyunov, Konstantin, E-mail: konstantin.arutyunov@jyu.f [University of Jyvaeskylae, Department of Physics, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Antila, Liisa, E-mail: liisa.j.antila@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Myllyperkioe, Pasi, E-mail: pasi.myllyperkio@jyu.f [University of Jyvaeskylae, Department of Chemistry, Nanoscience center (NSC) P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Ihalainen, Teemu, E-mail: teemu.o.ihalainen@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland); Kaeaeriaeinen, Tommi, E-mail: tommi.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Kaeaeriaeinen, Marja-Leena, E-mail: marja-leena.kaariainen@lut.f [Lappeenranta University of Technology, ASTRal, P.O. Box 181, FI-50101 Mikkeli (Finland); Korppi-Tommola, Jouko, E-mail: jouko.korppi-tommola@jyu.f [University of Jyvaeskylae, Department of Biology, Nanoscience center (NSC), P.O. Box 35, FI-40014 Jyvaeskylae (Finland)

    2011-03-31

    Simple vacuum evaporation technique for deposition of dyes on various solid surfaces has been developed. The method is compatible with conventional solvent-free nanofabrication processing enabling fabrication of nanoscale optoelectronic devices. Thin films of fluorescein were deposited on glass, fluorine-tin-oxide (FTO) coated glass with and without atomically layer deposited (ALD) nanocrystalline 20 nm thick anatase TiO{sub 2} coating. Surface topology, absorption and emission spectra of the films depend on their thickness and the material of supporting substrate. On a smooth glass surface the dye initially forms islands before merging into a uniform layer after 5 to 10 monolayers. On FTO covered glass the absorption spectra are similar to fluorescein solution in ethanol. Absorption spectra on ALD-TiO{sub 2} is red shifted compared to the film deposited on bare FTO. The corresponding emission spectra at {lambda} = 458 nm excitation show various thickness and substrate dependent features, while the emission of films deposited on TiO{sub 2} is quenched due to the effective electron transfer to the semiconductor conduction band.

  3. Physical properties of chemical vapour deposited nanostructured carbon thin films

    International Nuclear Information System (INIS)

    Mahadik, D.B.; Shinde, S.S.; Bhosale, C.H.; Rajpure, K.Y.

    2011-01-01

    Research highlights: In the present paper, nanostructured carbon films are grown using a natural precursor 'turpentine oil (C 10 H 16 )' as a carbon source in the simple thermal chemical vapour deposition method. The influence of substrate surface topography (viz. stainless steel, fluorine doped tin oxide coated quartz) and temperature on the evolution of carbon allotropes surfaces topography/microstructural and structural properties are investigated and discussed. - Abstract: A simple thermal chemical vapour deposition technique is employed for the deposition of carbon films by pyrolysing the natural precursor 'turpentine oil' on to the stainless steel (SS) and FTO coated quartz substrates at higher temperatures (700-1100 deg. C). In this work, we have studied the influence of substrate and deposition temperature on the evolution of structural and morphological properties of nanostructured carbon films. The films were characterized by using X-ray diffraction (XRD), scanning electron microscopy (SEM), contact angle measurements, Fourier transform infrared (FTIR) and Raman spectroscopy techniques. XRD study reveals that the films are polycrystalline exhibiting hexagonal and face-centered cubic structures on SS and FTO coated glass substrates respectively. SEM images show the porous and agglomerated surface of the films. Deposited carbon films show the hydrophobic nature. FTIR study displays C-H and O-H stretching vibration modes in the films. Raman analysis shows that, high ID/IG for FTO substrate confirms the dominance of sp 3 bonds with diamond phase and less for SS shows graphitization effect with dominant sp 2 bonds. It reveals the difference in local microstructure of carbon deposits leading to variation in contact angle and hardness, which is ascribed to difference in the packing density of carbon films, as observed also by Raman.

  4. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    Energy Technology Data Exchange (ETDEWEB)

    Siraj, K., E-mail: khurram.uet@gmail.com [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z. [Advance Physics Laboratory, Department of Physics, University of Engineering and Technology, Lahore (Pakistan); Naseem, S.; Riaz, S. [Center for Solid State Physics, University of Punjab, Lahore (Pakistan)

    2011-05-15

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  5. Pulsed laser deposition and characterization of multilayer metal-carbon thin films

    International Nuclear Information System (INIS)

    Siraj, K.; Khaleeq-ur-Rahman, M.; Rafique, M.S.; Munawar, M.Z.; Naseem, S.; Riaz, S.

    2011-01-01

    Cobalt-DLC multilayer films were deposited with increasing content of cobalt, keeping carbon content constant by pulsed laser deposition technique. A cobalt free carbon film was also deposited for comparison. Excimer laser was employed to ablate the materials onto silicon substrate, kept at 250 deg. C, while post-deposition annealing at 400 deg. C was also performed in situ. The formation of cobalt grains within the carbon matrix in Co-DLC films can be seen through scanning electron and atomic force micrographs while no grains on the surface of the cobalt-free DLC film were observed. Raman spectra of all the films show D- and G-bands, which is a confirmation that the films are DLC in nature. According to Vibrating sample magnetometer (VSM) measurements, the DLC films with cobalt revealed ferromagnetic behaviour whereas the cobalt free DLC film exhibited diamagnetic behaviour. The pure DLC film also shows ferromagnetic nature when diamagnetic background is subtracted. Spectroscopic Ellipsometry (SE) analysis showed that the optical band gaps, refractive indices and extinction coefficients of Co-DLC films can be effectively tuned with increasing content of cobalt.

  6. Germanium nitride and oxynitride films for surface passivation of Ge radiation detectors

    Energy Technology Data Exchange (ETDEWEB)

    Maggioni, G., E-mail: maggioni@lnl.infn.it [Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Via Marzolo 8, I-35131 Padova (Italy); Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Carturan, S. [Dipartimento di Fisica e Astronomia G. Galilei, Università di Padova, Via Marzolo 8, I-35131 Padova (Italy); Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Fiorese, L. [Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Dipartimento di Ingegneria dei Materiali e delle Tecnologie Industriali, Università di Trento, Via Mesiano 77, I-38050 Povo, Trento (Italy); Pinto, N.; Caproli, F. [Scuola di Scienze e Tecnologie, Sezione di Fisica, Università di Camerino, Via Madonna delle Carceri 9, Camerino (Italy); INFN, Sezione di Perugia, Perugia (Italy); Napoli, D.R. [Laboratori Nazionali di Legnaro, Istituto Nazionale di Fisica Nucleare, Viale dell’Universita’2, I-35020 Legnaro, Padova (Italy); Giarola, M.; Mariotto, G. [Dipartimento di Informatica—Università di Verona, Strada le Grazie 15, I-37134 Verona (Italy)

    2017-01-30

    Highlights: • A surface passivation method for HPGe radiation detectors is proposed. • Highly insulating GeNx- and GeOxNy-based layers are deposited at room temperature. • Deposition parameters affect composition and electrical properties of the layers. • The improved performance of a GeNx-coated HPGe diode is assessed. - Abstract: This work reports a detailed investigation of the properties of germanium nitride and oxynitride films to be applied as passivation layers to Ge radiation detectors. All the samples were deposited at room temperature by reactive RF magnetron sputtering. A strong correlation was found between the deposition parameters, such as deposition rate, substrate bias and atmosphere composition, and the oxygen and nitrogen content in the film matrix. We found that all the films were very poorly crystallized, consisting of very small Ge nitride and oxynitride nanocrystallites, and electrically insulating, with the resistivity changing from three to six orders of magnitude as a function of temperature. A preliminary test of these films as passivation layers was successfully performed by depositing a germanium nitride film on the intrinsic surface of a high-purity germanium (HPGe) diode and measuring the improved performance, in terms of leakage current, with respect to a reference passivated diode. All these interesting results allow us to envisage the application of this coating technology to the surface passivation of germanium-based radiation detectors.

  7. Preparation Nano-Structure Polytetrafluoroethylene (PTFE Functional Film on the Cellulose Insulation Polymer and Its Effect on the Breakdown Voltage and Hydrophobicity Properties

    Directory of Open Access Journals (Sweden)

    Jian Hao

    2018-05-01

    Full Text Available Cellulose insulation polymer is an important component of oil-paper insulation, which is widely used in power transformer. The weight of the cellulose insulation polymer materials is as high as tens of tons in the larger converter transformer. Excellent performance of oil-paper insulation is very important for ensuring the safe operation of larger converter transformer. An effective way to improve the insulation and the physicochemical property of the oil impregnated insulation pressboard/paper is currently a popular research topic. In this paper, the polytetrafluoroethylene (PTFE functional film was coated on the cellulose insulation pressboard by radio frequency (RF magnetron sputtering to improve its breakdown voltage and the hydrophobicity properties. X-ray photoelectron spectroscopy (XPS results show that the nano-structure PTFE functional film was successfully fabricated on the cellulose insulation pressboard surface. The scanning electron microscopy (SEM and X-ray diffraction (XRD present that the nanoscale size PTFE particles were attached to the pressboard surface and it exists in the amorphous form. Atomic force microscopy (AFM shows that the sputtered pressboard surface is still rough. The rough PTFE functional film and the reduction of the hydrophilic hydroxyl of the surface due to the shielding effect of PTFE improve the breakdown and the hydrophobicity properties of the cellulose insulation pressboard obviously. This paper provides an innovative way to improve the performance of the cellulose insulation polymer.

  8. Plasma deposition of polymer composite films incorporating nanocellulose whiskers

    Science.gov (United States)

    Samyn, P.; Airoudj, A.; Laborie, M.-P.; Mathew, A. P.; Roucoules, V.

    2011-11-01

    In a trend for sustainable engineering and functionalization of surfaces, we explore the possibilities of gas phase processes to deposit nanocomposite films. From an analysis of pulsed plasma polymerization of maleic anhydride in the presence of nanocellulose whiskers, it seems that thin nanocomposite films can be deposited with various patterns. By specifically modifying plasma parameters such as total power, duty cycle, and monomer gas pressure, the nanocellulose whiskers are either incorporated into a buckled polymer film or single nanocellulose whiskers are deposited on top of a polymeric film. The density of the latter can be controlled by modifying the exact positioning of the substrate in the reactor. The resulting morphologies are evaluated by optical microscopy, AFM, contact angle measurements and ellipsometry.

  9. Deposition of Au/TiO2 film by pulsed laser

    International Nuclear Information System (INIS)

    Zhao Chongjun; Zhao Quanzhong; Zhao Qitao; Qiu Jianrong; Zhu Congshan

    2006-01-01

    Au nanoparticles, which were photoreduced by a Nd:YAG laser in HAuCl 4 solution containing TiO 2 colloid and accompanied by the TiO 2 particles, were deposited on the substrate surface. The film consisting of Au/TiO 2 particles was characterized by the absorption spectra, scanning electron microscopy (SEM) and X-ray diffraction (XRD) analysis. The adhesion between the film and substrate was evaluated by using adhesive tape test. It was found that the presence of TiO 2 dramatically enhanced the adhesion strength between the film and the substrate, as well as the deposition rate of film. The mechanism for the deposition of Au/TiO 2 film was also discussed

  10. Characterization of Niobium Oxide Films Deposited by High Target Utilization Sputter Sources

    International Nuclear Information System (INIS)

    Chow, R; Ellis, A D; Loomis, G E; Rana, S I

    2007-01-01

    High quality, refractory metal, oxide coatings are required in a variety of applications such as laser optics, micro-electronic insulating layers, nano-device structures, electro-optic multilayers, sensors and corrosion barriers. A common oxide deposition technique is reactive sputtering because the kinetic mechanism vaporizes almost any solid material in vacuum. Also, the sputtered molecules have higher energies than those generated from thermal evaporation, and so the condensates are smoother and denser than those from thermally-evaporated films. In the typical sputtering system, target erosion is a factor that drives machine availability. In some situations such as nano-layered capacitors, where the device's performance characteristics depends on thick layers, target life becomes a limiting factor on the maximizing device functionality. The keen interest to increase target utilization in sputtering has been addressed in a variety of ways such as target geometry, rotating magnets, and/or shaped magnet arrays. Also, a recent sputtering system has been developed that generates a high density plasma, directs the plasma beam towards the target in a uniform fashion, and erodes the target in a uniform fashion. The purpose of this paper is to characterize and compare niobia films deposited by two types of high target utilization sputtering sources, a rotating magnetron and a high density plasma source. The oxide of interest in this study is niobia because of its high refractive index. The quality of the niobia films were characterized spectroscopically in optical transmission, ellipsometrically, and chemical stoichiometry with X-ray photo-electron spectroscopy. The refractive index, extinction coefficients, Cauchy constants were derived from the ellipsometric modeling. The mechanical properties of coating density and stress are also determined

  11. Induced Recrystallization of CdTe Thin Films Deposited by Close-Spaced Sublimation

    International Nuclear Information System (INIS)

    Mayo, B.

    1998-01-01

    We have deposited CdTe thin films by close-spaced sublimation at two different temperature ranges. The films deposited at the lower temperature partially recrystallized after CdCl2 treatment at 350C and completely recrystallized after the same treatment at 400C. The films deposited at higher temperature did not recrystallize at these two temperatures. These results confirmed that the mechanisms responsible for changes in physical properties of CdTe films treated with CdCl2 are recrystallization and grain growth, and provided an alternative method to deposit CSS films using lower temperatures

  12. Characterization of thin CeO{sub 2} films electrochemically deposited on HOPG

    Energy Technology Data Exchange (ETDEWEB)

    Faisal, Firas [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Toghan, Arafat, E-mail: arafat.toghan@yahoo.com [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Chemistry Department, Faculty of Science, South Valley University, 83523 Qena (Egypt); Khalakhan, Ivan; Vorokhta, Mykhailo; Matolin, Vladimír [Department of Surface and Plasma Science, Charles University in Prague, V Holešovičkách 747/2, 180 00 Prague 8 (Czech Republic); Libuda, Jörg [Lehrstuhl für Physikalische Chemie II, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany); Erlangen Catalysis Resource Center, Friedrich-Alexander-Universität Erlangen-Nürnberg, Egerlandstrasse 3, 91058 Erlangen (Germany)

    2015-09-30

    Graphical abstract: - Highlights: • Preparation of proton exchange membrane fuel cells catalyst using electrochemical thin film deposition. • Electrodeposition thin films of CeO{sub 2} on HOPG substrates. • The samples were characterized by in-situ AFM and ex-situ XPS. • XPS results reveal that the electrochemically deposited cerium oxide films are stoichiometric. • Exposing the films to ambient air, cracking structures are formed. - Abstract: Electrodeposition is widely used for industrial applications to deposit thin films, coatings, and adhesion layers. Herein, CeO{sub 2} thin films were deposited on a highly oriented pyrolytic graphite (HOPG) substrate by cathodic electrodeposition. The influence of the deposition parameters on the yield and on the film morphology is studied and discussed. Morphology and composition of the electrodeposited films were characterized by in-situ atomic force microscopy (AFM), scanning electron microscopy (SEM), Energy Dispersive X-ray spectroscopy (EDX), and X-ray photoelectron spectroscopy (XPS). By AFM we show that the thickness of CeO{sub 2} films can be controlled via the Ce{sup 3+} concentration in solution and the deposition time. After exposing the films to ambient air, cracking structures are formed, which were analyzed by AFM in detail. The chemical composition of the deposits was analyzed by XPS indicating the formation of nearly stoichiometric CeO{sub 2}.

  13. Electrical and physical characteristics for crystalline atomic layer deposited beryllium oxide thin film on Si and GaAs substrates

    International Nuclear Information System (INIS)

    Yum, J.H.; Akyol, T.; Lei, M.; Ferrer, D.A.; Hudnall, Todd W.; Downer, M.; Bielawski, C.W.; Bersuker, G.; Lee, J.C.; Banerjee, S.K.

    2012-01-01

    In a previous study, atomic layer deposited (ALD) BeO exhibited less interface defect density and hysteresis, as well as less frequency dispersion and leakage current density, at the same equivalent oxide thickness than Al 2 O 3 . Furthermore, its self-cleaning effect was better. In this study, the physical and electrical characteristics of ALD BeO grown on Si and GaAs substrates are further evaluated as a gate dielectric layer in III–V metal-oxide-semiconductor devices using transmission electron microscopy, selective area electron diffraction, second harmonic generation, and electrical analysis. An as-grown ALD BeO thin film was revealed as a layered single crystal structure, unlike the well-known ALD dielectrics that exhibit either poly-crystalline or amorphous structures. Low defect density in highly ordered ALD BeO film, less variability in electrical characteristics, and great stability under electrical stress were demonstrated. - Highlights: ► BeO is an excellent electrical insulator, but good thermal conductor. ► Highly crystalline film of BeO has been grown using atomic layer deposition. ► An ALD BeO precursor, which is not commercially available, has been synthesized. ► Physical and electrical characteristics have been investigated.

  14. Photocatalytic activity of tin-doped TiO{sub 2} film deposited via aerosol assisted chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Chua, Chin Sheng, E-mail: cschua@simtech.a-star.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore); Tan, Ooi Kiang; Tse, Man Siu [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, 639798 (Singapore); Ding, Xingzhao [Singapore Institute of Manufacturing Technology, 71 Nanyang Drive, 638075 (Singapore)

    2013-10-01

    Tin-doped TiO{sub 2} films are deposited via aerosol assisted chemical vapor deposition using a precursor mixture composing of titanium tetraisopropoxide and tetrabutyl tin. The amount of tin doping in the deposited films is controlled by the volume % concentration ratio of tetrabutyl tin over titanium tetraisopropoxide in the mixed precursor solution. X-ray diffraction analysis results reveal that the as-deposited films are composed of pure anatase TiO{sub 2} phase. Red-shift in the absorbance spectra is observed attributed to the introduction of Sn{sup 4+} band states below the conduction band of TiO{sub 2}. The effect of tin doping on the photocatalytic property of TiO{sub 2} films is studied through the degradation of stearic acid under UV light illumination. It is found that there is a 10% enhancement on the degradation rate of stearic acid for the film with 3.8% tin doping in comparison with pure TiO{sub 2} film. This improvement of photocatalytic performance with tin incorporation could be ascribed to the reduction of electron-hole recombination rate through charge separation and an increased amount of OH radicals which are crucial for the degradation of stearic acid. Further increase in tin doping results in the formation of recombination site and large anatase grains, which leads to a decrease in the degradation rate. - Highlights: ► Deposition of tin-doped TiO{sub 2} film via aerosol assisted chemical vapor depositionDeposited anatase films show red-shifted in UV–vis spectrum with tin-dopants. ► Photoactivity improves at low tin concentration but reduces at higher concentration. ► Improvement in photoactivity due to bandgap narrowing from Sn{sup 4+} band states ► Maximum photoactivity achieved occurs for films with 3.8% tin doping.

  15. Iron films deposited on porous alumina substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yamada, Yasuhiro, E-mail: yyasu@rs.kagu.tus.ac.jp; Tanabe, Kenichi; Nishida, Naoki [Tokyo University of Science (Japan); Kobayashi, Yoshio [The University of Electro-Communications (Japan)

    2016-12-15

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 – 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  16. Aerosol deposition of (Cu,Ti) substituted bismuth vanadate films

    Energy Technology Data Exchange (ETDEWEB)

    Exner, Jörg, E-mail: Functional.Materials@Uni-Bayreuth.de [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany); Fuierer, Paul [Materials and Metallurgical Engineering Department, New Mexico Institute of Mining and Technology, Socorro, NM 87801 (United States); Moos, Ralf [University of Bayreuth, Department of Functional Materials, Universitätsstraße 30, 95440 Bayreuth (Germany)

    2014-12-31

    Bismuth vanadate, Bi{sub 4}V{sub 2}O{sub 11}, and related compounds with various metal (Me) substitutions, Bi{sub 4}(Me{sub x}V{sub 1−x}){sub 2}O{sub 11−δ}, show some of the highest ionic conductivities among the known solid oxide electrolytes. Films of Cu and Ti substituted bismuth vanadate were prepared by an aerosol deposition method, a spray coating process also described as room temperature impact consolidation. Resultant films, several microns in thickness, were dense with good adhesion to the substrate. Scanning electron microscopy and high temperature X-ray diffraction were used to monitor the effects of temperature on the structure and microstructure of the film. The particle size remained nano-scale while microstrain decreased rapidly up to 500 °C, above which coarsening and texturing increased rapidly. Impedance measurements of films deposited on inter-digital electrodes revealed an annealing effect on the ionic conductivity, with the conductivity exceeding that of a screen printed film, and approaching that of bulk ceramic. - Highlights: • Cu and Ti doped bismuth vanadate films were prepared by aerosol deposition (AD). • Dense 3–5 μm thick films were deposited on alumina, silicon and gold electrodes. • Annealing of the AD-layer increases the conductivity by 1.5 orders of magnitude. • Effect of temperature on structure and microstructure was investigated.

  17. Superconducting thin films

    International Nuclear Information System (INIS)

    Hebard, A.F.; Vandenberg, J.M.

    1982-01-01

    This invention relates to granular metal and metal oxide superconducting films formed by ion beam sputter deposition. Illustratively, the films comprise irregularly shaped, randomly oriented, small lead grains interspersed in an insulating lead oxide matrix. The films are hillock-resistant when subjected to thermal cycling and exhibit unusual josephson-type switching characteristics. Depending on the oxygen content, a film may behave in a manner similar to that of a plurality of series connected josephson junctions, or the film may have a voltage difference in a direction parallel to a major surface of the film that is capable of being switched from zero voltage difference to a finite voltage difference in response to a current larger than the critical current

  18. Thermionic vacuum arc (TVA) technique for magnesium thin film deposition

    Energy Technology Data Exchange (ETDEWEB)

    Balbag, M.Z., E-mail: zbalbag@ogu.edu.t [Eskisehir Osmangazi University, Education Faculty, Primary Education, Meselik Campus, Eskisehir 26480 (Turkey); Pat, S.; Ozkan, M.; Ekem, N. [Eskisehir Osmangazi University, Art and Science Faculty, Physics Department, Eskisehir 26480 (Turkey); Musa, G. [Ovidius University, Physics Department, Constanta (Romania)

    2010-08-15

    In this study, magnesium thin films were deposited on glass substrate by the Thermionic Vacuum Arc (TVA) technique for the first time. We present a different technique for deposition of high-quality magnesium thin films. By means of this technique, the production of films is achieved by condensing the plasma of anode material generated using Thermionic Vacuum Arc (TVA) under high vacuum conditions onto the surface to be coated. The crystal orientation and morphology of the deposited films were investigated by using XRD, EDX, SEM and AFM. The aim of this study is to search the use of TVA technique to coat magnesium thin films and to determine some of the physical properties of the films generated. Furthermore, this study will contribute to the scientific studies which search the thin films of magnesium or the compounds containing magnesium. In future, this study will be preliminary work to entirely produce magnesium diboride (MgB{sub 2}) superconductor thin film with the TVA technique.

  19. Cuprous sulfide as a film insulation for superconductors

    International Nuclear Information System (INIS)

    Wagner, G.R.; Uphoff, J.H.; Vecchio, P.D.

    1982-01-01

    The LCP test coil utilizes a conductor of forced-flow design having 486 strands of multifilametary Nb 3 Sn compacted in a stainless steel sheath. The impetus for the work reported here stemmed from the need for some form of insulation for those strands to prevent sintering during reaction and to reduce ac losses. The work reported here experimented with cuprous sulfide coatings at various coating rates and thicknesses. Two solenoids that were wound with cuprous sulfide-coated wires and heat-treated at 700 degrees C were found to demonstrate that the film is effective in providing turn-to-turn insulation for less than about 0.5V between turns. The sulfide layer provided a metal-semiconductor junction which became conducting at roughly 0.5V. Repeated cycling of the coil voltage in excess of that value produced no damage to the sulfide layer. The junction provided self-protection for the coil as long as the upper allowable current density in the sulfide was not exceeded. No training was apparent up to 6.4 T

  20. Adherence of diamond films on refractory metal substrates for thermionic applications

    International Nuclear Information System (INIS)

    Tsao, B.H.; Ramalingam, M.L.; Adams, S.F.; Cloyd, J.S.

    1991-01-01

    Diamond films are currently being considered as electrical insulation material for application in the thermionic fuel element of a power producing nuclear reactor system. The function of the diamond insulator in this application is to electrically isolate the collector of each cell in the TFE from the coolant and outer sheath. Deposition of diamond films on plane surfaces of Si/SiO 2 have already been demonstrated to be quite effective. However, the diamond films on refractory metal surfaces tend to spall off in the process of deposition revealing an inefficient adherence characteristic between the film and the substrate. This paper is geared towards explaining this deficiency by way of selected experimentation and the use of analytical tools to predict uncertainties such as the mismatch in coefficient of expansion, micrographic study of the interface between the film and the substrate and X-ray diffraction spectra. The investigation of the adherence characteristics of several diamond films on Mo and Nb substrates revealed that there was an allowable stress that resulted in the formation of the critical thickness for the diamond film

  1. Metal-doped diamond-like carbon films synthesized by filter-arc deposition

    International Nuclear Information System (INIS)

    Weng, K.-W.; Chen, Y.-C.; Lin, T.-N.; Wang, D.-Y.

    2006-01-01

    Diamond-like carbon (DLC) thin films are extensively utilized in the semiconductor, electric and cutting machine industries owing to their high hardness, high elastic modulus, low friction coefficients and high chemical stability. DLC films are prepared by ion beam-assisted deposition (BAD), sputter deposition, plasma-enhanced chemical vapor deposition (PECVD), cathodic arc evaporation (CAE), and filter arc deposition (FAD). The major drawbacks of these methods are the degraded hardness associated with the low sp 3 /sp 2 bonding ratio, the rough surface and poor adhesion caused by the presence of particles. In this study, a self-developed filter arc deposition (FAD) system was employed to prepare metal-containing DLC films with a low particle density. The relationships between the DLC film properties, such as film structure, surface morphology and mechanical behavior, with variation of substrate bias and target current, are examined. Experimental results demonstrate that FAD-DLC films have a lower ratio, suggesting that FAD-DLC films have a greater sp 3 bonding than the CAE-DLC films. FAD-DLC films also exhibit a low friction coefficient of 0.14 and half of the number of surface particles as in the CAE-DLC films. Introducing a CrN interfacial layer between the substrate and the DLC films enables the magnetic field strength of the filter to be controlled to improve the adhesion and effectively eliminate the contaminating particles. Accordingly, the FAD system improves the tribological properties of the DLC films

  2. Cracking and delamination of vapor-deposited tantalum films

    International Nuclear Information System (INIS)

    Fisher, R.M.; Duan, J.Z.; Liu, J.B.

    1990-01-01

    This paper reports on tantalum films which begin to crack and spall during vapor deposition on glass at a thickness of 180 nm. Islands and ribbons, 10 - 30 μm in size, delaminate by crack growth along the Ta/glass interface for several μm after which the crack penetrates into the glass to a depth of 0.5 - 1 μm and complete spalling occurs. X-ray diffraction showed that about 50% of the original bct, β-tantalum, phase had transformed to the bcc α-Ta phase. When Ta was deposited on glass that was first covered with 52 nm of copper, spalling was observed to begin at a thickness of 105 nm. In this case, the film first cracks and then peels along the Cu/glass interface and curls into scrolls indicating the presence of a small stress gradient. X-ray diffraction of the as-deposited film, and electron diffraction of ion-milled flakes, showed that the Ta films deposited on Cu-coated glass almost completely transform to bcc α-Ta. The critical thickness for delamination along the Cu/glass interface is about 1/2 that for cracking in the glass substrate when an intermediate layer of Cu is not present. All of the above findings are in good agreement with previous observations on Cr films

  3. Study of the effect of ZnO film on some properties of clear and color window glass

    Science.gov (United States)

    Hamead, Alaa A. Abdul; Ahmed, Sura S.; Khdheer, Mena F.

    2018-05-01

    In the current research, a samples of transparent color and colorless window glass were prepared, (includes metal transition oxides) for construction applications. A nano-film layer of zinc oxide ZnO was deposited by spray pyrolysis technique for use in sustainability applications prepared. Structural properties (x-ray diffraction XRD, scanning electron microscopy SEM and atomic force microscopy AFM), and thermal properties, as well as optical properties and the effect of weathering conditions on applied film on clear and colored glass were examined. The results showed that the deposition film had a thickness of less than 90nm and that it was crystallized with high optical transparently, that was not significantly affected after deposited the ZnO nano film. While thermal insulation decreased significantly after deposition, and the effect of the weather conditions was very low as the ZnO coating was not affected, as the thermal insulation did not change after exposure to accelerated air conditions. Make it suitable in glass applications for buildings in vertical construction.

  4. Effect of argon addition into oxygen atmosphere on YBCO thin films deposition

    International Nuclear Information System (INIS)

    Mozhaev, P. B.; Borisenko, I. V.; Ovsyannikov, G. A.; Kuehle, A.; Bindslev-Hansen, J.; Johannes, L.; Skov, J. L.

    2002-01-01

    Multicomponent nature of the YBa 2 Cu 3 O x (YBCO) high-temperature superconductor makes difficult fabrication of smooth thin films: every local deviation from stoichiometry can result in seeding of a non-superconducting oxide particle. High density of such particles on typical YBCO thin film surface, however, presumes overall non-stoichiometry of the film. Such an effect can result from (i) non-uniform material transport from target to substrate, and (ii) re-evaporation or re-sputtering from the growing film surface. The first reason is more usual for laser ablation deposition technique, the second is typical for long sputtering deposition processes. Substitution of oxygen with argon in the deposition atmosphere improves surface quality of YBCO thin films deposited both by laser ablation and DC-sputtering at high pressure techniques. In the first case, the ablated species are scattered different ways in the oxygen atmosphere. Addition of argon decreases the inelastic scattering of barium; the proper part of Ar in the deposition atmosphere makes scattering and, hence, transport of all atoms uniform. The YBCO films deposited by DC-sputtering at high pressure technique are Ba-deficient also, but the reason is re-sputtering of Ba from the growing film as a result of negative oxygen ions bombardment. Such bombardment can lead also to chemical interaction of the deposited material with the substrate, as in the case of deposition of YBCO thin film on the CeO 2 buffer layer on sapphire. Substitution of oxygen with argon not only suppresses ion bombardment of the film, but also increases discharge stability due to presence of positive Ar + ions. The limiting factor of argon substitution is sufficient oxygenation of the growing oxide film. When oxygen partial pressure is too small, the superconducting quality of the YBCO thin film decreases and such a decrease cannot be overcome by prolonged oxygenation after deposition. (Authors)

  5. Ga–Ge–Te amorphous thin films fabricated by pulsed laser deposition

    International Nuclear Information System (INIS)

    Němec, P.; Nazabal, V.; Dussauze, M.; Ma, H.-L.; Bouyrie, Y.; Zhang, X.-H.

    2013-01-01

    UV pulsed laser deposition was employed for the fabrication of amorphous Ga–Ge–Te thin films. The local structure of the bulk glasses as well as corresponding thin films was studied using Raman scattering spectroscopy; the main structural motifs were found to be [GeTe 4 ], eventually [GaTe 4 ] corner-sharing tetrahedra and disordered Te chains. Optical functions of the films (refractive index, extinction coefficient) were characterized by variable angle spectroscopic ellipsometry. Photostability experiments showed all Ga–Ge–Te laser deposited films to be stable against 1550 nm laser irradiation in an as-deposited state. In an annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75 . This particular composition was further studied from the point of view of thermal stability and stability against ageing in as-deposited state. - Highlights: ► Pulsed laser deposition was used for fabrication of amorphous Ga–Ge–Te thin films. ► GeTe 4 , eventually GaTe 4 tetrahedra and disordered Te chains form the film structure. ► Optical functions of Ge–Ga–Te films were characterized by spectroscopic ellipsometry. ► All as-deposited Ga–Ge–Te thin films are stable against 1550 nm irradiation. ► In annealed state, the most photostable composition seems to be Ga 10 Ge 15 Te 75

  6. Metal-insulator transition in nanocomposite VO{sub x} films formed by anodic electrodeposition

    Energy Technology Data Exchange (ETDEWEB)

    Tsui, Lok-kun; Lu, Jiwei; Zangari, Giovanni, E-mail: gz3e@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., Charlottesville, Virginia 22904 (United States); Hildebrand, Helga; Schmuki, Patrik [Department for Materials Science LKO, University of Erlangen-Nuremberg, Martensstr. 7, D-91058 Erlangen (Germany)

    2013-11-11

    The ability to grow VO{sub 2} films by electrochemical methods would open a low-cost, easily scalable production route to a number of electronic devices. We have synthesized VO{sub x} films by anodic electrodeposition of V{sub 2}O{sub 5}, followed by partial reduction by annealing in Ar. The resulting films are heterogeneous, consisting of various metallic/oxide phases and including regions with VO{sub 2} stoichiometry. A gradual metal insulator transition with a nearly two order of magnitude change in film resistance is observed between room temperature and 140 °C. In addition, the films exhibit a temperature coefficient of resistance of ∼ −2.4%/ °C from 20 to 140 °C.

  7. Fabrication of AlN thin films on different substrates at ambient temperature

    CERN Document Server

    Cai, W X; Wu, P H; Yang, S Z; Ji, Z M

    2002-01-01

    Aluminium nitride (AlN) is very useful as a barrier in superconductor-insulator-superconductor (SIS) device or as an insulating layer in many other applications. At ambient temperature, we deposit AlN thin films onto different substrates (such as MgO, LaAlO sub 3 and Si) by using radio-frequency magnetron sputtering and pure Al target. X-ray diffraction (XRD) and PHI-scan patterns show that the films grown on MgO substrates are excellent epitaxial films with (101) orientation of a hexagonal lattice. A possible structure of the interface between the film and the substrate is suggested and discussed.

  8. Electron-beam deposition of vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Marvel, R.E.; Appavoo, K. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Choi, B.K. [Vanderbilt University, Department of Electrical Engineering and Computer Science, Nashville, TN (United States); Nag, J. [Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States); Haglund, R.F. [Vanderbilt University, Interdisciplinary Materials Science Program, Nashville, TN (United States); Vanderbilt University, Institute for Nanoscale Science and Engineering, Nashville, TN (United States); Vanderbilt University, Department of Physics and Astronomy, Nashville, TN (United States)

    2013-06-15

    Developing a reliable and efficient fabrication method for phase-transition thin-film technology is critical for electronic and photonic applications. We demonstrate a novel method for fabricating polycrystalline, switchable vanadium dioxide thin films on glass and silicon substrates and show that the optical switching contrast is not strongly affected by post-processing annealing times. The method relies on electron-beam evaporation of a nominally stoichiometric powder, followed by fast annealing. As a result of the short annealing procedure we demonstrate that films deposited on silicon substrates appear to be smoother, in comparison to pulsed laser deposition and sputtering. However, optical performance of e-beam evaporated film on silicon is affected by annealing time, in contrast to glass. (orig.)

  9. Metalorganic atomic layer deposition of TiN thin films using TDMAT and NH3

    International Nuclear Information System (INIS)

    Kim, Hyo Kyeom; Kim, Ju Youn; Park, Jin Yong; Kim, Yang Do; Kim, Young Do; Jeon, Hyeong Tag; Kim, Won Mok

    2002-01-01

    TiN films were deposited by using the metalorganic atomic layer deposition (MOALD) method using tetrakis-dimethyl-amino-titanium (TDMAT) as the titanium precursor and ammonia (NH 3 ) as the reactant gas. Two saturated TiN film growth regions were observed in the temperature ranges from 175 and 190 .deg. C and from 200 and 210 .deg. C. TiN films deposited by the MOALD technique showed relatively lower carbon content than films deposited by metalorganic chemical vapor deposition (MOCVD) method. TiN films deposited at around 200 .deg. C under standard conditions showed the resistivity values as low as 500 μΩ-cm, which is about one order lower than the values for TiN films deposited by MOCVD using TDMAT or TDMAT with NH 3 . Also, the carbon incorporation and the resistivity were further decreased with increasing Ar purge time and flow rate. TiN films deposited at temperature below 300 .deg. C showed amorphous characteristics. TiN film deposited on contact holes, about 0.4-μm wide and 0.8-μm deep, by using the MOALD method showed excellent conformal deposition with almost 100% step coverage. This study demonstrates that the processing parameters need to be carefully controlled to optimize the film properties that the processing parameters need to be carefully controlled to optimize the film properties when using the ALD technique and that TiN films deposited by using the MOALD method exhibited excellent film properties compared to those of films deposited by using other CVD methods

  10. Defect design of insulation systems for photovoltaic modules

    Science.gov (United States)

    Mon, G. R.

    1981-01-01

    A defect-design approach to sizing electrical insulation systems for terrestrial photovoltaic modules is presented. It consists of gathering voltage-breakdown statistics on various thicknesses of candidate insulation films where, for a designated voltage, module failure probabilities for enumerated thickness and number-of-layer film combinations are calculated. Cost analysis then selects the most economical insulation system. A manufacturing yield problem is solved to exemplify the technique. Results for unaged Mylar suggest using fewer layers of thicker films. Defect design incorporates effects of flaws in optimal insulation system selection, and obviates choosing a tolerable failure rate, since the optimization process accomplishes that. Exposure to weathering and voltage stress reduces the voltage-withstanding capability of module insulation films. Defect design, applied to aged polyester films, promises to yield reliable, cost-optimal insulation systems.

  11. Thin film plasma coatings from dielectric free-flowing materials

    International Nuclear Information System (INIS)

    Timofeeva, L.A.; Katrich, S.A.; Solntsev, L.A.

    1994-01-01

    Fabrication of thin film plasma coatings from insulating free-flowing materials is considered. Molybdenum-tart ammonium coating of 3...5 μ thickness deposited on glassy carbon, aluminium, silicon, nickel, cast iron and steel substrates in 'Bulat-ZT' machine using insulating free-flowing materials cathod was found to form due to adsorption, absorption and dissuasion processes. The use of insulating free-flowing materials coatings allow to exclude pure metals cathods in plasma-plating process

  12. Rapid thermally annealed plasma deposited SiNx:H thin films: Application to metal-insulator-semiconductor structures with Si, In0.53Ga0.47As, and InP

    International Nuclear Information System (INIS)

    Martil, I.; Prado, A. del; San Andres, E.; Gonzalez Diaz, G.; Martinez, F.L.

    2003-01-01

    We present in this article a comprehensive study of rapid thermal annealing (RTA) effects on the physical properties of SiN x :H thin films deposited by the electron cyclotron resonance plasma method. Films of different as-deposited compositions (defined in this article as the nitrogen to silicon ratio, x=N/Si) were analyzed: from Si-rich (x=0.97) to N-rich (x=1.6) films. The evolution of the composition, bonding configuration, and paramagnetic defects with the annealing temperature are explained by means of different network bond reactions that take place depending on the as-deposited film composition. All the analyzed films release hydrogen, while Si-rich and near-stoichiometric (x=1.43) ones also lose nitrogen upon annealing. These films were used to make Al/SiN x :H/semiconductor devices with Si, In 0.53 Ga 0.47 As, and InP. After RTA treatments, the electrical properties of the three different SiN x :H/semiconductor interfaces can be explained, noting the microstructural modifications that SiN x :H experiences upon annealing

  13. Formation of aluminum films on silicon by ion beam deposition: a comparison with ionized cluster beam deposition

    International Nuclear Information System (INIS)

    Zuhr, R.A.; Haynes, T.E.; Galloway, M.D.; Tanaka, S.; Yamada, A.; Yamada, I.

    1991-01-01

    The direct ion beam deposition (IBD) technique has been used to study the formation of oriented aluminum films on single crystal silicon substrates. In the IBD process, thin film growth is accomplished by decelerating a magnetically analyzed ion beam to low energies (10-200 eV) for direct deposition onto the substrate under UHV conditions. The aluminum-on-silicon system is one which has been studied extensively by ionized cluster beam (ICB) deposition. This technique has produced intriguing results for aluminum, with oriented crystalline films being formed at room temperature in spite of the 25% mismatch in lattice constant between aluminum and silicon. In this work, we have studied the formation of such films by IBD, with emphasis on the effects of ion energy, substrate temperature, and surface cleanliness. Oriented films have been grown on Si(111) at temperatures from 40 to 300degC and with ion energies of 30-120 eV per ion. Completed films were analyzed by ion scattering, X-ray diffraction, scanning-electron microscopy, and optical microscopy. Results achieved for thin films grown by IBD are comparable to those for similar films grown by ICB deposition. (orig.)

  14. Study on the electrical properties of ITO films deposited by facing target sputter deposition

    International Nuclear Information System (INIS)

    Kim, Youn J; Jin, Su B; Kim, Sung I; Choi, Yoon S; Choi, In S; Han, Jeon G

    2009-01-01

    This study examined the mechanism for the change in the electrical properties (carrier concentration (n) and mobility (μ)) of tin-doped indium oxide (ITO) films deposited by magnetron sputtering in a confined facing magnetic field. The relationship between the carrier concentration and the mobility was significantly different from the results reported for ITO films deposited by other magnetron sputtering processes. The lowest resistivity obtained for ITO films deposited in a confined facing magnetic field at low substrate temperatures (approximately 120 0 C) was 4.26 x 10 -4 Ω cm at a power density of 3 W cm -2 . Crystalline ITO films were obtained at a low power density range from 3 to 5 W cm -2 due to the increase in the substrate temperature from 120 to 162 0 C. This contributed to the increased carrier concentration and decreased electrical resistivity. X-ray photoelectron spectroscopy revealed an increase in the concentration of the Sn 4+ states. This was attributed to the formation of a crystalline ITO film, which effectively enhanced the carrier concentration and reduced the carrier mobility.

  15. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    Science.gov (United States)

    Pigois-Landureau, E.; Nicolau, Y. F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3-4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces.

  16. Short review on chemical bath deposition of thin film and characterization

    Energy Technology Data Exchange (ETDEWEB)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com [Depertment of Physics, Shri Chhatrapati Shivaji College, Omerga-413606 (India)

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  17. Spray pyrolysis deposition and photoelectrochemical properties of n-type BiOI nanoplatelet thin films.

    Science.gov (United States)

    Hahn, Nathan T; Hoang, Son; Self, Jeffrey L; Mullins, C Buddie

    2012-09-25

    Bismuth oxy-iodide is a potentially interesting visible-light-active photocatalyst; yet there is little research regarding its photoelectrochemical properties. Herein we report the synthesis of BiOI nanoplatelet photoelectrodes by spray pyrolysis on fluorine-doped tin oxide substrates at various temperatures. The films exhibited n-type conductivity, most likely due to the presence of anion vacancies, and optimized films possessed incident photon conversion efficiencies of over 20% in the visible range for the oxidation of I(-) to I(3)(-) at 0.4 V vs Ag/AgCl in acetonitrile. Visible-light photons (λ > 420 nm) contributed approximately 75% of the overall photocurrent under AM1.5G illumination, illustrating their usefulness under solar light illumination. A deposition temperature of 260 °C was found to result in the best performance due to the balance of morphology, crystallinity, impurity levels, and optical absorption, leading to photocurrents of roughly 0.9 mA/cm(2) at 0.4 V vs Ag/AgCl. Although the films performed stably in acetonitrile, their performance decreased significantly upon extended exposure to water, which was apparently caused by a loss of surface iodine and subsequent formation of an insulating bismuth hydroxide layer.

  18. Liquid phase deposition of silica: Thin films, colloids and fullerenes

    Science.gov (United States)

    Whitsitt, Elizabeth A.

    Little research has been done to explore liquid phase deposition (LPD) of silica on non-planar substrates. This thesis proves that the seeded growth of silica colloids from fullerene and surfactant micelles is possible via LPD, as is the coating of individual single walled carbon nanotubes (SWNTs) and carbon fibers. Working on the premise that a molecular growth mechanism (versus colloidal/gel deposition) is valid for LPD, nanostructured substrates and specific chemical functional groups should act as "seeds," or templates, for silica growth. Seeded growth is confirmed by reactions of the growth solution with a range of surfactants and with materials with distinctive surface moieties. LPD promises lower production costs and environmental impact as compared to present methods of coating technology, because it is an inherently simple process, using low temperatures and inexpensive air-stable reactants. Silica is ubiquitous in materials science. Its applications range from thixotropic additives for paint to gate dielectrics in the semiconductor industry. Nano-structured coatings and thin films are integral in today's electronics industry and will become more vital as the size of electronics shrinks. With the incorporation of nanoparticles in future devices, the ability to deposit quality coatings with finely tuned properties becomes paramount. The methods developed herein have applications in fabricating insulators for use in the future molecular scale electronics industry. Additionally, these silica nanoparticles have applications as templates for use in photonics and fuel cell membrane production and lend strength and durability to composites.

  19. Structural characterization of the nickel thin film deposited by glad technique

    Directory of Open Access Journals (Sweden)

    Potočnik J.

    2013-01-01

    Full Text Available In this work, a columnar structure of nickel thin film has been obtained using an advanced deposition technique known as Glancing Angle Deposition. Nickel thin film was deposited on glass sample at the constant emission current of 100 mA. Glass sample was positioned 15 degrees with respect to the nickel vapor flux. The obtained nickel thin film was characterized by Force Modulation Atomic Force Microscopy and by Scanning Electron Microscopy. Analysis indicated that the formation of the columnar structure occurred at the film thickness of 1 μm, which was achieved for the deposition time of 3 hours. [Projekat Ministarstva nauke Republike Srbije, br. III45005

  20. The X-ray sensitivity of semi-insulating polycrystalline CdZnTe thick films

    International Nuclear Information System (INIS)

    Won, Jae Ho; Kim, Ki Hyun; Suh, Jong Hee; Cho, Shin Hang; Cho, Pyong Kon; Hong, Jin Ki; Kim, Sun Ung

    2008-01-01

    The X-ray sensitivity is one of the important parameters indicating the detector performance. The X-ray sensitivity of semi-insulating polycrystalline CdZnTe:Cl thick films was investigated as a function of electric field, mean photon energy, film thickness, and charge carrier transport parameters and, compared with another promising detector materials. The X-ray sensitivities of the polycrystalline CdZnTe films with 350 μm thickness were about 2.2 and 6.2 μC/cm 2 /R in the ohmic-type and Schottky-type detector at 0.83 V/μm, respectively

  1. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    Science.gov (United States)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  2. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  3. Laser-assisted deposition of thin C60 films

    DEFF Research Database (Denmark)

    Schou, Jørgen; Canulescu, Stela; Fæster, Søren

    Metal and metal oxide films with controlled thickness from a fraction of a monolayer up more than 1000 nm and known stoichiometry can be produced by pulsed laser deposition (PLD) relatively easily, and (PLD) is now a standard technique in all major research laboratories within materials science...... of the matrix material, anisole, with a concentration of 0.67 wt% C60. At laser fluences below 1.5 J/cm2, a dominant fraction of the film molecules are C60 transferred to the substrate without any fragmentation. High-resolution SEM images of MAPLE deposited films reveal large circular features on the surface...

  4. Depositing Materials on the Micro- and Nanoscale

    DEFF Research Database (Denmark)

    Mar, Mikkel Dysseholm; Herstrøm, Berit; Shkondin, Evgeniy

    2014-01-01

    on sequential introduction of precursor pulses with intermediate purging steps. The process proceeds by specific surface ligand-exchange reactions and this leads to layer-by-layer growth control. No other thin film deposition technique can approach the conformity achieved by ALD on high aspect ratio structures....... In these systems thin films of different kind are important parts of giving the system the properties needed. This can be properties like light absorbing layers, antireflection coatings or conductive layers in solar cells. It can be low stress layers in membranes, chemicals resistant layers in chemical sensors......, layers with specific optical properties in optical sensors, piezoelectric thin films or insulating layers in many other applications. These different materials and properties impose a demand for different kind of deposition techniques. At DTU Danchip we have a large variety of these deposition techniques...

  5. Defects reduction of Ge epitaxial film in a germanium-on-insulator wafer by annealing in oxygen ambient

    Directory of Open Access Journals (Sweden)

    Kwang Hong Lee

    2015-01-01

    Full Text Available A method to remove the misfit dislocations and reduce the threading dislocations density (TDD in the germanium (Ge epilayer growth on a silicon (Si substrate is presented. The Ge epitaxial film is grown directly on the Si (001 donor wafer using a “three-step growth” approach in a reduced pressure chemical vapour deposition. The Ge epilayer is then bonded and transferred to another Si (001 handle wafer to form a germanium-on-insulator (GOI substrate. The misfit dislocations, which are initially hidden along the Ge/Si interface, are now accessible from the top surface. These misfit dislocations are then removed by annealing the GOI substrate. After the annealing, the TDD of the Ge epilayer can be reduced by at least two orders of magnitude to <5 × 106 cm−2.

  6. SEM and XPS study of layer-by-layer deposited polypyrrole thin films

    International Nuclear Information System (INIS)

    Pigois-Landureau, E.; Nicolau, Y.F.; Delamar, M.

    1996-01-01

    Layer-by-layer deposition of thin films (a few nm) of polypyrrole was carried out on various substrates such as silver, platinum, electrochemically oxidized aluminum and pretreated glass. SEM micrographs showed that the deposited layers nucleate by an island-type mechanism on hydrated alumina and KOH-pretreated (hydrophilic) glass before forming a continuous film. However, continuous thin films are obtained on chromic acid pretreated (hydrophobic) glass and sputtered Ag or Pt on glass after only 3 endash 4 deposition cycles. The mean deposition rate evaluated by XPS for the first deposition cycles on Ag and Pt is 3 and 4 nm/cycle, respectively, in agreement with previous gravimetric determinations on thicker films, proving the constancy of the deposition rate. The XPS study of the very thin films obtained by a few deposition cycles shows that the first polypyrrole layers are dedoped by hydroxydic (basic) substrate surfaces. copyright 1996 American Institute of Physics

  7. Integrated Multilayer Insulation

    Science.gov (United States)

    Dye, Scott

    2009-01-01

    Integrated multilayer insulation (IMLI) is being developed as an improved alternative to conventional multilayer insulation (MLI), which is more than 50 years old. A typical conventional MLI blanket comprises between 10 and 120 metallized polymer films separated by polyester nets. MLI is the best thermal- insulation material for use in a vacuum, and is the insulation material of choice for spacecraft and cryogenic systems. However, conventional MLI has several disadvantages: It is difficult or impossible to maintain the desired value of gap distance between the film layers (and consequently, it is difficult or impossible to ensure consistent performance), and fabrication and installation are labor-intensive and difficult. The development of IMLI is intended to overcome these disadvantages to some extent and to offer some additional advantages over conventional MLI. The main difference between IMLI and conventional MLI lies in the method of maintaining the gaps between the film layers. In IMLI, the film layers are separated by what its developers call a micro-molded discrete matrix, which can be loosely characterized as consisting of arrays of highly engineered, small, lightweight, polymer (typically, thermoplastic) frames attached to, and placed between, the film layers. The term "micro-molded" refers to both the smallness of the frames and the fact that they are fabricated in a process that forms precise small features, described below, that are essential to attainment of the desired properties. The term "discrete" refers to the nature of the matrix as consisting of separate frames, in contradistinction to a unitary frame spanning entire volume of an insulation blanket.

  8. Tribological behavior of in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films

    International Nuclear Information System (INIS)

    Guo Yanbao; Wang Deguo; Liu Shuhai

    2010-01-01

    Multilayer polyelectrolyte films containing silver ions were obtained by molecular deposition method on a glass plate or a quartz substrate. The in situ Ag nanoparticles were synthesized in the multilayer polyelectrolyte films which were put into fresh NaBH 4 aqueous solution. The structure and surface morphology of composite molecular deposition films were observed by UV-vis spectrophotometer, X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Tribological characteristic was investigated by AFM and micro-tribometer. It was found that the in situ Ag nanoparticles/polyelectrolyte composite molecular deposition films have lower coefficient of friction and higher anti-wear life than pure polyelectrolyte molecular deposition films.

  9. PVD processes of thin films deposition using Hall-current discharge

    International Nuclear Information System (INIS)

    Svadkovskij, I.V.

    2007-01-01

    Results of research and developments in the field of PVD processes of thin films deposition using Hall-current discharge have been summarized. Effects of interaction of ions with surface during deposition have been considered. Also features of application and prospects of devices based on ion beam and magnetron sputtering systems in thin films technologies have been analyzed. The aspects in the field plasma physics, technology and equipment plasma PVD processes of thin films deposition have been systematized, on the base of investigations made by author and other scientists. (authors)

  10. Characterization of superconducting thin films deposited by laser ablation. Caracterisation de films minces supraconducteurs deposes par ablation laser

    Energy Technology Data Exchange (ETDEWEB)

    Sentis, M; Delaporte, P [I.M.F.M., 13 - Marseille (FR); Gerri, M; Marine, W [Aix-Marseille-2 Univ., 13-Marseille (FR). Centre Universitaire de Luminy

    1991-05-01

    Thin films of YBa{sub 2}Cu{sub 3}O{sub 7} are deposited by laser ablation on MgO and YSZ substrates. Deposits by infrared (I.R.) Nd: YAG are non stoechiometric. The films having the best superconductor qualities are deposited by ablation with an excimer U.V. laser ({lambda} = 308 nm). These films are epitaxiated with the c axis perpendicular to the substrate. The film quality depends on the substrate temperature, oxygen pressure and cooling speed.

  11. Behaviour of gas conditions during vacuum arc discharges used for deposition of thin films

    International Nuclear Information System (INIS)

    Strzyzewski, J.; Langner, J.; Sadowski, M.; Witkowski, J.; Mirowski, R.; Catani, L.; Cianchi, A.; Russo, R.; Tazzari, S.

    2005-01-01

    The vacuum arc, which is one of the oldest techniques used for the deposition of thin films, is now widely used for the Plasma Immersion Ion Implantation and Deposition (PIII and D) in laboratory and industry. Despite of high progress in this field observed during last three decades, involving e.g. magnetic filters for the elimination of micro-droplets, some problems have not been resolved so far. The paper concerns an important problem which is connected with the inclusion of some impurities in the deposited metal film. It was found that appearance of contaminants in the film is induced mainly by water vapour remnants inside the vacuum chamber. The high adsorption of such contaminants by the deposited thin films is observed particularly in so-called getter materials, as niobium and titanium. Such materials can absorb impurities from the surrounding and dissolve them inside the layer. In order to eliminate this problem, in 2000 a new approach was proposed to perform arc discharges at the ultra-high vacuum (UHV) conditions. It was demonstrated experimentally that the deposited pure Nb-films have similar properties to the bulk-Nb samples. These results are very promising from the point of the application of such coating processes in super-conducting RF cavities of future charged-particle accelerators. The paper describes different methods used for the reduction of the background pressure in the UHV stand below 10 -10 hPa. The most important methods involve the selection of appropriate materials and the backing of whole vacuum system. In order to reduce the contaminants a laser triggering system has been applied instead of a common system, which used high-voltage discharges along the surface of an insulated trigger electrode. Particular attention is paid to a comparison of different gas conditions during arc discharges at high-vacuum conditions (background pressure in the range of 10 -8 -10 -7 hPa) and at UHV experiments (background pressure within the range of 10 -11

  12. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  13. Direct-current substrate bias effects on amorphous silicon sputter-deposited films for thin film transistor fabrication

    International Nuclear Information System (INIS)

    Jun, Seung-Ik; Rack, Philip D.; McKnight, Timothy E.; Melechko, Anatoli V.; Simpson, Michael L.

    2005-01-01

    The effect that direct current (dc) substrate bias has on radio frequency-sputter-deposited amorphous silicon (a-Si) films has been investigated. The substrate bias produces a denser a-Si film with fewer defects compared to unbiased films. The reduced number of defects results in a higher resistivity because defect-mediated conduction paths are reduced. Thin film transistors (TFTs) that were completely sputter deposited were fabricated and characterized. The TFT with the biased a-Si film showed lower leakage (off-state) current, higher on/off current ratio, and higher transconductance (field effect mobility) than the TFT with the unbiased a-Si film

  14. Sputter deposition of tantalum-nitride films on copper using an rf-plasma

    International Nuclear Information System (INIS)

    Walter, K.C.; Fetherston, R.P.; Sridharan, K.; Chen, A.; Shamim, M.M.; Conrad, J.R.

    1994-01-01

    A tantalum-nitride film was successfully deposited at ambient temperature on copper with a modified ion-assisted-deposition (IAD) technique. The process uses an argon and nitrogen plasma to sputter deposit from a tantalum rf-cathode and ion implant the deposited film simultaneously. Both argon and nitrogen ions are used for sputtering and ion implantation. Auger spectroscopy and x-ray diffraction were used to characterize the resulting film

  15. Properties of pulsed laser deposited NiO/MWCNT thin films

    CSIR Research Space (South Africa)

    Yalisi, B

    2011-05-01

    Full Text Available Pulsed laser deposition (PLD) is a thin-film deposition technique, which uses short and intensive laser pulses to evaporate target material. The technique has been used in this work to produce selective solar absorber (SSA) thin film composites...

  16. Stress anisotropy and stress gradient in magnetron sputtered films with different deposition geometries

    International Nuclear Information System (INIS)

    Zhao, Z.B.; Yalisove, S.M.; Bilello, J.C.

    2006-01-01

    Mo films were deposited via magnetron sputtering with two different deposition geometries: dynamic deposition (moving substrate) and static deposition (fixed substrate). The residual stress and structural morphologies of these films were investigated, with particular focus on in-plane anisotropy of the biaxial stress and stress gradient across the film thickness. The results revealed that the Mo films developed distinct states of residual stress, which depended on both deposition geometry and film thickness. With the dynamic geometry, the Mo films generally exhibited anisotropic stress. Both the degree of anisotropy and the magnitude of stress varied as functions of film thickness. The variation of stress was linked to the evolution of anisotropic microstructures in the films. The Mo films from the static geometry developed isotropic residual stress, which was more compressive and noticeably larger in magnitude than that of the Mo films from the dynamic geometry. Aside from these disparities, the two types of Mo films (i.e., anisotropic and isotropic) exhibited notably similar trends of stress variation with film thickness. Depth profiling indicated the presence of large stress gradients for the Mo films, irrespective of the deposition geometries. This observation seems to be consistent with the premise that Mo films develop a zone T structure, which is inherently inhomogeneous along the film thickness. Moreover, the largest stress gradient for both types of deposition geometries arises at roughly the same film depth (∼240 nm from substrate), where the stresses sharply transits from highly compressive to less compressive or even tensile. This appears to correspond to the boundary region that separates two distinct stages of microstructural evolution, a feature unique to zone T-type structure

  17. Structure and properties of TiC, VC, and TiC/VC thin films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Krzanowski, J.E.; Leuchtner, R.E.

    1996-01-01

    A study has been conducted on the mechanical, tribological and chemical properties of pulsed laser deposited (PLD) TiC, VC and TiC/VC thin films. The TiC films were deposited at 375 C and 5 mTorr Ar, while the TiC/VC films were deposited from a composite target at 475 C at pressures of base vacuum and 50 mTorr Ar. XRD analysis revealed the films had the expected B1 structure, although XPS analysis showed a significant oxygen content. Tribological studies were conducted using a ball-on-disk test, and the wear behavior depended on the surface condition and film composition. One TiC/VC film exhibited little wear but caused significant ball wear, indicating mixed carbide films are promising candidates for wear-resistant coatings

  18. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  19. Polycrystalline thin films of antimony selenide via chemical bath deposition and post deposition treatments

    International Nuclear Information System (INIS)

    Rodriguez-Lazcano, Y.; Pena, Yolanda; Nair, M.T.S.; Nair, P.K.

    2005-01-01

    We report a method for obtaining thin films of polycrystalline antimony selenide via chemical bath deposition followed by heating the thin films at 573 K in selenium vapor. The thin films deposited from chemical baths containing one or more soluble complexes of antimony, and selenosulfate initially did not show X-ray diffraction (XRD) patterns corresponding to crystalline antimony selenide. Composition of the films, studied by energy dispersive X-ray analyses indicated selenium deficiency. Heating these films in presence of selenium vapor at 573 K under nitrogen (2000 mTorr) resulted in an enrichment of Se in the films. XRD peaks of such films matched Sb 2 Se 3 . Evaluation of band gap from optical spectra of such films shows absorption due to indirect transition occurring in the range of 1-1.2 eV. The films are photosensitive, with dark conductivity of about 2 x 10 -8 (Ω cm) -1 and photoconductivity, about 10 -6 (Ω cm) -1 under tungsten halogen lamp illumination with intensity of 700 W m -2 . An estimate for the mobility life time product for the film is 4 x 10 -9 cm 2 V -1

  20. Improvement in electrical insulating properties of 10-nm-thick Al2O3 film grown on Al/TiN/Si substrate by remote plasma annealing at low temperatures

    International Nuclear Information System (INIS)

    Kim, Jihoon; Song, Jaewon; Kwon, Ohsung; Kim, Sungkeun; Hwang, Cheol Seong; Park, Sang-Hee'Ko; Yun, Sun Jin; Jeong, Jaehack; Hyun, Kwang Soo

    2002-01-01

    The electrical conduction properties of 10-nm-thick atomic-layer deposited Al 2 O 3 thin films with Al bottom and Pt top electrodes were characterized for use in field emission display. The as-deposited films, grown at 300 deg. C, exhibited such a high electrical leakage that their electrical properties could not be measured. However, post-treatment at 300 deg. C under a remote O 2 or H 2 O plasma for 30 min improved the insulating properties of the Al 2 O 3 films. However, the electrical conduction mechanism, particularly in the high field (>4 MV/cm) was not Fowler-Nordheim (F-N) tunneling but was influenced by space charge limited conduction implying that there were many traps inside the dielectric film or the electrode interfaces. Postannealing of the top electrode at 300 deg. C in an oxygen atmosphere resulted in a F-N conduction mechanism by removing the interfacial traps. The calculated barrier height at the Al/Al 2 O 3 interface from the F-N fitting of the current density versus voltage curves using the electron effective mass (m * ) of 0.5 m 0 was approximately 2.0 eV

  1. Characterization of chemically deposited Ag/sub 2/S thin films

    International Nuclear Information System (INIS)

    Choudhury, M.G.M.; Rahman, M.M; Shahjahan, M.; Hossain, M.S.; Muhibbullah, M.; Uddin, M.A.; Banu, D.A.

    2001-01-01

    Silver Sulphide (Ag/sub 2/S) thin films were prepared by the chemical deposition method on glass substrates. Films of different thickness were deposited at room temperature. The films obtained were found to the uniform, pin-hole free and strongly adherent to the substrates. Films were characterized by X-$D, Hall effect, dc conductivity, thermoelectric power and optical measurements. X-RD revealed that as deposited films are amorphous with some microcrystalline structure. Hall effect measurement shows that the material deposited is n-type semiconductor with carrier concentration of the order of 10/sup 14/ cm/sup -3/. The dc dark conductivity shows two distinct conduction regions. The conductivity increases quite sharply above a transition temperature. Tt and below Tt the conductivity is weakly activated process with hopping via localized states. Above Tt the activation energy is quite high and the conduction may be due to impurity states to extended states. From the nature of variation of thermoelectric power with temperature it was found that in this material the position of Fermi level lie above the conduction band for thicker films and below the conduction band for relatively thinner films. The optical band gap of the films has been calculated from the transmittance spectra. The evaluated optical band gap E/sup opt/ was found to be about 1.1 eV and the value do not change much with film thickness. The refractive index, extinction coefficient and dielectric constants have also been evaluated from the transmission measurements. (author)

  2. Structural properties of perovskite films on zinc oxide nanoparticles-reduced graphene oxide (ZnO-NPs/rGO) prepared by electrophoretic deposition technique

    Science.gov (United States)

    Bahtiar, Ayi; Nurazizah, Euis Siti; Latiffah, Efa; Risdiana, Furukawa, Yukio

    2018-02-01

    Perovskite solar cells highly believed as next generation solar cells to replace currently available inorganic silicon solar cells due to their high power conversion efficiency and easy processing to thin films using solution processing techniques. Performance and stability, however still need to be improved for mass production and widely used for public electricity generation. Perovskite solar cells are commonly deposited on Titanium Dioxide (TiO2) film as an effective electron transport layer (ETL). We used Zinc Oxide nanoparticles (ZnO-NPs) as ETL in perovskite solar cells due to the low temperature required for crystallization and can be formed into different shapes of nanostructures. However, perovskite film can easily degrade into insulating lead iodide due to deprotonation of the methylammoniumcation at the surface of ZnO-NPs, in particular when it stored in ambient air with high relative humidity. The degradation of perovskite layer is therefore needed to be overcome. Here, we capped ZnO-NPs with reduced graphene oxide (rGO) to overcome the degradation of perovskite film where ZnO-NPs is synthesized by sol-gel method. The average nanoparticle size of ZnO is 15 nm. ZnO-NPs and ZnO-NPs-rGO films are prepared using electrophoretic deposition technique, which can produce large area with good homogeneity and high reproducibility. The stability of perovskite layer can significantly be improved by capping ZnO with rGO, which is indicated by absence of color change of perovskite after storage for 5 (five) days in ambient air with relative humidity above 95%. Moreover, the X-Ray Diffaction peaks of perovskite film are more preserved when deposited on ZnO/rGO film than using only ZnO film. We strongly believe, by capping ZnO film with rGO, both the performance and stability of perovskite solar cells can be improved significantly.

  3. Effects of surface deposition and droplet injection on film cooling

    International Nuclear Information System (INIS)

    Wang, Jin; Cui, Pei; Vujanović, Milan; Baleta, Jakov; Duić, Neven; Guzović, Zvonimir

    2016-01-01

    Highlights: • Cooling effectiveness is significantly affected by the deposition size. • Coverage area for model without mist is reduced by increasing the deposition height. • Wall temperature is decreased by 15% with 2% mist injection. • Cooling coverage is increased by more than three times with 2% mist injection. • Cooling effectiveness for mist models is improved by increasing deposition height. - Abstract: In the present research, the influence of the particle dispersion onto the continuous phase in film cooling application was analysed by means of numerical simulations. The interaction between the water droplets and the main stream plays an important role in the results. The prediction of two-phase flow is investigated by employing the discrete phase model (DPM). The results present heat transfer characteristics in the near-wall region under the influence of mist cooling. The local wall temperature distribution and film cooling effectiveness are obtained, and results show that the film cooling characteristics on the downstream wall are affected by different height of surface deposits. It is also found that smaller deposits without mist injection provide a lower wall temperature and a better cooling performance. With 2% mist injection, evaporation of water droplets improves film cooling effectiveness, and higher deposits cause lateral and downstream spread of water droplets. The results indicate that mist injection can significantly enhance film cooling performance.

  4. Physical properties of chemically deposited Bi{sub 2}S{sub 3} thin films using two post-deposition treatments

    Energy Technology Data Exchange (ETDEWEB)

    Moreno-García, H., E-mail: hamog@ier.unam.mx [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico); Messina, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63155 Tepic, Nayarit (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Martínez, H. [Instituto de Ciencias Físicas, Laboratorio de espectroscopia, Universidad Nacional Autónoma de México, Apartado Postal 48-3, C.P. 62210 Cuernavaca, Morelos (Mexico)

    2014-08-30

    Highlights: • The post-deposition treatment by Ar plasma is a viable alternative to enhance the optical, electrical, morphological and structural properties of Bi{sub 2}S{sub 3} semiconductor thin films. • The plasma treatment avoids the loss in thickness of the chemically deposited Bi{sub 2}S{sub 3} thin films. • The E{sub g} values were 1.60 eV for the thermally annealed samples and 1.56 eV for the Ar plasma treated samples. • The highest value obtained for the electrical conductivity was 7.7 × 10{sup −2} (Ω cm){sup −1} in plasma treated samples. - Abstract: As-deposited bismuth sulfide (Bi{sub 2}S{sub 3}) thin films prepared by chemical bath deposition technique were treated with thermal annealed in air atmosphere and argon AC plasma. The as-deposited, thermally annealing and plasma treatment Bi{sub 2}S{sub 3} thin films have been characterized by X-ray diffraction (XRD) analysis, atomic force microscopy analysis (AFM), transmission, specular reflectance and electrical measurements. The structural, morphological, optical and electrical properties of the films are compared. The XRD analysis showed that both post-deposition treatments, transform the thin films from amorphous to a crystalline phase. The atomic force microscopy (AFM) measurement showed a reduction of roughness for the films treated in plasma. The energy band gap value of the as-prepared film was E{sub g} = 1.61 eV, while for the film thermally annealed was E{sub g} = 1.60 eV and E{sub g} = 1.56 eV for film treated with Plasma. The electrical conductivity under illumination of the as-prepared films was 3.6 × 10{sup −5} (Ω cm){sup −1}, whereas the conductivity value for the thermally annealed films was 2.0 × 10{sup −3} (Ω cm){sup −1} and for the plasma treated films the electrical conductivity increases up to 7.7 × 10{sup −2} (Ω cm){sup −1}.

  5. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    CERN Document Server

    Ma, B; Koritala, R E; Fisher, B L; Markowitz, A R; Erck, R A; Baurceanu, R; Dorris, S E; Miller, D J; Balachandran, U

    2003-01-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx 9deg was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55deg . In-plane texture in the ISD MgO films developed in the first approx 0.5 mu m from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the...

  6. Deposition and characterization of aluminum magnesium boride thin film coatings

    Science.gov (United States)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (boride films, high vacuum level-as deposited AlMgB14 films also possess a low n-type electrical resistivity, which is a consequence of high carrier concentration and moderate carrier mobility. The operative electrical transport mechanism and doping behavior for high vacuum level-as deposited AlMgB14

  7. Quality improvement of organic thin films deposited on vibrating substrates

    Energy Technology Data Exchange (ETDEWEB)

    Paredes, Y.A.; Caldas, P.G.; Prioli, R.; Cremona, M., E-mail: cremona@fis.puc-rio.br

    2011-12-30

    Most of the Organic Light-Emitting Diodes (OLEDs) have a multilayered structure composed of functional organic layers sandwiched between two electrodes. Thin films of small molecules are generally deposited by thermal evaporation onto glass or other rigid or flexible substrates. The interface state between two organic layers in OLED device depends on the surface morphology of the layers and affects deeply the OLED performance. The morphology of organic thin films depends mostly on substrate temperature and deposition rate. Generally, the control of the substrate temperature allows improving the quality of the deposited films. For organic compounds substrate temperature cannot be increased too much due to their poor thermal stability. However, studies in inorganic thin films indicate that it is possible to modify the morphology of a film by using substrate vibration without increasing the substrate temperature. In this work, the effect of the resonance vibration of glass and silicon substrates during thermal deposition in high vacuum environment of tris(8-quinolinolate)aluminum(III) (Alq{sub 3}) and N,N Prime -Bis(naphthalene-2-yl)-N,N Prime -bis(phenyl)-benzidine ({beta}-NPB) organic thin films with different deposition rates was investigated. The vibration used was in the range of hundreds of Hz and the substrates were kept at room temperature during the process. The nucleation and subsequent growth of the organic films on the substrates have been studied by atomic force microscopy technique. For Alq{sub 3} and {beta}-NPB films grown with 0.1 nm/s as deposition rate and using a frequency of 100 Hz with oscillation amplitude of some micrometers, the results indicate a reduction of cluster density and a roughness decreasing. Moreover, OLEDs fabricated with organic films deposited under these conditions improved their power efficiency, driven at 4 mA/cm{sup 2}, passing from 0.11 lm/W to 0.24 lm/W with an increase in their luminance of about 352 cd/m{sup 2

  8. Deposition of SiC thin films by PECVD

    CERN Document Server

    Cho, N I; Kim, C K

    1999-01-01

    The SiC films were deposited on Si substrate by the decomposition of CH sub 3 SiCl sub 3 (methylthrichlorosilane) molecules in a high frequency discharge field. From the Raman spectra, it is conjectured that the deposited film are formed into the polycrystalline structure. The photon absorption measurement reveal that the band gap of the electron energy state are to be 2.4 eV for SiC, and 2.6 eV for Si sub 0 sub . sub 4 C sub 0 sub . sub 6 , respectively. In the high power density regime, methyl-radicals decompose easily and increases the carbon concentration in plasma and result in the growing films.

  9. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  10. Chemical vapor deposition of nanocrystalline diamond films

    International Nuclear Information System (INIS)

    Vyrovets, I.I.; Gritsyna, V.I.; Dudnik, S.F.; Opalev, O.A.; Reshetnyak, O.M.; Strel'nitskij, V.E.

    2008-01-01

    The brief review of the literature is devoted to synthesis of nanocrystalline diamond films. It is shown that the CVD method is an effective way for deposition of such nanostructures. The basic technological methods that allow limit the size of growing diamond crystallites in the film are studied.

  11. Transport Properties of LCMO Granular Films Deposited by the Pulsed Electron Deposition Technique

    Institute of Scientific and Technical Information of China (English)

    CHEN Leiming; XU Bin; ZHANG Yan; CHEN Zhenping

    2011-01-01

    By finely controlling the deposition parameters in the pulsed electron deposition process,granular La2/3Ca1/3MnO3 (LCMO) film was grown on silicon substrates.The substrate temperature,ambient pressure in the deposition chamber and acceleration potential for the electron beam were all found to affect the grain size of the film,resulting in different morphologies of the samples.Transport properties of the obtained granular films,especially the magnetoresistance (MR),were studied.Prominent low-field MR was observed in all samples,indicating the forming of grain boundaries in the sample.The low-field MR show great sensitive to the morphology evolution,which reaches the highest value of about 40% for the sample with the grain size of about 250 nm.More interestingly,positive-MR (p-MR) was also detected above 300 K when low magnetic field applying,whereas it disappeared with higher magnetic field applied up to 1.5 and 2 Tesla.Instead of the spinpolarized tunneling process being commonly regarded as a responsible reason,lattice mismatch between LCMO film and silicon substrate appears to be the origin of the p-MR

  12. Progress on sputter-deposited thermotractive titanium-nickel films

    International Nuclear Information System (INIS)

    Grummon, D.S.; Hou Li; Zhao, Z.; Pence, T.J.

    1995-01-01

    It is now well established that titanium-nickel alloys fabricated as thin films by physical vapor deposition can display the same transformation and shape-memory effects as their ingot-metallurgy counterparts. As such they may find important application to microelectromechanical and biomechanical systems. Furthermore, we show here that titanium-nickel films may be directly processed so as to possess extremely fine austenite grain size and very high strength. These films display classical transformational superelasticity, including high elastic energy storage capacity, the expected dependence of martensite-start temperature on transformation enthalpy, and large, fully recoverable anelastic strains at temperatures above A f . Processing depends on elevated substrate temperatures during deposition, which may be manipulated within a certain range to control both grain size and crystallographic texture. It is also possible to deposit crystalline titanium-nickel films onto polymeric substrates, making them amenable to lithographic patterning into actuator elements that are well-suited to electrical excitation of the martensite reversion transformation. Finally, isothermal annealing of nickel-rich films, under conditions of controlled extrinsic residual stress, leads to topotaxial orientation of Ni 4 Ti 3 -type precipitates, and the associated possibility of two-way memory effects. Much work remains to be done, especially with respect to precise control of composition. (orig.)

  13. Plume-induced stress in pulsed-laser deposited CeO2 films

    International Nuclear Information System (INIS)

    Norton, D.P.; Park, C.; Budai, J.D.; Pennycook, S.J.; Prouteau, C.

    1999-01-01

    Residual compressive stress due to plume-induced energetic particle bombardment in CeO 2 films deposited by pulsed-laser deposition is reported. For laser ablation film growth in low pressures, stresses as high as 2 GPa were observed as determined by substrate curvature and four-circle x-ray diffraction. The amount of stress in the films could be manipulated by controlling the kinetic energies of the ablated species in the plume through gas-phase collisions with an inert background gas. The film stress decreased to near zero for argon background pressures greater than 50 mTorr. At these higher background pressures, the formation of nanoparticles in the deposited film was observed. copyright 1999 American Institute of Physics

  14. Growth, structure and stability of sputter-deposited MoS2 thin films

    Directory of Open Access Journals (Sweden)

    Reinhard Kaindl

    2017-05-01

    Full Text Available Molybdenum disulphide (MoS2 thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC substrates. Samples deposited at room temperature (RT and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films.

  15. Synthesis of LSM films deposited by dip-coating on YSZ substrate

    International Nuclear Information System (INIS)

    Conceicao, Leandro da; Souza, Mariana M.V.M.; Ribeiro, Nielson F.P.

    2010-01-01

    The dip-coating process was used to deposit films of La 0.7 Sr 0. 3MnO 3 (LSM) used as cathode in solid oxide fuel cells (SOFC). In this study we evaluated the relationship between the deposition parameters such as speed of withdrawal and number of deposited layers of LSM film on a substrate of 8% YSZ commercial, and structural properties, such as thickness and formation of cracks. The structure and morphology of the films were characterized by X-ray diffraction (XRD) and scanning electron microscopy (SEM). With parameters set the film had good adhesion to the substrate with a thickness around 10 μm, showing possible adherence problems when more than one layer is deposited on the substrate. (author)

  16. Biomaterial thin film deposition and characterization by means of MAPLE technique

    International Nuclear Information System (INIS)

    Bloisi, F.; Vicari, L.; Papa, R.; Califano, V.; Pedrazzani, R.; Bontempi, E.; Depero, L.E.

    2007-01-01

    Polyethylene glycol (PEG) is a polymer with technologically important applications, especially as a biomaterial. Several biomedical applications (such as tissue engineering, spatial patterning of cells, anti-biofouling and biocompatible coatings) require the application of high quality PEG thin films. In order to have a good adhesion to substrate chemically modified polymer molecules have been used, but for some 'in vivo' applications it is essential to deposit a film with the same chemical and structural properties of bulk PEG. Pulsed laser deposition (PLD) technique is generally able to produce high quality thin films but it is inadequate for polymer/organic molecules. MAPLE (Matrix Assisted Pulsed Laser Evaporation) is a recently developed PLD based thin film deposition technique, particularly well suited for organic/polymer thin film deposition. Up to now MAPLE depositions have been carried out mainly by means of modified PLD systems, using excimer lasers operating in UV, but the use of less energetic radiations can minimize the photochemical decomposition of the polymer molecules. We have used a deposition system explicitly designed for MAPLE technique connected to a Q-switched Ng:YAG pulsed laser which can be operated at different wavelength ranging from IR to UV in order to optimise the deposition parameters. The capability of MAPLE technique to deposit PEG has been confirmed and preliminary results show that visible (532 nm wavelength) radiation gives better results with respect to UV (355 nm) radiation. Despite usually UV wavelengths have been used and even if more systematic tests must be performed, it is important to underline that the choice of laser wavelength plays an important role in the application of MAPLE thin film deposition technique

  17. CdS thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A.; Krishnan, B.; Avellaneda, D.; Castillo, G.A.; Das Roy, T.K.; Shaji, S.

    2015-01-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties

  18. CdS thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, L.V.; Mendivil, M.I.; Garcia Guillen, G.; Aguilar Martinez, J.A. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Krishnan, B. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico); Avellaneda, D.; Castillo, G.A.; Das Roy, T.K. [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingenieria Mecanica y Electrica, Universidad Autonoma de Nuevo Leon, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolas de los Garza, Nuevo Leon 66450 (Mexico); CIIDIT – Universidad Autonoma de Nuevo Leon, Apodaca, Nuevo Leon (Mexico)

    2015-05-01

    Highlights: • CdS thin films by conventional CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • Improved dark conductivity and good photocurrent response for the LACBD CdS. - Abstract: In this work, we report the preparation and characterization of CdS thin films by laser assisted chemical bath deposition (LACBD). CdS thin films were prepared from a chemical bath containing cadmium chloride, triethanolamine, ammonium hydroxide and thiourea under various deposition conditions. The thin films were deposited by in situ irradiation of the bath using a continuous laser of wavelength 532 nm, varying the power density. The thin films obtained during deposition of 10, 20 and 30 min were analyzed. The changes in morphology, structure, composition, optical and electrical properties of the CdS thin films due to in situ irradiation of the bath were analyzed by atomic force microscopy (AFM), X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and UV–vis spectroscopy. The thin films obtained by LACBD were nanocrystalline, photoconductive and presented interesting morphologies. The results showed that LACBD is an effective synthesis technique to obtain nanocrystalline CdS thin films having good optoelectronic properties.

  19. Nanomechanical properties of GaSe thin films deposited on Si(1 1 1) substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Jian, Sheng-Rui; Juang, Jenh-Yih; Luo, Chih-Wei; Ku, Shin-An; Wu, Kaung-Hsiung

    2012-01-01

    Highlights: ► GaSe thin films are grown by PLD. ► Structural properties of GaSe thin films are measured by XRD. ► Hardness and Young’s modulus of GaSe thin films are measured by nanoindentation. - Abstract: The correlations between the crystalline structure and mechanical properties of GaSe thin films were investigated by means of X-ray diffraction (XRD) and nanoindentation techniques. The GaSe thin films were deposited on Si(1 1 1) substrates deposited at various deposition temperatures using pulsed laser deposition (PLD). The XRD results indicate that all the GaSe thin films are pure hexagonal phase with highly (0 0 0 l)-oriented characteristics. Nanoindentation results revealed apparent discontinuities (so-called multiple “pop-in” events) in the load-displacement curve, while no discontinuity was observed in the unloading segment of the load-displacement curve. The hardness and Young’s modulus of GaSe thin films determined by the continuous stiffness measurements (CSM) method indicated that both mechanical parameters increased with the increasing deposition temperature with the hardness and the Young’s modulus being increased from 1.2 ± 0.1 to 1.8 ± 0.1 GPa and from 39.6 ± 1.2 to 68.9 ± 2.7 GPa, respectively, as the deposition temperature was raised from 400 to 475 °C. These results suggest that the increased grain size might have played a prominent role in determining the mechanical properties of the PLD-derived GaSe thin films.

  20. Highly Conductive Cu 2– x S Nanoparticle Films through Room-Temperature Processing and an Order of Magnitude Enhancement of Conductivity via Electrophoretic Deposition

    KAUST Repository

    Otelaja, Obafemi O.

    2014-11-12

    © 2014 American Chemical Society. A facile room-temperature method for assembling colloidal copper sulfide (Cu2-xS) nanoparticles into highly electrically conducting films is presented. Ammonium sulfide is utilized for connecting the nanoparticles via ligand removal, which transforms the as-deposited insulating films into highly conducting films. Electronic properties of the treated films are characterized with a combination of Hall effect measurements, field-effect transistor measurements, temperature-dependent conductivity measurements, and capacitance-voltage measurements, revealing their highly doped p-type semiconducting nature. The spin-cast nanoparticle films have carrier concentration of ∼1019 cm-3, Hall mobilities of ∼3 to 4 cm2 V-1 s-1, and electrical conductivities of ∼5 to 6 S·cm-1. Our films have hole mobilities that are 1-4 orders of magnitude higher than hole mobilities previously reported for heat-treated nanoparticle films of HgTe, InSb, PbS, PbTe, and PbSe. We show that electrophoretic deposition (EPD) as a method for nanoparticle film assembly leads to an order of magnitude enhancement in film conductivity (∼75 S·cm-1) over conventional spin-casting, creating copper sulfide nanoparticle films with conductivities comparable to bulk films formed through physical deposition methods. The X-ray diffraction patterns of the Cu2-xS films, with and without ligand removal, match the Djurleite phase (Cu1.94S) of copper sulfide and show that the nanoparticles maintain finite size after the ammonium sulfide processing. The high conductivities reported are attributed to better interparticle coupling through the ammonium sulfide treatment. This approach presents a scalable room-temperature route for fabricating highly conducting nanoparticle assemblies for large-area electronic and optoelectronic applications.

  1. High-quality AlN films grown on chemical vapor-deposited graphene films

    Directory of Open Access Journals (Sweden)

    Chen Bin-Hao

    2016-01-01

    Full Text Available We report the growth of high-quality AlN films on graphene. The graphene films were synthesized by CVD and then transferred onto silicon substrates. Epitaxial aluminum nitride films were deposited by DC magnetron sputtering on both graphene as an intermediate layer and silicon as a substrate. The structural characteristics of the AlN films and graphene were investigated. Highly c-axis-oriented AlN crystal structures are investigated based on the XRDpatterns observations.

  2. Spatial and Temporal Characteristics of Insulator Contaminations Revealed by Daily Observations of Equivalent Salt Deposit Density

    Directory of Open Access Journals (Sweden)

    Ling Ruan

    2015-01-01

    Full Text Available The accurate estimation of deposits adhering on insulators is of great significance to prevent pollution flashovers which cause huge costs worldwide. Researchers have developed sensors using different technologies to monitor insulator contamination on a fine time scale. However, there is lack of analysis of these data to reveal spatial and temporal characteristics of insulator contamination, and as a result the scheduling of periodical maintenance of power facilities is highly dependent on personal experience. Owing to the deployment of novel sensors, daily Equivalent Salt Deposit Density (ESDD observations of over two years were collected and analyzed for the first time. Results from 16 sites distributed in four regions of Hubei demonstrated that spatial heterogeneity can be seen at both the fine and coarse geographical scales, suggesting that current polluted area maps are necessary but are not sufficient conditions to guide the maintenance of power facilities. Both the local emission and the regional air pollution condition exert evident influences on deposit accumulation. A relationship between ESDD and PM10 was revealed by using regression analysis, proving that air pollution exerts influence on pollution accumulations on insulators. Moreover, the seasonality of ESDD was discovered for the first time by means of time series analysis, which could help engineers select appropriate times to clean the contamination. Besides, the trend component shows that the ESDD increases in a negative exponential fashion with the accumulation date (ESDD = a − b × exp(−time at a long time scale in real environments.

  3. Microstructure and phase composition of sputter-deposited zirconia-yttria films

    International Nuclear Information System (INIS)

    Knoll, R.W.; Bradley, E.R.

    1983-11-01

    Thin ZrO 2 -Y 2 O 3 coatings ranging in composition from 3 to 15 mole % Y 2 O 3 were produced by rf sputter deposition. This composition range spanned the region on the equilibrium ZrO 2 -Y 2 O 3 phase diagram corresponding to partially stabilized zirconia (a mixture of tetragonal ZrO 2 and cubic solid solution). Microstructural characteristics and crystalline phase composition of as-deposited and heat treated films (1100 0 C and 1500 0 C) were determined by transmission electron microscopy (TEM) and by x-ray diffraction (XRD). Effects of substrate bias (0 approx. 250 volts), which induced ion bombardment of the film during growth, were also studied. The as-deposited ZrO 2 -Y 2 O 3 films were single phase over the composition range studied, and XRD data indicated considerable local atomic disorder in the lattice. Films produced at low bias contained intergranular voids, pronounced columnar growth, and porosity between columns. At high bias, the microstructure was denser, and films contained high compressive stress. After heat treatment, all deposits remained single phase, therefore a microstructure and precipitate distribution characteristic of toughened, partially stabilized zirconia appear to be difficult to achieve in vapor deposited zirconia coatings

  4. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Science.gov (United States)

    Shibuya, Keisuke; Sawa, Akihito

    2015-10-01

    We systematically examined the effects of the substrate temperature (TS) and the oxygen pressure (PO2) on the structural and optical properties polycrystalline V O2 films grown directly on Si(100) substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal-insulator transition (MIT) temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  5. Optimization of conditions for growth of vanadium dioxide thin films on silicon by pulsed-laser deposition

    Directory of Open Access Journals (Sweden)

    Keisuke Shibuya

    2015-10-01

    Full Text Available We systematically examined the effects of the substrate temperature (TS and the oxygen pressure (PO2 on the structural and optical properties polycrystalline V O2 films grown directly on Si(100 substrates by pulsed-laser deposition. A rutile-type V O2 phase was formed at a TS ≥ 450 °C at PO2 values ranging from 5 to 20 mTorr, whereas other structures of vanadium oxides were stabilized at lower temperatures or higher oxygen pressures. The surface roughness of the V O2 films significantly increased at growth temperatures of 550 °C or more due to agglomeration of V O2 on the surface of the silicon substrate. An apparent change in the refractive index across the metal–insulator transition (MIT temperature was observed in V O2 films grown at a TS of 450 °C or more. The difference in the refractive index at a wavelength of 1550 nm above and below the MIT temperature was influenced by both the TS and PO2, and was maximal for a V O2 film grown at 450 °C under 20 mTorr. Based on the results, we derived the PO2 versus 1/TS phase diagram for the films of vanadium oxides, which will provide a guide to optimizing the conditions for growth of V O2 films on silicon platforms.

  6. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    Science.gov (United States)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  7. Bioactive glass and hydroxyapatite thin films obtained by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gyorgy, E. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania) and Consejo Superior de Investigaciones Cientificas, Instituto de Ciencia de Materiales de Barcelona, Campus UAB, 08193 Bellaterra (Spain)]. E-mail: egyorgy@icmab.es; Grigorescu, S. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Socol, G. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Mihailescu, I.N. [National Institute for Lasers, Plasma and Radiation Physics, P.O. Box MG 36, 77125 Bucharest (Romania); Janackovic, D. [Faculty of Technology and Metallurgy, University of Belgrade, Karnegijeva 4, 11000 Belgrade (Serbia); Dindune, A. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Kanepe, Z. [Institute of Inorganic Chemistry of the Riga Technical University (Latvia); Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Palcevskis, E. [Plasma and Ceramic Technologies Ltd. (PCT Ltd.) (Latvia); Zdrentu, E.L. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania); Petrescu, S.M. [Institute of Biochemistry, Splaiul Independentei 296, Bucharest (Romania)

    2007-07-31

    Bioactive glass (BG), calcium hydroxyapatite (HA), and ZrO{sub 2} doped HA thin films were grown by pulsed laser deposition on Ti substrates. An UV KrF{sup *} ({lambda} = 248 nm, {tau} {>=} 7 ns) excimer laser was used for the multi-pulse irradiation of the targets. The substrates were kept at room temperature or heated during the film deposition at values within the (400-550 deg. C) range. The depositions were performed in oxygen and water vapor atmospheres, at pressure values in the range (5-40 Pa). The HA coatings were heat post-treated for 6 h in a flux of hot water vapors at the same temperature as applied during deposition. The surface morphology, chemical composition, and crystalline quality of the obtained thin films were studied by scanning electron microscopy, atomic force microscopy, and X-ray diffractometry. The films were seeded for in vitro tests with Hek293 (human embryonic kidney) cells that revealed a good adherence on the deposited layers. Biocompatibility tests showed that cell growth was better on HA than on BG thin films.

  8. Deposition and characterization of Pt nanocluster films by means of gas aggregation cluster source

    Energy Technology Data Exchange (ETDEWEB)

    Kylián, Ondřej, E-mail: ondrej.kylian@gmail.com; Prokeš, Jan; Polonskyi, Oleksandr; Čechvala, Juraj; Kousal, Jaroslav; Pešička, Josef; Hanuš, Jan; Biederman, Hynek

    2014-11-28

    In this study we report on the deposition of Pt nanocluster films prepared by gas aggregation source that was operated with argon as working gas. The aim of this study was optimization of deposition process as well as determination of properties of deposited nanocluster films and their temporal stability. It was found that the production of Pt nanoclusters reached maximum value for pressure of 100 Pa and increases monotonously with magnetron current. The deposition rate at optimized deposition conditions was 0.7 nm of the Pt nanocluster film per second. Deposited films were porous and composed of 4 nm Pt nanoclusters. The nanoclusters were metallic and no sights of their oxidation were observed after 1 year on open air as witnessed by X-ray photoelectron spectroscopy. Regarding the electrical properties, a dramatic decrease of the resistivity was observed with increasing amount of deposited nanoclusters. This decrease saturated for the films approximately 50 nm thick. Such behavior indicates transition between different mechanisms of electrical conductivity: charge hopping for thin discontinuous films and current conduction through conducting path formed when higher amount of nanoclusters is deposited. Different mechanisms of electrical conduction for thin and thick layers of Pt were confirmed by subsequent investigation of temperature dependence of resistivity. In addition, no changes in resistivity were observed after one year on open air that confirms stability of produced Pt nanocluster films. - Highlights: • Pt nanocluster films were deposited by gas aggregation nanocluster source. • Conditions leading to effective deposition of Pt nanocluster films were found. • Deposited nanocluster films have good temporal stability. • Electrical properties of Pt films were found to depend on their thickness.

  9. Fabrication of cuprous chloride films on copper substrate by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lin, Yu-Ting; Ci, Ji-Wei; Tu, Wei-Chen [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Uen, Wu-Yih, E-mail: uenwuyih@ms37.hinet.net [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Lan, Shan-Ming [Department of Electronic Engineering, College of Electrical Engineering and Computer Science, Chung Yuan Christian University, Chung-Li 32023, Taiwan (China); Yang, Tsun-Neng; Shen, Chin-Chang; Wu, Chih-Hung [Institute of Nuclear Energy Research, P.O. Box 3-11, Lungtan 32500, Taiwan (China)

    2015-09-30

    Polycrystalline CuCl films were fabricated by chemical bath deposition (CBD) on a Cu substrate at a low solution temperature of 90 °C. Continuous CuCl films were prepared using the copper (II) chloride (CuCl{sub 2}) compound as the precursor for both the Cu{sup 2+} and Cl{sup −} sources, together with repeated HCl dip treatments. An HCl dip pretreatment of the substrate favored the nucleation of CuCl crystallites. Further, interrupting the film deposition and including an HCl dip treatment of the film growth surface facilitated the deposition of a full-coverage CuCl film. A dual beam (FIB/SEM) system with energy dispersive spectrometry facilities attached revealed a homogeneous CuCl layer with a flat-top surface and an average thickness of about 1 μm. Both the excitonic and biexcitonic emission lines were well-resolved in the 6.4 K photoluminescence spectra. In particular, the free exciton emission line was observable at room temperature, indicating the good quality of the CuCl films prepared by CBD. - Highlights: • Cuprous chloride (CuCl) was prepared on Cu substrate by chemical bath deposition. • HCl dip treatments facilitated the deposition of a full-coverage CuCl film. • A homogeneous elemental distribution was recognized for the deposited CuCl layer. • Excitonic and biexcitonic photoluminescence lines of CuCl films were well-resolved. • The free exciton emission line of CuCl films was observable at room temperature.

  10. Capillary assisted deposition of carbon nanotube film for strain sensing

    Science.gov (United States)

    Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping

    2017-10-01

    Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.

  11. Effect of performance of Zr-Y alloy target on thin film deposition technology

    International Nuclear Information System (INIS)

    Pan Qianfu; Liu Chaohong; Jiang Mingzhong; Yin Changgeng

    2011-01-01

    Yttria-stabilized zirconia (YSZ) films are synthesized on corrosion resistant plates by pulsed bias arc ion plating. The arc starting performance and the stability of thin film deposition is explored by improving the uniformity and compactibility of Zr-Y alloy target. The property of Zr-Y alloy target and depositional thin films were measured with the optical microscope, scanning electron microscope, X-ray diffractometer. The result shows that the target with hot rolling and annealing has a good arc starting performance and stability of thin film deposition, and the depositional thin films made of Yttria and amorphous zirconia are homogeneous and compact. (authors)

  12. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    Science.gov (United States)

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  13. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    Science.gov (United States)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  14. Direct Fabrication of Inkjet-Printed Dielectric Film for Metal-Insulator-Metal Capacitors

    Science.gov (United States)

    Cho, Cheng-Lin; Kao, Hsuan-ling; Wu, Yung-Hsien; Chang, Li-Chun; Cheng, Chun-Hu

    2018-01-01

    In this study, an inkjet-printed dielectric film that used a polymer-based SU-8 ink was fabricated for use in a metal-insulator-metal (MIM) capacitor. Thermal treatment of the inkjet-printed SU-8 polymer film affected its surface morphology, chemical structure, and surface wettability. A 20-min soft-bake at 60°C was applied to eliminate inkjet-printed bubbles and ripples. The ultraviolet-exposed SU-8 polymer film was crosslinked at temperatures between 120°C and 220°C and became disordered at 270°C, demonstrated using Fourier-transform infrared spectroscopy. A maximum SU-8 polymer film hard-bake temperature of 120°C was identified, and a printing process was subsequently employed because the appropriate water contact angle of the printed film was 79°. Under the appropriate inkjet printing conditions, the two-transmission-line method was used to extract the dielectric and electrical properties of the SU-8 polymer film, and the electrical behavior of the fabricated MIM capacitor was also characterized.

  15. Investigations of p-type signal for ZnO thin films grown on (100)GaAs substrates by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Rogers, D.J. [Nanovation SARL, Orsay (France); Univ. de Technologie de Troyes, Troyes (France); Hosseini Teherani, F. [Nanovation SARL, Orsay (France); Monteiro, T.; Soares, M.; Neves, A.; Carmo, M.; Correia, M.R. [Physics Dept., Univ. of Aveiro (Portugal); Pereira, S. [Physics Dept., Univ. of Aveiro (Portugal); Inst. Tecnologico e Nuclear, Sacavem (Portugal); Lusson, A. [Inst. d' Electronique Fondamentale, Orsay Univ. (France); LPSC - CNRS, Meudon (France); Alves, E.; Barradas, N.P. [Inst. Tecnologico e Nuclear, Sacavem (Portugal); Morrod, J.K.; Prior, K.A. [Physics Dept., Heriot Watt Univ., Edinburgh Scotland (United Kingdom); Kung, P.; Yasan, A.; Razeghi, M. [Center for Quantum Devices, Dept. of Electrical and Computer Engineering, Northwestern Univ., Evanston, IL (United States)

    2006-03-15

    In this work we investigated ZnO films grown on semi-insulating (100)GaAs substrates by pulsed laser deposition. Samples were studied using techniques including X-ray diffraction (XRD), scanning electron microscopy, atomic force microscopy, Raman spectroscopy, temperature dependent photoluminescence, C-V profiling and temperature dependent Hall measurements. The Hall measurements showed a clear p-type response with a relatively high mobility ({proportional_to}260 cm{sup 2}/Vs) and a carrier concentration of {proportional_to}1.8 x 10{sup 19} cm{sup -3}. C-V profiling confirmed a p-type response. XRD and Raman spectroscopy indicated the presence of (0002) oriented wurtzite ZnO plus secondary phase(s) including (101) oriented Zn{sub 2}As{sub 2}O{sub 7}. The results suggest that significant atomic mixing was occurring at the film/substrate interface for films grown at substrate temperatures of 450 C (without post-annealing). (orig.)

  16. Deposition of fluorocarbon films by Pulsed Plasma Thruster on the anode side

    International Nuclear Information System (INIS)

    Zhang, Rui; Zhang, Daixian; Zhang, Fan; He, Zhen; Wu, Jianjun

    2013-01-01

    Fluorocarbon thin films were deposited by Pulsed Plasma Thruster at different angles on the anode side of the thruster. Density and velocity of the plasma in the plume of the Pulsed Plasma Thruster were determined using double and triple Langmuir probe apparatus respectively. The deposited films were characterized by X-ray photoelectron spectroscopy (XPS), scanning probe microscope (SPM) and UV–vis spectrometer. Low F/C ratio (0.64–0.86) fluorocarbon films are deposited. The F/C ratio decreases with angle increasing from 0 degree to 30 degree; however it turns to increase with angle increasing from 45 degree to 90 degree. The films deposited at center angles appear rougher compared with that prepared at angles beyond 45 degree. These films basically show having strong absorption properties for wavelength below 600 nm and having enhanced reflective characteristics. Due to the influence of the chemical composition and the surface morphology of the films, the optical properties of these films also show significant angular dependence.

  17. Metal-insulator transition in tin doped indium oxide (ITO thin films: Quantum correction to the electrical conductivity

    Directory of Open Access Journals (Sweden)

    Deepak Kumar Kaushik

    2017-01-01

    Full Text Available Tin doped indium oxide (ITO thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes in low temperatures (25-300 K. The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl∼1; kF is the Fermi wave vector and l is the electron mean free path and degenerate semiconductors. The transport of charge carriers (electrons in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known ‘metal-insulator transition’ (MIT which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC; this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann’s expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  18. Metal-insulator transition in tin doped indium oxide (ITO) thin films: Quantum correction to the electrical conductivity

    Science.gov (United States)

    Kaushik, Deepak Kumar; Kumar, K. Uday; Subrahmanyam, A.

    2017-01-01

    Tin doped indium oxide (ITO) thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes) in low temperatures (25-300 K). The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl˜1; kF is the Fermi wave vector and l is the electron mean free path) and degenerate semiconductors. The transport of charge carriers (electrons) in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known `metal-insulator transition' (MIT) which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC); this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann's expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  19. Field-tuned superconductor-insulator transitions and Hall resistance in thin polycrystalline MoN films

    Science.gov (United States)

    Makise, Kazumasa; Ichikawa, Fusao; Asano, Takayuki; Shinozaki, Bunju

    2018-02-01

    We report on the superconductor-insulator transitions (SITs) of disordered molybdenum nitride (MoN) thin films on (1 0 0) MgO substrates as a function of the film thickness and magnetic fields. The T c of the superconducting MoN films, which exhibit a sharp superconducting transition, monotonically decreases as the normal state R sq increases with a decreasing film thickness. For several films with different thicknesses, we estimate the critical field H c and the product zν  ≃  0.6 of the dynamical exponent z and the correlation length exponent ν using a finite scaling analysis. The value of this product can be explained by the (2  +  1) XY model. We found that the Hall resistance ΔR xy (H) is maximized when the magnetic field satisfies H HP(T) \\propto |1  -  T/T C0| in the superconducting state and also in the normal states owning to the superconducting fluctuation corresponding to the ghost critical magnetic field. We measured the Hall conductivity δσ xy (H)  =  σ xy (H)  -  σ xyn and fit the Gaussian approximation theory for δσ xy (H) to the experimental data. Agreement between the data and the theory beyond H c suggests the survival of the Cooper pair in the insulating region of the SIT.

  20. Giant secondary grain growth in Cu films on sapphire

    Directory of Open Access Journals (Sweden)

    David L. Miller

    2013-08-01

    Full Text Available Single crystal metal films on insulating substrates are attractive for microelectronics and other applications, but they are difficult to achieve on macroscopic length scales. The conventional approach to obtaining such films is epitaxial growth at high temperature using slow deposition in ultrahigh vacuum conditions. Here we describe a different approach that is both simpler to implement and produces superior results: sputter deposition at modest temperatures followed by annealing to induce secondary grain growth. We show that polycrystalline as-deposited Cu on α-Al2O3(0001 can be transformed into Cu(111 with centimeter-sized grains. Employing optical microscopy, x-ray diffraction, and electron backscatter diffraction to characterize the films before and after annealing, we find a particular as-deposited grain structure that promotes the growth of giant grains upon annealing. To demonstrate one potential application of such films, we grow graphene by chemical vapor deposition on wafers of annealed Cu and obtain epitaxial graphene grains of 0.2 mm diameter.

  1. Topography evolution of germanium thin films synthesized by pulsed laser deposition

    Directory of Open Access Journals (Sweden)

    P. Schumacher

    2017-04-01

    Full Text Available Germanium thin films were deposited by Pulsed Laser Deposition (PLD onto single crystal Ge (100 and Si (100 substrates with a native oxide film on the surface. The topography of the surface was investigated by Atomic Force Microscopy (AFM to evaluate the scaling behavior of the surface roughness of amorphous and polycrystalline Ge films grown on substrates with different roughnesses. Roughness evolution was interpreted within the framework of stochastic rate equations for thin film growth. Here the Kardar-Parisi-Zhang equation was used to describe the smoothening process. Additionally, a roughening regime was observed in which 3-dimensional growth occurred. Diffusion of the deposited Ge adatoms controlled the growth of the amorphous Ge thin films. The growth of polycrystalline thin Ge films was dominated by diffusion processes only in the initial stage of the growth.

  2. Tc depression and superconductor-insulator transition in molybdenum nitride thin films

    Science.gov (United States)

    Ichikawa, F.; Makise, K.; Tsuneoka, T.; Maeda, S.; Shinozaki, B.

    2018-03-01

    We have studied that the Tc depression and the superconductor-insulator transition (SIT) in molybdenum nitride (MoN) thin films. Thin films were fabricated by reactive DC magnetron sputtering method onto (100) MgO substrates in the mixture of Ar and N2 gases. Several dozen MoN thin films were prepared in the range of 3 nm < thickness d < 60 nm. The resistance was measured by a DC four-probe technique. It is found that Tc decreases from 6.6 K for thick films with increase of the normal state sheet resistance {R}{{sq}}{{N}} and experimental data were fitted to the Finkel’stein formula using the bulk superconducting transition temperature Tc 0 = 6.45 K and the elastic scattering time of electron τ = 1.6 × 10‑16 s. From this analysis the critical sheet resistance Rc is found about 2 kΩ, which is smaller than the quantum sheet resistance R Q. This value of Rc is almost the same as those for 2D NbN films. The value of τ for MoN films is also the similar value for NbN films 1.0 × 10‑16 s, while Tc 0 is different from that for NbN films 14.85 K. It is indicated that the mechanism of SIT for MoN films is similar to that of NbN films, while the mean free path ℓ for MoN films is larger than that for NbN films.

  3. Enhanced electrical and magnetic properties in La0.7Sr0.3MnO3 thin films deposited on CaTiO3-buffered silicon substrates

    Directory of Open Access Journals (Sweden)

    C. Adamo

    2015-06-01

    Full Text Available We investigate the suitability of an epitaxial CaTiO3 buffer layer deposited onto (100 Si by reactive molecular-beam epitaxy (MBE for the epitaxial integration of the colossal magnetoresistive material La0.7Sr0.3MnO3 with silicon. The magnetic and electrical properties of La0.7Sr0.3MnO3 films deposited by MBE on CaTiO3-buffered silicon (CaTiO3/Si are compared with those deposited on SrTiO3-buffered silicon (SrTiO3/Si. In addition to possessing a higher Curie temperature and a higher metal-to-insulator transition temperature, the electrical resistivity and 1/f noise level at 300 K are reduced by a factor of two in the heterostructure with the CaTiO3 buffer layer. These results are relevant to device applications of La0.7Sr0.3MnO3 thin films on silicon substrates.

  4. A comparative study of CdS thin films deposited by different techniques

    Energy Technology Data Exchange (ETDEWEB)

    Pérez-Hernández, G., E-mail: german.perez@ujat.mx [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Pantoja-Enríquez, J. [Centro de Investigación y Desarrollo Tecnológico en Energías Renovables, UNICACH, Libramiento Norte No 1150, Tuxtla Gutiérrez, Chiapas 29039 (Mexico); Escobar-Morales, B. [Instituto Tecnológico de Cancún, Avenida Kábah Km 3, Cancún, Quintana Roo 77500 (Mexico); Martinez-Hernández, D.; Díaz-Flores, L.L.; Ricardez-Jiménez, C. [Universidad Juárez Autónoma de Tabasco, Avenida Universidad s/n, Col. Magisterial, Villahermosa, Tabasco 86040 (Mexico); Mathews, N.R.; Mathew, X. [Centro de Investigación en Energía, Universidad Nacional Autónoma de México, Temixco, Morelos 62580 (Mexico)

    2013-05-01

    Cadmium sulfide thin-films were deposited on glass slides and SnO{sub 2}:F coated glass substrates by chemical bath deposition, sputtering and close-spaced sublimation techniques. The films were studied for the structural and opto-electronic properties after annealing in an ambient identical to that employed in the fabrication of CdTe/CdS devices. Quantum efficiency of the CdTe/CdS solar cells fabricated with CdS buffer films prepared by the three methods were investigated to understand the role of CdS film preparation method on the blue response of the devices. The higher blue response observed for the devices fabricated with chemical bath deposited CdS film is discussed. - Highlights: ► CdS films were prepared by different techniques. ► Role of CdS on the blue response of device was studied. ► Structural and optical properties of CdS were analyzed. ► Chemically deposited CdS has high blue transmittance. ► CdS deposition method influences diffusion of S and Te.

  5. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Science.gov (United States)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  6. Modification of thin film properties by ion bombardment during deposition

    International Nuclear Information System (INIS)

    Harper, J.M.E.; Cuomo, J.J.; Gambino, R.J.; Kaufman, H.R.

    1984-01-01

    Deposition methods involving ion bombardment are described, and the basic processes with which film properties are modified by ion bombardment are summarized. Examples of thin film property modification by ion bombardment during deposition, including effects which are primarily compositional as well as those which are primarily structural are presented. The examples demonstrate the usefulness of ion beam techniques in identifying and controlling the fundamental deposition parameters. 68 refs.; 15 figs.; 1 table

  7. Comparison of lanthanum substituted bismuth titanate (BLT) thin films deposited by sputtering and pulsed laser deposition

    International Nuclear Information System (INIS)

    Besland, M.P.; Djani-ait Aissa, H.; Barroy, P.R.J.; Lafane, S.; Tessier, P.Y.; Angleraud, B.; Richard-Plouet, M.; Brohan, L.; Djouadi, M.A.

    2006-01-01

    Bi 4-x La x Ti 3 O 12 (BLT x ) (x = 0 to 1) thin films were grown on silicon (100) and platinized substrates Pt/TiO 2 /SiO 2 /Si using RF diode sputtering, magnetron sputtering and pulsed laser deposition (PLD). Stoichiometric home-synthesized targets were used. Reactive sputtering was investigated in argon/oxygen gas mixture, with a pressure ranging from 0.33 to 10 Pa without heating the substrate. PLD was investigated in pure oxygen, at a chamber pressure of 20 Pa for a substrate temperature of 400-440 deg. C. Comparative structural, chemical, optical and morphological characterizations of BLT thin films have been performed by X-ray diffraction (XRD), Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), X-Ray Photoelectron Spectroscopy (XPS), Spectro-ellipsometric measurements (SE) and Atomic Force Microscopy (AFM). Both sputtering techniques allow to obtain uniform films with thickness ranging from 200 to 1000 nm and chemical composition varying from (Bi,La) 2 Ti 3 O 12 to (Bi,La) 4.5 Ti 3 O 12 , depending on deposition pressure and RF power. In addition, BLT films deposited by magnetron sputtering, at a pressure deposition ranging from 1.1 to 5 Pa, were well-crystallized after a post-deposition annealing at 650 deg. C in oxygen. They exhibit a refractive index and optical band gap of 2.7 and 3.15 eV, respectively. Regarding PLD, single phase and well-crystallized, 100-200 nm thick BLT films with a stoichiometric (Bi,La) 4 Ti 3 O 12 chemical composition were obtained, exhibiting in addition a preferential orientation along (200). It is worth noting that BLT films deposited by magnetron sputtering are as well-crystallized than PLD ones

  8. Synergistic Impact of Solvent and Polymer Additives on the Film Formation of Small Molecule Blend Films for Bulk Heterojunction Solar Cells

    KAUST Repository

    McDowell, Caitlin; Abdelsamie, Maged; Zhao, Kui; Smilgies, Detlef M.; Bazan, Guillermo C.; Amassian, Aram

    2015-01-01

    The addition of polystyrene (PS), a typical insulator, is empirically shown to increase the power conversion efficiencies (PCEs) of a solution-deposited bulk heterojunction (BHJ) molecular blend film used in solar cell fabrication: p-DTS(FBTTh2)2/PC

  9. Nano-Impact (Fatigue Characterization of As-Deposited Amorphous Nitinol Thin Film

    Directory of Open Access Journals (Sweden)

    Rehan Ahmed

    2012-08-01

    Full Text Available This paper presents nano-impact (low cycle fatigue behavior of as-deposited amorphous nitinol (TiNi thin film deposited on Si wafer. The nitinol film was 3.5 µm thick and was deposited by the sputtering process. Nano-impact tests were conducted to comprehend the localized fatigue performance and failure modes of thin film using a calibrated nano-indenter NanoTest™, equipped with standard diamond Berkovich and conical indenter in the load range of 0.5 mN to 100 mN. Each nano-impact test was conducted for a total of 1000 fatigue cycles. Depth sensing approach was adapted to understand the mechanisms of film failure. Based on the depth-time data and surface observations of films using atomic force microscope, it is concluded that the shape of the indenter test probe is critical in inducing the localized indentation stress and film failure. The measurement technique proposed in this paper can be used to optimize the design of nitinol thin films.

  10. Indium zinc oxide films deposited on PET by LF magnetron sputtering

    International Nuclear Information System (INIS)

    Kim, Eun Lyoung; Jung, Sang Kooun; Sohn, Sang Ho; Park, Duck Kyu

    2007-01-01

    Indium zinc oxide (IZO) has attracted much attention recently for use in transparent oxide films compared with the ITO film. We carried out the deposition of IZO on a polyethylene terapthalate (PET) substrate at room temperature by a low-frequency (LF) magnetron sputtering system. These films have amorphous structures with excellent electrical stability, surface uniformity and high optical transmittance. The effects of LF applied voltage and O 2 flow rate were investigated. The electrical and optical properties were studied. At optimal deposition conditions, thin films of IZO with a sheet resistance of 32 Ω/sq and an optical transmittance of over 80% in the visible spectrum range were achieved. The IZO thin films fabricated by this method do not require substrate heating during the film preparation of any additional post-deposition annealing treatment. The experimental results show that films with good qualities of surface morphology, transmittance and electrical conduction can be grown by the LF magnetron sputtering method on PET which is recommendable

  11. Nanostructured CdS thin films deposited by spray pyrolysis method

    Energy Technology Data Exchange (ETDEWEB)

    Kerimova, A.; Bagiyev, E.; Aliyeva, E.; Bayramov, A. [Institute of Physics, Azerbaijan National Academy of Sciences, Baku (Azerbaijan)

    2017-06-15

    Influence of solution pH on the structural and optical properties of CdS films deposited by conventional spray pyrolysis technique was studied. X-Ray Diffraction (XRD), Atomic Force Microscopy (AFM), Photoluminescence spectroscopy (PLS), and Spectroscopic Ellipsometry (SE) methods were used for the characterization of the deposited films. PL spectrum of the film deposited from the solution with pH = 10.2 shows broad-band PL emission located at 460 nm (2.7 eV), which can be attributed to the quantum size effect at grain sizes of <10 nm. No shifts of ε{sub 1} and ε{sub 2} due to the quantum size effect are observed in dielectric function spectra, what can be caused by low concentration of nano-sized (<10 nm) CdS grains. The change in the film properties with the pH of the solution was analyzed in terms of variation of grain sizes of the polycrystalline films. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  12. Thickness dependent growth of low temperature atomic layer deposited zinc oxide films

    International Nuclear Information System (INIS)

    Montiel-González, Z.; Castelo-González, O.A.; Aguilar-Gama, M.T.; Ramírez-Morales, E.; Hu, H.

    2017-01-01

    Highlights: • Polycrystalline columnar ZnO thin films deposited by ALD at low temperatures. • Higher deposition temperature leads to a greater surface roughness in the ALD ZnO films. • Higher temperature originates larger refractive index values of the ALD ZnO films. • ZnO thin films were denser as the numbers of ALD deposition cycles were larger. • XPS analysis revels mayor extent of the DEZ reaction during the ALD process. - Abstract: Zinc oxide films are promising to improve the performance of electronic devices, including those based on organic materials. However, the dependence of the ZnO properties on the preparation conditions represents a challenge to obtain homogeneous thin films that satisfy specific applications. Here, we prepared ZnO films of a wide range of thicknesses by atomic layer deposition (ALD) at relatively low temperatures, 150 and 175 °C. From the results of X-ray photoelectron spectroscopy, X-ray diffraction and Spectroscopic Ellipsometry it is concluded that the polycrystalline structure of the wurtzite is the main phase of the ALD samples, with OH groups on their surface. Ellipsometry revealed that the temperature and the deposition cycles have a strong effect on the films roughness. Scanning electron micrographs evidenced such effect, through the large pyramids developed at the surface of the films. It is concluded that crystalline ZnO thin films within a broad range of thickness and roughness can be obtained for optic or optoelectronic applications.

  13. Opto-electrical properties of amorphous carbon thin film deposited from natural precursor camphor

    Energy Technology Data Exchange (ETDEWEB)

    Pradhan, Debabrata [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)]. E-mail: dpradhan@sciborg.uwaterloo.ca; Sharon, Maheshwar [Department of Chemistry, Indian Institute of Technology Bombay, Mumbai 400 076 (India)

    2007-06-30

    A simple thermal chemical vapor deposition technique is employed for the pyrolysis of a natural precursor 'camphor' and deposition of carbon films on alumina substrate at higher temperatures (600-900 deg. C). X-ray diffraction measurement reveals the amorphous structure of these films. The carbon films properties are found to significantly vary with the deposition temperatures. At higher deposition temperature, films have shown predominately sp{sup 2}-bonded carbon and therefore, higher conductivity and lower optical band gap (Tauc gap). These amorphous carbon (a-C) films are also characterized with Raman and X-ray photoelectron spectroscopy. In addition, electrical and optical properties are measured. The thermoelectric measurement shows these as-grown a-C films are p-type in nature.

  14. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    International Nuclear Information System (INIS)

    Novotný, M; Bulíř, J; Lančok, J; Čížek, J; Kužel, R; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P; Anwand, W; Brauer, G

    2012-01-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ∼ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ∼ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate. (paper)

  15. Review of recent progresses on flexible oxide semiconductor thin film transistors based on atomic layer deposition processes

    Science.gov (United States)

    Sheng, Jiazhen; Han, Ki-Lim; Hong, TaeHyun; Choi, Wan-Ho; Park, Jin-Seong

    2018-01-01

    The current article is a review of recent progress and major trends in the field of flexible oxide thin film transistors (TFTs), fabricating with atomic layer deposition (ALD) processes. The ALD process offers accurate controlling of film thickness and composition as well as ability of achieving excellent uniformity over large areas at relatively low temperatures. First, an introduction is provided on what is the definition of ALD, the difference among other vacuum deposition techniques, and the brief key factors of ALD on flexible devices. Second, considering functional layers in flexible oxide TFT, the ALD process on polymer substrates may improve device performances such as mobility and stability, adopting as buffer layers over the polymer substrate, gate insulators, and active layers. Third, this review consists of the evaluation methods of flexible oxide TFTs under various mechanical stress conditions. The bending radius and repetition cycles are mostly considering for conventional flexible devices. It summarizes how the device has been degraded/changed under various stress types (directions). The last part of this review suggests a potential of each ALD film, including the releasing stress, the optimization of TFT structure, and the enhancement of device performance. Thus, the functional ALD layers in flexible oxide TFTs offer great possibilities regarding anti-mechanical stress films, along with flexible display and information storage application fields. Project supported by the National Research Foundation of Korea (NRF) (No. NRF-2017R1D1A1B03034035), the Ministry of Trade, Industry & Energy (No. #10051403), and the Korea Semiconductor Research Consortium.

  16. Self-limiting atomic layer deposition of conformal nanostructured silver films

    International Nuclear Information System (INIS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-01-01

    Graphical abstract: - Highlights: • We grow metallic silver by direct liquid injection thermal atomic layer deposition. • Highly conformal silver nanoparticle coatings on high aspect ratio surfaces. • An ALD temperature growth window between 123 and 128 °C is established. • ALD cycles provides sub nanometre control of silver growth. • Catalytic dehydrogenation ALD mechanism has been elucidated by in-situ QCM. - Abstract: The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm"2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  17. Morphology and structural studies of WO_3 films deposited on SrTiO_3 by pulsed laser deposition

    International Nuclear Information System (INIS)

    Kalhori, Hossein; Porter, Stephen B.; Esmaeily, Amir Sajjad; Coey, Michael; Ranjbar, Mehdi; Salamati, Hadi

    2016-01-01

    Highlights: • Highly oriented WO_3 stoichiometric films were determined using pulsed laser deposition method. • Effective parameters on thin films including temperature, oxygen partial pressure and laser energy fluency was studied. • A phase transition was observed in WO_3 films at 700 °C from monoclinic to tetragonal. - Abstract: WO_3 films have been grown by pulsed laser deposition on SrTiO_3 (001) substrates. The effects of substrate temperature, oxygen partial pressure and energy fluence of the laser beam on the physical properties of the films were studied. Reflection high-energy electron diffraction (RHEED) patterns during and after growth were used to determine the surface structure and morphology. The chemical composition and crystalline phases were obtained by XPS and XRD respectively. AFM results showed that the roughness and skewness of the films depend on the substrate temperature during deposition. Optimal conditions were determined for the growth of the highly oriented films.

  18. Microstructural and magnetic properties of thin obliquely deposited films: A simulation approach

    Energy Technology Data Exchange (ETDEWEB)

    Solovev, P.N., E-mail: platon.solovev@gmail.com [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Izotov, A.V. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Belyaev, B.A. [Kirensky Institute of Physics, Siberian Branch of the Russian Academy of Sciences, 50/38, Akademgorodok, Krasnoyarsk 660036 (Russian Federation); Siberian Federal University, 79, pr. Svobodnyi, Krasnoyarsk 660041 (Russian Federation); Reshetnev Siberian State Aerospace University, 31, pr. Imeni Gazety “Krasnoyarskii Rabochii”, Krasnoyarsk 660014 (Russian Federation)

    2017-05-01

    The relation between microstructural and magnetic properties of thin obliquely deposited films has been studied by means of numerical techniques. Using our developed simulation code based on ballistic deposition model and Fourier space approach, we have investigated dependences of magnetometric tensor components and magnetic anisotropy parameters on the deposition angle of the films. A modified Netzelmann approach has been employed to study structural and magnetic parameters of an isolated column in the samples with tilted columnar microstructure. Reliability and validity of used numerical methods is confirmed by a good agreement of the calculation results with each other, as well as with our experimental data obtained by the ferromagnetic resonance measurements of obliquely deposited thin Ni{sub 80}Fe{sub 20} films. The combination of these numerical methods can be used to design a magnetic film with a desirable value of uniaxial magnetic anisotropy and to extract the obliquely deposited film structure from only magnetic measurements. - Highlights: • We present a simulation approach to study a relation between structural and magnetic properties of oblique films. • The calculated dependence of magnetic anisotropy on a deposition angle accords well with the experiment. • A modified Netzelmann approach is proposed. • It allows for the computation of magnetic and structural parameters of an isolated column. • Proposed approach can be used for theoretical studies and for characterization of oblique films.

  19. Optoelectronic Characterization of Ta-Doped ZnO Thin Films by Pulsed Laser Deposition.

    Science.gov (United States)

    Koo, Horng-Show; Peng, Jo-Chi; Chen, Mi; Chin, Hung-I; Chen, Jaw-Yeh; Wu, Maw-Kuen

    2015-11-01

    Transparent conductive oxide of Ta-doped ZnO (TZO) film with doping amount of 3.0 wt% have been deposited on glass substrates (Corning Eagle XG) at substrate temperatures of 100 to 500 degrees C by the pulsed laser deposition (PLD) technique. The effect of substrate temperature on the structural, optical and electronic characteristics of Ta-doped ZnO (TZO) films with 3.0 wt% dopant of tantalum oxide (Ta2O5) was measured and demonstrated in terms of X-ray diffraction (XRD), ultraviolet-visible spectrometer (UV-Vis), four-probe and Hall-effect measurements. X-ray diffraction pattern shows that TZO films grow in hexagonal crystal structure of wurtzite phase with a preferred orientation of the crystallites along (002) direction and exhibits better physical characteristics of optical transmittance, electrical conductivity, carrier concentration and mobility for the application of window layer in the optoelectronic devices of solar cells, OLEDs and LEDs. The lowest electrical resistivity (ρ) and the highest carrier concentration of the as-deposited film deposited at 300 degrees C are measured as 2.6 x 10(-3) Ω-cm and 3.87 x 10(-20) cm(-3), respectively. The highest optical transmittance of the as-deposited film deposited at 500 degrees C is shown to be 93%, compared with another films deposited below 300 degrees C. It is found that electrical and optical properties of the as-deposited TZO film are greatly dependent on substrate temperature during laser ablation deposition.

  20. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    Science.gov (United States)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-06-01

    The properties of WNxCy films deposited by atomic layer deposition (ALD) using WF6, NH3, and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm3. The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ˜48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC1-x and β-W2N with an equiaxed microstructure. The barrier property of this ALD-WNxCy film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 °C for 30 min.

  1. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    International Nuclear Information System (INIS)

    Vähä-Nissi, Mika; Pitkänen, Marja; Salo, Erkki; Kenttä, Eija; Tanskanen, Anne; Sajavaara, Timo; Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana; Karppinen, Maarit; Harlin, Ali

    2014-01-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al 2 O 3 of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al 2 O 3 thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al 2 O 3 • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli

  2. Antibacterial and barrier properties of oriented polymer films with ZnO thin films applied with atomic layer deposition at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Vähä-Nissi, Mika, E-mail: mika.vaha-nissi@vtt.fi [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Pitkänen, Marja; Salo, Erkki; Kenttä, Eija [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Tanskanen, Anne, E-mail: Anne.Tanskanen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Sajavaara, Timo, E-mail: timo.sajavaara@jyu.fi [University of Jyväskylä, Department of Physics, P.O. Box 35, FI-40014 Jyväskylä (Finland); Putkonen, Matti; Sievänen, Jenni; Sneck, Asko; Rättö, Marjaana [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland); Karppinen, Maarit, E-mail: Maarit.Karppinen@aalto.fi [Aalto University, School of Chemical Technology, Department of Chemistry, Laboratory of Inorganic Chemistry, P.O. Box 16100, FI-00076 Aalto (Finland); Harlin, Ali [VTT Technical Research Centre of Finland, P.O. Box 1000, FI-02044, VTT (Finland)

    2014-07-01

    Concerns on food safety, and need for high quality and extended shelf-life of packaged foods have promoted the development of antibacterial barrier packaging materials. Few articles have been available dealing with the barrier or antimicrobial properties of zinc oxide thin films deposited at low temperature with atomic layer deposition (ALD) onto commercial polymer films typically used for packaging purposes. The purpose of this paper was to study the properties of ZnO thin films compared to those of aluminum oxide. It was also possible to deposit ZnO thin films onto oriented polylactic acid and polypropylene films at relatively low temperatures using ozone instead of water as an oxidizing precursor for diethylzinc. Replacing water with ozone changed both the structure and the chemical composition of films deposited on silicon wafers. ZnO films deposited with ozone contained large grains covered and separated probably by a more amorphous and uniform layer. These thin films were also assumed to contain zinc salts of carboxylic acids. The barrier properties of a 25 nm ZnO thin film deposited with ozone at 100 °C were quite close to those obtained earlier with ALD Al{sub 2}O{sub 3} of similar apparent thickness on similar polymer films. ZnO thin films deposited at low temperature indicated migration of antibacterial agent, while direct contact between ZnO and Al{sub 2}O{sub 3} thin films and bacteria promoted antibacterial activity. - Highlights: • Thin films were grown from diethylzinc also with ozone instead of water at 70 and 100 °C. • ZnO films deposited with diethylzinc and ozone had different structures and chemistries. • Best barrier properties obtained with zinc oxide films close to those obtained with Al{sub 2}O{sub 3} • Ozone as oxygen source provided better barrier properties at 100 °C than water. • Both aluminum and zinc oxide thin films showed antimicrobial activity against E. coli.

  3. Synthesis and characterization of titanium dioxide thin films deposited by laser ablation

    International Nuclear Information System (INIS)

    Escobar A, L.; Camps C, E.; Falcon B, T.; Carapia M, L.; Haro P, E.; Camacho L, M.A.

    2000-01-01

    In this work are presented the results obtained when TiO 2 thin films were deposited using the laser ablation technique. Thin films were deposited at different substrate temperatures, and different oxygen pressures, with the purpose of studying the influence of this deposit parameters in the structural characteristics of the films obtained. The structural characterization was realized through Raman Spectroscopy and X-ray Diffraction (XRD), the surface morphology of the layers deposited was verified by Scanning Electron Microscopy (Sem). The results show that the films obtained are of TiO 2 in rutile phase, getting this at low substrate temperatures, its morphology shows a soft surface with some spattered particles and good adherence. (Author)

  4. Panels of microporous insulation

    Energy Technology Data Exchange (ETDEWEB)

    McWilliams, J.A.; Morgan, D.E.; Jackson, J.D.J.

    1990-08-07

    Microporous thermal insulation materials have a lattice structure in which the average interstitial dimension is less than the mean free path of the molecules of air or other gas in which the material is arranged. This results in a heat flow which is less than that attributable to the molecular heat diffusion of the gas. According to this invention, a method is provided for manufacturing panels of microporous thermal insulation, in particular such panels in which the insulation material is bonded to a substrate. The method comprises the steps of applying a film of polyvinyl acetate emulsion to a non-porous substrate, and compacting powdery microporous thermal insulation material against the film so as to cause the consolidated insulation material to bond to the substrate and form a panel. The polyvinyl acetate may be applied by brushing or spraying, and is preferably allowed to dry prior to compacting the insulation material. 1 fig.

  5. Characterization of CuS nanocrystalline thin films synthesized by chemical bath deposition and dip coating techniques

    International Nuclear Information System (INIS)

    Chaki, Sunil H.; Deshpande, M.P.; Tailor, Jiten P.

    2014-01-01

    CuS thin films were synthesized by chemical bath deposition and dip coating techniques at ambient temperature. The energy dispersive analysis of X-rays of the thin films confirmed that both the as synthesized thin films are stoichiometric. The X-ray diffraction of the chemical bath deposited and dip coating deposited thin films showed that the films possess hexagonal structure having lattice parameters, a = b = 3.79 A and c = 16.34 A. The crystallite sizes determined from the X-ray diffraction data using Scherrer's formula for the chemical bath deposition and dip coating deposition thin films came out to be nearly 11 nm and 13 nm, respectively. The optical microscopy of the as deposited thin films surfaces showed that the substrates are well covered in both the deposited films. The scanning electron microscopy of the thin films clearly showed that in chemical bath deposited thin films the grain size varies from few μm to nm, while in dip coating deposited films the grain size ranges in nm. The optical bandgap determined from the optical absorbance spectrum analysis showed, chemical bath deposited thin films possess direct bandgap of 2.2 eV and indirect bandgap of 1.8 eV. In the case of dip coating deposited thin films, the direct bandgap is 2.5 eV and indirect bandgap is 1.9 eV. The d.c. electrical resistivity variation with temperature for both the deposited films showed that the resistivity decreases with temperature thus confirming the semiconducting nature. The thermoelectric power variations with temperature and the room temperature Hall Effect study of both the synthesized CuS thin films showed them to be of p-type conductivity. The obtained results are discussed in details. - Highlights: • CuS thin films were synthesized by chemical bath deposition and dip coating techniques. • The films possessed hexagonal structure. • The optical absorption showed that the films had direct and indirect bandgap. • Study of electrical transport properties

  6. Study on helium-charged titanium films deposited by DC-magnetron sputtering

    International Nuclear Information System (INIS)

    Shi Liqun; Jin Qinhua; Liu Chaozhuo; Xu Shilin; Zhou Zhuying

    2005-01-01

    Helium trapping in the Ti films deposited by DC magnetron sputtering with a He/Ar mixture was studied. He atoms with a surprisingly high concentration (He/Ti atomic ratio is as high as 56%) incorporate evenly in deposited film. The trapped amount of He can be controlled by the helium partial amount. The introduction of the helium with no extra damage (or very low damage) can be realized by choosing suitable deposition conditions. It was also found that because of the formation of nanophase Ti film a relative high He flux for bubble formation is needed and the amount of the retaining He in sputtering Ti films is much higher than that in the coarse-grain Ti films. The nanophase Ti film can accommodate larger concentration of trapped sites to He, which results in a high density and small size of the He bubbles. With increasing He irradiation flux, the grain size of Ti film decreases and the lattice spacing and width of the X-ray diffraction peak increase due to the He introduction, and the film tends to amorphous phase. (authors)

  7. The properties of nanocomposite aluminium-silicon based thin films deposited by filtered arc deposition

    Energy Technology Data Exchange (ETDEWEB)

    Bendavid, A.; Martin, P.J.; Takikawa, H

    2002-12-02

    Thin films of aluminium silicon oxynitride have been deposited on conducting (100) silicon wafers by filtered arc deposition (FAD) under nitrogen and/or oxygen gas flow. The influence of the N{sub 2}/O{sub 2} flow ratio on the crystal structure, optical and mechanical properties has been investigated. The results of X-ray diffraction showed that the film structure comprised of an AlN crystallite with amorphous Si{sub 3}N{sub 4} and SiO{sub x}. The optical properties over the range of 350-800 nm were measured using spectroscopic ellipsometry and found to be strongly dependent on N{sub 2}/O{sub 2} flow ratio. The refractive index values of the films were measured to be in the range of 2.2-1.64 at a wavelength of 670 nm for oxygen flow range of 0-100%. The hardness of the films was found to be strongly dependent on the oxygen content in the film. The hardness range of the films was between 10 and 22 GPa and for the stress between 0.3 and 1.2 GPa.

  8. Growth of different phases and morphological features of MnS thin films by chemical bath deposition: Effect of deposition parameters and annealing

    Energy Technology Data Exchange (ETDEWEB)

    Hannachi, Amira, E-mail: amira.hannachi88@gmail.com; Maghraoui-Meherzi, Hager

    2017-03-15

    Manganese sulfide thin films have been deposited on glass slides by chemical bath deposition (CBD) method. The effects of preparative parameters such as deposition time, bath temperature, concentration of precursors, multi-layer deposition, different source of manganese, different complexing agent and thermal annealing on structural and morphological film properties have been investigated. The prepared thin films have been characterized using the X-ray diffraction (XRD), scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). It exhibit the metastable forms of MnS, the hexagonal γ-MnS wurtzite phase with preferential orientation in the (002) plane or the cubic β-MnS zinc blende with preferential orientation in the (200) plane. Microstructural studies revealed the formation of MnS crystals with different morphologies, such as hexagons, spheres, cubes or flowers like. - Graphical Abstract: We report the preparation of different phases of manganese sulfide thin films (γ, β and α-MnS) by chemical bath deposition method. The effects of deposition parameters such as deposition time and temperature, concentrations of precursors and multi-layer deposition on MnS thin films structure and morphology were investigated. The influence of thermal annealing under nitrogen atmosphere at different temperature on MnS properties was also studied. Different manganese precursors as well as different complexing agent were also used. - Highlights: • γ and β-MnS films were deposited on substrate using the chemical bath deposition. • The effect of deposition parameters on MnS film properties has been investigated. • Multi-layer deposition was also studied to increase film thickness. • The effect of annealing under N{sub 2} at different temperature was investigated.

  9. Effect of protic solvents on CdS thin films prepared by chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Yao, Pin-Chuan, E-mail: pcyao@mail.dyu.edu.tw; Chen, Chun-Yu

    2015-03-31

    In this study, cadmium sulfide (CdS) thin films are grown on glass substrates by chemical bath deposition (CBD) in an aqueous bath containing 10–20 vol.% alcohol. The roles of ethanol as a protic solvent that substantially improves the quality of films are explored extensively. The deposited films in an alcohol bath are found to be more compact and smoother with smaller CdS grains. The X-ray diffractograms of the samples confirm that all films were polycrystalline with mixed wurtzite (hexagonal) and zinkblende (cubic) phases. Raman spectra indicate that, for a film deposited in an alcohol bath, the position of 1LO is closer to the value for single crystal CdS, indicating that these films have a high degree of crystallinity. The as-deposited CdS thin films in a 10 vol.% alcohol bath were found to have the highest visible transmittance of 81.9%. XPS analysis reveals a stronger signal of C1s for samples deposited in the alcohol baths, indicating that there are more carbonaceous residues on the films with protic solvent than on the films with water. A higher XPS S/Cd atomic ratio for films deposited in an alcohol bath indicates that undesirable surface reactions (leading to sulfur containing compounds other than CdS) occur less frequently over the substrates. - Highlights: • Study of CBD-CdS films grown in an alcohol-containing aqueous bath is reported. • The deposited films in an alcohol bath are more compact with smaller CdS grains. • Raman spectra show that in an alcohol bath, the CdS film has a better crystallinity. • XPS reveals more carbon residues remain on the films deposited using alcohol bath. • In an alcohol bath, the undesirable surface reactions with Cd ions were hindered.

  10. Deposition of nanostructured photocatalytic zinc ferrite films using solution precursor plasma spraying

    International Nuclear Information System (INIS)

    Dom, Rekha; Sivakumar, G.; Hebalkar, Neha Y.; Joshi, Shrikant V.; Borse, Pramod H.

    2012-01-01

    Highlights: ► Highly economic solution precursor route capable of producing films/coating even for mass scale production. ► Pure spinel phase ZnFe 2 O 4 porous, immobilized films deposited in single step. ► Parameter optimization yields access to nanostructuring in SPPS method. ► The ecofriendly immobilized ferrite films were active under solar radiation. ► Such magnetic system display advantage w.r.t. recyclability after photocatalyst extraction. -- Abstract: Deposition of pure spinel phase, photocatalytic zinc ferrite films on SS-304 substrates by solution precursor plasma spraying (SPPS) has been demonstrated for the first time. Deposition parameters such as precursor solution pH, concentration, film thickness, plasma power and gun-substrate distance were found to control physico-chemical properties of the film, with respect to their crystallinity, phase purity, and morphology. Alkaline precursor conditions (7 2 O 4 film. Very high/low precursor concentrations yielded mixed phase, less adherent, and highly inhomogeneous thin films. Desired spinel phase was achieved in as-deposited condition under appropriately controlled spray conditions and exhibited a band gap of ∼1.9 eV. The highly porous nature of the films favored its photocatalytic performance as indicated by methylene blue de-coloration under solar radiation. These immobilized films display good potential for visible light photocatalytic applications.

  11. Effect of residual gas on structural, electrical and mechanical properties of niobium films deposited by magnetron sputtering deposition

    Science.gov (United States)

    Wang, Lanruo; Zhong, Yuan; Li, Jinjin; Cao, Wenhui; Zhong, Qing; Wang, Xueshen; Li, Xu

    2018-04-01

    Magnetron sputtering is an important method in the superconducting thin films deposition. The residual gas inside the vacuum chamber will directly affect the quality of the superconducting films. In this paper, niobium films are deposited by magnetron sputtering under different chamber residual gas conditions. The influence of baking and sputtering process on residual gas are studied as well. Surface morphology, electrical and mechanical properties of the films are analysed. The residual gas analysis result before the sputtering process could be regarded as a reference condition to achieve high quality superconducting thin films.

  12. Structural characterization of chemically deposited PbS thin films

    International Nuclear Information System (INIS)

    Fernandez-Lima, F.A.; Gonzalez-Alfaro, Y.; Larramendi, E.M.; Fonseca Filho, H.D.; Maia da Costa, M.E.H.; Freire, F.L.; Prioli, R.; Avillez, R.R. de; Silveira, E.F. da; Calzadilla, O.; Melo, O. de; Pedrero, E.; Hernandez, E.

    2007-01-01

    Polycrystalline thin films of lead sulfide (PbS) grown using substrate colloidal coating chemical bath depositions were characterized by RBS, XPS, AFM and GIXRD techniques. The films were grown on glass substrates previously coated with PbS colloidal particles in a polyvinyl alcohol solution. The PbS films obtained with the inclusion of the polymer showed non-oxygen-containing organic contamination. All samples maintained the Pb:S 1:1 stoichiometry throughout the film. The amount of effective nucleation centers and the mean grain size have being controlled by the substrate colloidal coating. The analysis of the polycrystalline PbS films showed that a preferable (1 0 0) lattice plane orientation parallel to the substrate surface can be obtained using a substrate colloidal coating chemical bath deposition, and the orientation increases when a layer of colloid is initially dried on the substrate

  13. Structural and electrical properties of sputter deposited ZnO thin films

    Science.gov (United States)

    Muhammed Shameem P., V.; Mekala, Laxman; Kumar, M. Senthil

    2018-05-01

    The growth of zinc oxide thin films having different oxygen content was achieved at ambient temperature by reactive dc magnetron sputtering technique and their structural and electrical properties are studied. The structural studies show that the films are polycrystalline with a preferential orientation of the grains along the c-axis [002], which increases with increase in oxygen partial pressure. The grain size and the surface roughness of the zinc oxide films are found to decrease with increasing oxygen partial pressure. It is observed that the resistivity of the zinc oxide films can be tuned from semiconducting to insulating regime by varying the oxygen content.

  14. Study of hard diamond-like carbon films deposited in an inductively coupled plasma source

    International Nuclear Information System (INIS)

    Yu Shiji; Ma Tengcai

    2003-01-01

    Chemical vapor deposition of the hard diamond-like carbon (DLC) films was achieved using an inductively coupled plasma source (ICPS). The microscopy, microhardness, deposition rate and structure characteristic of the DLC films were analyzed. It is shown that the ICPS is suitable for the hard DLC film deposition at relatively low substrate negative bias voltage, and the substrate negative bias voltage greatly affects chemical vapor deposition of the DLC film and its quality

  15. Heat treatment of cathodic arc deposited amorphous hard carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Anders, S.; Ager, J.W. III; Brown, I.G. [and others

    1997-02-01

    Amorphous hard carbon films of varying sp{sup 2}/sp{sup 3} fractions have been deposited on Si using filtered cathodic are deposition with pulsed biasing. The films were heat treated in air up to 550 C. Raman investigation and nanoindentation were performed to study the modification of the films caused by the heat treatment. It was found that films containing a high sp{sup 3} fraction sustain their hardness for temperatures at least up to 400 C, their structure for temperatures up to 500 C, and show a low thickness loss during heat treatment. Films containing at low sp{sup 3} fraction graphitize during the heat treatment, show changes in structure and hardness, and a considerable thickness loss.

  16. Ammonia-free chemical bath method for deposition of microcrystalline cadmium selenide films

    International Nuclear Information System (INIS)

    Lokhande, C.D.; Lee, Eun-Ho; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    Chemical deposition of cadmium selenide (CdSe) films has been carried out from alkaline aqueous solution containing Cd 2+ and Se 2- ions. In general, the alkaline pH of the CdSe deposition bath has been adjusted by addition of liquid ammonia. However, the use of ammonia in large-scale chemical deposition method represents an environmental problem due to its volatility and toxicity. The volatility of ammonia changes the pH of deposition bath and results into irreproducible film properties. In the present paper, ammonia-free and weak alkaline (pH < 9.0) chemical method for cadmium selenide film has been developed. The cadmium selenide films are microcrystalline (grain size 0.5-0.7 μm) with hexagonal crystal structure. These films are photoactive and therefore, useful in photo conversion of light into electrical power

  17. Optimization of deposition conditions of CdS thin films using response surface methodology

    Energy Technology Data Exchange (ETDEWEB)

    Yücel, Ersin, E-mail: dr.ersinyucel@gmail.com [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Güler, Nuray [Department of Physics, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey); Yücel, Yasin [Department of Chemistry, Faculty of Arts and Sciences, Mustafa Kemal University, 31034 Hatay (Turkey)

    2014-03-15

    Highlights: • Statistical methods used for optimization of CdS deposition parameters. • The morphology of the films was smooth, homogeneous and continuous. • Optimal conditions found as pH 11, stirring speed:361 rpm and deposition time: 55 min. • CdS thin film band gap value was 2.72 eV under the optimum conditions. -- Abstract: Cadmium sulfide (CdS) thin films were prepared on glass substrates by chemical bath deposition (CBD) technique under different pH, stirring speed and deposition time. Response Surface Methodology (RSM) and Central Composite Design (CCD) were used to optimization of deposition parameters of the CdS thin films. RSM and CCD were also used to understand the significance and interaction of the factors affecting the film quality. Variables were determined as pH, stirring speed and deposition time. The band gap was chosen as response in the study. Influences of the variables on the band gap and the film quality were investigated. 5-level-3-factor central composite design was employed to evaluate the effects of the deposition conditions parameters such as pH (10.2–11.8), stirring speed (132–468 rpm) and deposition time (33–67 min) on the band gap of the films. The samples were characterized using X-ray diffraction (XRD), scanning electron microscope (SEM) and ultraviolet–visible spectroscopy (UV–vis) measurements. The optimal conditions for the deposition parameters of the CdS thin films have been found to be: pH 11, 361 of stirring speed and 55 min of deposition time. Under the optimal conditions theoretical (predicted) band gap of CdS (2.66 eV) was calculated using optimal coded values from the model and the theoretical value is good agreement with the value (2.72 eV) obtained by verification experiment.

  18. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    Directory of Open Access Journals (Sweden)

    Chia-Man Chou

    2017-07-01

    Full Text Available We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD incorporated with radio-frequency (r.f.-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr. High oxygen vapor pressure (150 mTorr and low r.f. power (10 W are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  19. Deposition of controllable preferred orientation silicon films on glass by inductively coupled plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Junshuai; Wang Jinxiao; Yin Min; Gao Pingqi; He Deyan; Chen Qiang; Li Yali; Shirai, Hajime

    2008-01-01

    An inductively coupled plasma (ICP) system with the adjustable distance between the inductance coil and substrates was designed to effectively utilize the spatial confinement of ICP discharge, and then control the gas-phase transport process. The effects of the gas phase processes on the crystallinity and preferred orientation of silicon films deposited on glass were systematically investigated. The investigation was conducted in the ICP-chemical vapor deposition process with the precursor gas of a SiH 4 /H 2 mixture at a substrate temperature of 350 deg. Highly crystallized silicon films with different preferred orientations, (111) or (220), could be selectively deposited by adjusting the SiH 4 dilution ratio [R=[SiH 4 ]/([SiH 4 ]+[H 2 ])] or total working pressure. When the total working pressure is 20 Pa, the crystallinity of the silicon films increases with the increase of the SiH 4 dilution ratio, while the preferred orientation was changed from (111) to (220). In the case of the fixed SiH 4 dilution (10%), the silicon film with I (220) /I (111) of about 3.5 and Raman crystalline fraction of about 89.6% has been deposited at 29.7 nm/min when the total working pressure was increased to 40 Pa. At the fixed SiH 4 partial pressure of 2 Pa, the film crystallinity decreases and the preferred orientation is always (111) with increasing the H 2 partial pressure from 18 to 58 Pa. Atomic force microscope reveals that the film deposited at a relatively high H 2 partial pressure has a very rough surface caused by the devastating etching of H atoms to the silicon network

  20. Reflectance study on the metal-insulator transition driven by crystallinity change in poly(3,4-ethylenedioxy thiophene)/poly(styrenesulfonate) films

    International Nuclear Information System (INIS)

    Cho, Shinuk; Park, Sungheum; Lee, Kwanghee

    2005-01-01

    We report optical reflectance, R(ω), studies on free-standing films of poly(3,4-ethylene dioxy thiophene)/poly(style ne sulfonate) (PEDOT-PSS) measured over a range from 0.02 - 5.0 eV. When the PEDOT-PSS films were prepared at an elevated temperature of 60 .deg. C, the films exhibit an increased dc-conductivity (σ dc ∼ 104 S/cm) and an optical conductivity, σ(ω), in the intraband transitions below 1.0 eV as compared with the films prepared at room temperature (σ dc ∼ 47 S/cm). Detailed analysis of σ(ω) in terms of the 'localization-modified Drude (LMD) model' demonstrated that the heat-treated PEDOT-PSS was a disordered metal near the metal-insulator transition (MIT) while the as-grown films could be better described as a Fermi glass on the insulating side of MIT. The heat-annealing process increased the degree of crystallinity of the films, thereby inducing a MIT near the critical limit.

  1. Growth and characterization of indium tin oxide thin films deposited on PET substrates

    International Nuclear Information System (INIS)

    Lee, Jaehyeong; Jung, Hakkee; Lee, Jongin; Lim, Donggun; Yang, Keajoon; Yi, Junsin; Song, Woo-Chang

    2008-01-01

    Transparent and conductive indium tin oxide (ITO) thin films were deposited onto polyethylene terephthalate (PET) by d.c. magnetron sputtering as the front and back electrical contact for applications in flexible displays and optoelectronic devices. In addition, ITO powder was used for sputter target in order to reduce the cost and time of the film formation processes. As the sputtering power and pressure increased, the electrical conductivity of ITO films decreased. The films were increasingly dark gray colored as the sputtering power increased, resulting in the loss of transmittance of the films. When the pressure during deposition was higher, however, the optical transmittance improved at visible region of light. ITO films deposited onto PET have shown similar optical transmittance and electrical resistivity, in comparison with films onto glass substrate. High quality films with resistivity as low as 2.5 x 10 -3 Ω cm and transmittance over 80% have been obtained on to PET substrate by suitably controlling the deposition parameters

  2. Properties of spray-deposited liquid-phase exfoliated graphene films

    Science.gov (United States)

    Sales, Maria Gabriela C.; Dela Vega, Ma. Shanlene D. C.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    In this study, we demonstrate the feasibility of spray-depositing exfoliated graphene on flexible polyimide (PI) and rigid (soda lime glass) substrates for optoelectronic applications. The water contact angles of the substrates increased by 13% (for PI) and 49% (for glass) when the surfaces are pretreated with hexamethyldisiloxane, which significantly improved the adhesion of the films. Raman spectral analyses confirmed a minimum of 15 and a maximum of 23 layers of exfoliated graphene deposited on the substrates. After deposition, the films were exposed to 13.56 MHz radio-frequency plasma containing an admixture of argon and nitrogen gases. Plasma treatment modified the electrical properties with a response analogous to that of a rectifier. A 39% increase in transmittance in the visible region was also observed especially for glass substrates after plasma treatment without a significant change in film electrical conductivity.

  3. Deposition of thin films by retardation of an isotope separator beam

    International Nuclear Information System (INIS)

    Colligon, J.S.; Grant, W.A.; Williams, J.S.; Lawson, R.P.W.

    1976-01-01

    An ion optical lens system capable of retarding and focusing a mass-analysed ion beam, produced in the University of Salford isotope separator, from an energy of 20 keV to 50-60 eV is described. Using this system it is technically feasible to deposit spectroscopically pure ions of all species onto a substrate to produce thin film for devices and junctions. Preliminary investigations of the technique have been carried out using lead and copper ions which were deposited onto silicon single-crystal substrates. These ions were selected because their high mass relative to silicon allowed analyses of the deposited films by low-angle Rutherford backscattering of 2 MeV He ions; the single-crystal silicon substrate enabled the extent of damage due to unretarded neutral particles to be estimated from channelling data. Results for lead films showed that films less than 150 A in thickness were discontinuous and scanning electron microscopy confirmed their 'island' structure. For thicker deposits, of order 600 A, the films were continuous. Results are also presented for copper-lead sandwich layers produced by successive depositions. Channelling experiments indicated that the neutral component was less than 5% of the total ion-beam intensity. Investigations of the spatial distribution of the lead films indicated a non-uniformity which, it is suggested, arises from a fault in the retardation lens design. (author)

  4. Pure and Sn-doped ZnO films produced by pulsed laser deposition

    DEFF Research Database (Denmark)

    Holmelund, E.; Schou, Jørgen; Tougaard, S.

    2002-01-01

    A new technique, metronome doping, has been used for doping of films during pulsed laser deposition (PLD). This technique makes it possible to dope continuously during film growth with different concentrations of a dopant in one deposition sequence. Films of pure and doped ZnO have been produced...

  5. Excimer pulsed laser deposition and annealing of YSZ nanometric films on Si substrates

    International Nuclear Information System (INIS)

    Caricato, A.P.; Barucca, G.; Di Cristoforo, A.; Leggieri, G.; Luches, A.; Majni, G.; Martino, M.; Mengucci, P.

    2005-01-01

    We report experimental results obtained for electrical and structural characteristics of yttria-stabilised zirconia (YSZ) thin films deposited by pulsed laser deposition (PLD) on Si substrates at room temperature. Some samples were submitted to thermal treatments in different ambient atmospheres (vacuum, N 2 and O 2 ) at a moderate temperature. The effects of thermal treatments on the film electrical properties were studied by C-V and I-V measurements. Structural characteristics were obtained by X-ray diffraction (XRD), X-ray reflectivity (XRR) and transmission electron microscopy (TEM) analyses. The as-deposited film was amorphous with an in-depth non-uniform density. The annealed films became polycrystalline with a more uniform density. The sample annealed in O 2 was uniform over all the thickness. Electrical characterisation showed large hysteresis, high leakage current and positive charges trapped in the oxide in the as-deposited film. Post-deposition annealing, especially in O 2 atmosphere, improved considerably the electrical properties of the films

  6. Atomic-layer-deposited WNxCy thin films as diffusion barrier for copper metallization

    International Nuclear Information System (INIS)

    Kim, Soo-Hyun; Oh, Su Suk; Kim, Ki-Bum; Kang, Dae-Hwan; Li, Wei-Min; Haukka, Suvi; Tuominen, Marko

    2003-01-01

    The properties of WN x C y films deposited by atomic layer deposition (ALD) using WF 6 , NH 3 , and triethyl boron as source gases were characterized as a diffusion barrier for copper metallization. It is noted that the as-deposited film shows an extremely low resistivity of about 350 μΩ cm with a film density of 15.37 g/cm 3 . The film composition measured from Rutherford backscattering spectrometry shows W, C, and N of ∼48, 32, and 20 at. %, respectively. Transmission electron microscopy analyses show that the as-deposited film is composed of face-centered-cubic phase with a lattice parameter similar to both β-WC 1-x and β-W 2 N with an equiaxed microstructure. The barrier property of this ALD-WN x C y film at a nominal thickness of 12 nm deposited between Cu and Si fails only after annealing at 700 deg. C for 30 min

  7. Fabrication of Antireflection Nanodiamond Particle Film by the Spin Coating Deposition Technique

    Directory of Open Access Journals (Sweden)

    Chii-Ruey Lin

    2014-01-01

    Full Text Available Diamond-based antireflective (AR coatings were fabricated using a spin coating of diamond suspension at room temperature as nucleation enhancement procedure and microwave plasma enhanced chemical vapour deposition. Various working pressures were used to investigate their effect on the optical characterization of the as-deposited diamond films. Scanning electron microscopy (SEM and atomic forced microscopy (AFM were employed to analyze the surface properties of the diamond films. Raman spectra and transmission electron microscopy (TEM also were used for analysis of the microstructure of the films. The results showed that working pressure had a significant effect on thickness, surface roughness, and wettability of the as-deposited diamond films. Deposited under 35 Torr or working pressure, the film possessed a low surface roughness of 13.8 nm and fine diamond grain sizes of 35 nm. Reflectance measurements of the films also were carried out using UV-Vis spectrometer and revealed a low reflectance value of the diamond films. The achievement demonstrated feasibility of the proposed spin-coating procedure for large scale production and thus opens up a prospect application of diamond film as an AR coating in industrial optoelectronic device.

  8. Thickness oscillations of the transport properties in n-type Bi{sub 2}Te{sub 3} topological insulator thin films

    Energy Technology Data Exchange (ETDEWEB)

    Rogacheva, E.I., E-mail: rogacheva@kpi.kharkov.ua [National Technical University “Kharkov Polytechnic Institute”, 21 Frunze Street, Kharkov 61002 (Ukraine); Budnik, A.V.; Sipatov, A.Yu.; Nashchekina, O.N. [National Technical University “Kharkov Polytechnic Institute”, 21 Frunze Street, Kharkov 61002 (Ukraine); Fedorov, A.G. [Institute for Single Crystals of NAS of Ukraine, 60 Lenin Prospect, Kharkov 61001 (Ukraine); Dresselhaus, M.S.; Tang, S. [Department of Electrical Engineering and Computer Science and Department of Physics, Massachusetts Institute of Technology, 77 Massachusetts Ave., Cambridge, MA 02139 (United States)

    2015-11-02

    The dependences of the electrical conductivity, Seebeck coefficient and Hall coefficient on the thickness (d = 20–155 nm) of the n-type thin films grown on the glass substrates by the thermal evaporation in vacuum of the n-type Bi{sub 2}Te{sub 3} topological insulator crystals have been measured. It has been established that these dependences have an oscillatory character with a substantial amplitude. The obtained results are interpreted in terms of quantum size effects, taking into account the peculiar properties of the surface layers of the Bi{sub 2}Te{sub 3} films connected with the topological insulator nature of the bismuth telluride. - Highlights: • The thickness dependences of Bi{sub 2}Te{sub 3} thin films kinetic coefficients were obtained. • The dependences have oscillatory character with a substantial undamped amplitude. • The oscillation period increases with decreasing film thickness. • The oscillations are attributed to electron confinement in the film growth direction. • It is suggested that topological surface layer affects quantum processes in films.

  9. Localized etching of an insulator film coated on a copper wire using an atmospheric-pressure microplasma jet.

    Science.gov (United States)

    Yoshiki, Hiroyuki

    2007-04-01

    Atmospheric-pressure microplasma jets (APmicroPJs) of Ar and ArO(2) gases were generated from the tip of a stainless steel surgical needle having outer and inner diameters of 0.4 and 0.2 mm, respectively, with a rf excitation of 13.56 MHz. The steel needle functions both as a powered electrode and a gas nozzle. The operating power is 1.2-6 W and the corresponding peak-to-peak voltage Vp.p. is about 1.5 kV. The APmicroPJ was applied to the localized etching of a polyamide-imide insulator film (thickness of 10 microm) of a copper winding wire of 90 microm diameter. The insulator film around the copper wire was completely removed by the irradiated plasma from a certain direction without fusing the wire. The removal time under the Ar APmicroPJ irradiation was only 3 s at a rf power of 4 W. Fluorescence microscopy and scanning electron microscope images reveal that good selectivity of the insulator film to the copper wire was achieved. In the case of ArO(2) APmicroPJ irradiation with an O(2) concentration of 10% or more, the removed copper surface was converted to copper monoxide CuO.

  10. Localized etching of an insulator film coated on a copper wire using an atmospheric-pressure microplasma jet

    International Nuclear Information System (INIS)

    Yoshiki, Hiroyuki

    2007-01-01

    Atmospheric-pressure microplasma jets (APμPJs) of Ar and Ar/O 2 gases were generated from the tip of a stainless steel surgical needle having outer and inner diameters of 0.4 and 0.2 mm, respectively, with a rf excitation of 13.56 MHz. The steel needle functions both as a powered electrode and a gas nozzle. The operating power is 1.2-6 W and the corresponding peak-to-peak voltage Vp.p. is about 1.5 kV. The APμPJ was applied to the localized etching of a polyamide-imide insulator film (thickness of 10 μm) of a copper winding wire of 90 μm diameter. The insulator film around the copper wire was completely removed by the irradiated plasma from a certain direction without fusing the wire. The removal time under the Ar APμPJ irradiation was only 3 s at a rf power of 4 W. Fluorescence microscopy and scanning electron microscope images reveal that good selectivity of the insulator film to the copper wire was achieved. In the case of Ar/O 2 APμPJ irradiation with an O 2 concentration of 10% or more, the removed copper surface was converted to copper monoxide CuO

  11. Single-crystal-like GdNdOx thin films on silicon substrates by magnetron sputtering and high-temperature annealing for crystal seed layer application

    Directory of Open Access Journals (Sweden)

    Ziwei Wang

    2016-06-01

    Full Text Available Single-crystal-like rare earth oxide thin films on silicon (Si substrates were fabricated by magnetron sputtering and high-temperature annealing processes. A 30-nm-thick high-quality GdNdOx (GNO film was deposited using a high-temperature sputtering process at 500°C. A Gd2O3 and Nd2O3 mixture was used as the sputtering target, in which the proportions of Gd2O3 and Nd2O3 were controlled to make the GNO’s lattice parameter match that of the Si substrate. To further improve the quality of the GNO film, a post-deposition annealing process was performed at a temperature of 1000°C. The GNO films exhibited a strong preferred orientation on the Si substrate. In addition, an Al/GNO/Si capacitor was fabricated to evaluate the dielectric constant and leakage current of the GNO films. It was determined that the single-crystal-like GNO films on the Si substrates have potential for use as an insulator layer for semiconductor-on-insulator and semiconductor/insulator multilayer applications.

  12. Fundamental Mechanisms of Roughening and Smoothing During Thin Film Deposition

    Energy Technology Data Exchange (ETDEWEB)

    Headrick, Randall [Univ. of Vermont, Burlington, VT (United States)

    2016-03-18

    In this research program, we have explored the fundamental limits for thin film deposition in both crystalline and amorphous (i.e. non-crystalline) materials systems. For vacuum-based physical deposition processes such as sputter deposition, the background gas pressure of the inert gas (usually argon) used as the process gas has been found to be a key variable. Both a roughness transition and stress transition as a function of pressure have been linked to a common mechanism involving collisions of energetic particles from the deposition source with the process inert gas. As energetic particles collide with gas molecules in the deposition process they lose their energy rapidly if the pressure (and background gas density) is above a critical value. Both roughness and stress limit important properties of thin films for applications. In the area of epitaxial growth we have also discovered a related effect; there is a critical pressure below which highly crystalline layers grow in a layer-by-layer mode. This effect is also though to be due to energetic particle thermalization and scattering. Several other important effects such as the observation of coalescence dominated growth has been observed. This mode can be likened to the behavior of two-dimensional water droplets on the hood of a car during a rain storm; as the droplets grow and touch each other they tend to coalesce rapidly into new larger circular puddles, and this process proceeds exponentially as larger puddles overtake smaller ones and also merge with other large puddles. This discovery will enable more accurate simulations and modeling of epitaxial growth processes. We have also observed that epitaxial films undergo a roughening transition as a function of thickness, which is attributed to strain induced by the crystalline lattice mismatch with the substrate crystal. In addition, we have studied another physical deposition process called pulsed laser deposition. It differs from sputter deposition due to the

  13. Photo-induced insulator-metal transition in Pr0.6Ca0.4MnO3 thin films grown by pulsed laser deposition: Effect of thickness dependent structural and transport properties

    Science.gov (United States)

    Elovaara, Tomi; Huhtinen, Hannu; Majumdar, Sayani; Paturi, Petriina

    2016-09-01

    We report photo-induced colossal magnetoresistive insulator-metal transition (IMT) in Pr0.6Ca0.4MnO3 thin films under much reduced applied magnetic field. The colossal effect was studied as a function of film thickness and thus with variable structural properties. Thorough structural, magnetic and magnetotransport characterization under light shows that the highest effect on the transition field can be obtained in the thinnest film (38 nm). However, due to the substrate induced strain of this film the required magnetic field for IMT is quite high. The best crystalline properties of the 110 nm film lead to the lowest IMT field under light and 109% change in resistance at 10 K. With increasing thickness, the film properties start to move more toward the bulk material and, hence, IMT is no more observed under the applied field of 9 T. Our results indicate that for obtaining large photo-induced CMR, the best epitaxial quality of thin films is essential.

  14. Cobalt Xanthate Thin Film with Chemical Bath Deposition

    Directory of Open Access Journals (Sweden)

    İ. A. Kariper

    2013-01-01

    Full Text Available Cobalt xanthate thin films (CXTFs were successfully deposited by chemical bath deposition, onto amorphous glass substrates, as well as on p- and n-silicon, indium tin oxide, and poly(methyl methacrylate. The structure of the films was analyzed by far-infrared spectrum (FIR, mid-infrared (MIR spectrum, nuclear magnetic resonance (NMR, and scanning electron microscopy (SEM. These films were investigated from their structural, optical, and electrical properties point of view. Electrical properties were measured using four-point method, whereas optical properties were investigated via UV-VIS spectroscopic technique. Uniform distribution of grains was clearly observed from the photographs taken by scanning electron microscope (SEM. The transmittance was about 70–80% (4 hours, 50°C. The optical band gap of the CXTF was graphically estimated to be 3.99–4.02 eV. The resistivity of the films was calculated as 22.47–75.91 Ω·cm on commercial glass depending on film thickness and 44.90–73.10 Ω ·cm on the other substrates. It has been observed that the relative resistivity changed with film thickness. The MIR and FIR spectra of the films were in agreement with the literature analogues. The expected peaks of cobalt xanthate were observed in NMR analysis on glass. The films were dipped in chloroform as organic solvent and were analyzed by NMR.

  15. Thermal conductivity of nitride films of Ti, Cr, and W deposited by reactive magnetron sputtering

    International Nuclear Information System (INIS)

    Jagannadham, Kasichainula

    2015-01-01

    Nitride films of Ti, Cr, and W were deposited using reactive magnetron sputtering from metal targets in argon and nitrogen plasma. TiN films with (200) orientation were achieved on silicon (100) at the substrate temperature of 500 and 600 °C. The films were polycrystalline at lower temperature. An amorphous interface layer was observed between the TiN film and Si wafer deposited at 600 °C. TiN film deposited at 600 °C showed the nitrogen to Ti ratio to be near unity, but films deposited at lower temperature were nitrogen deficient. CrN film with (200) orientation and good stoichiometry was achieved at 600 °C on Si(111) wafer but the film deposited at 500 °C showed cubic CrN and hexagonal Cr 2 N phases with smaller grain size and amorphous back ground in the x-ray diffraction pattern. An amorphous interface layer was not observed in the cubic CrN film on Si(111) deposited at 600 °C. Nitride film of tungsten deposited at 600 °C on Si(100) wafer was nitrogen deficient, contained both cubic W 2 N and hexagonal WN phases with smaller grain size. Nitride films of tungsten deposited at 500 °C were nonstoichiometric and contained cubic W 2 N and unreacted W phases. There was no amorphous phase formed along the interface for the tungsten nitride film deposited at 600 °C on the Si wafer. Thermal conductivity and interface thermal conductance of all the nitride films of Ti, Cr, and W were determined by transient thermoreflectance technique. The thermal conductivity of the films as function of deposition temperature, microstructure, nitrogen stoichiometry and amorphous interaction layer at the interface was determined. Tungsten nitride film containing both cubic and hexagonal phases was found to exhibit much higher thermal conductivity and interface thermal conductance. The amorphous interface layer was found to reduce effective thermal conductivity of TiN and CrN films

  16. Internal stress and opto-electronic properties of ZnO thin films deposited by reactive sputtering in various oxygen partial pressures

    Science.gov (United States)

    Tuyaerts, Romain; Poncelet, Olivier; Raskin, Jean-Pierre; Proost, Joris

    2017-10-01

    In this article, we propose ZnO thin films as a suitable material for piezoresistors in transparent and flexible electronics. ZnO thin films have been deposited by DC reactive magnetron sputtering at room temperature at various oxygen partial pressures. All the films have a wurtzite structure with a strong (0002) texture measured by XRD and are almost stoichiometric as measured by inductively coupled plasma optical emission spectroscopy. The effect of oxygen concentration on grain growth has been studied by in-situ multi-beam optical stress sensor, showing internal stress going from 350 MPa to -1.1 GPa. The transition between tensile and compressive stress corresponds to the transition between metallic and oxidized mode of reactive sputtering. This transition also induces a large variation in optical properties—from absorbent to transparent, and in the resistivity—from 4 × 10 - 2 Ω .cm to insulating. Finally, the piezoresistance of the thin film has been studied and showed a gauge factor (ΔR/R)/ɛ comprised between -5.8 and -8.5.

  17. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    International Nuclear Information System (INIS)

    Shaji, S.; Garcia, L.V.; Loredo, S.L.; Krishnan, B.

    2017-01-01

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb_2S_3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb_2S_3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb_2S_3 thin films for optoelectronic applications.

  18. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shaji, S., E-mail: sshajis@yahoo.com [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); Garcia, L.V. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); Loredo, S.L. [Centro de Investigación en Materiales Avanzados (CIMAV), Unidad Monterrey, PIIT, Apodaca, Nuevo León (Mexico); Krishnan, B. [Facultad de Ingeniería Mecánica y Eléctrica, Universidad Autónoma de Nuevo León, Av. Pedro de Alba s/n, Ciudad Universitaria, San Nicolás de los Garza, Nuevo León, 66455 (Mexico); CIIDIT—Universidad Autónoma de Nuevo León, Apodaca, Nuevo León (Mexico); and others

    2017-01-30

    Highlights: • Antimony sulfide thin films were prepared by normal CBD and laser assisted CBD. • Characterized these films using XRD, XPS, AFM, optical and electrical measurements. • Accelerated growth was observed in the laser assisted CBD process. • These films were photoconductive. - Abstract: Antimony sulfide (Sb{sub 2}S{sub 3}) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb{sub 2}S{sub 3} thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV–vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb{sub 2}S{sub 3} thin films for optoelectronic applications.

  19. Nanostructured Diamond-Like Carbon Films Grown by Off-Axis Pulsed Laser Deposition

    Directory of Open Access Journals (Sweden)

    Seong Shan Yap

    2015-01-01

    Full Text Available Nanostructured diamond-like carbon (DLC films instead of the ultrasmooth film were obtained by pulsed laser ablation of pyrolytic graphite. Deposition was performed at room temperature in vacuum with substrates placed at off-axis position. The configuration utilized high density plasma plume arriving at low effective angle for the formation of nanostructured DLC. Nanostructures with maximum size of 50 nm were deposited as compared to the ultrasmooth DLC films obtained in a conventional deposition. The Raman spectra of the films confirmed that the films were diamond-like/amorphous in nature. Although grown at an angle, ion energy of >35 eV was obtained at the off-axis position. This was proposed to be responsible for subplantation growth of sp3 hybridized carbon. The condensation of energetic clusters and oblique angle deposition correspondingly gave rise to the formation of nanostructured DLC in this study.

  20. Current-induced metal-insulator transition in VO x thin film prepared by rapid-thermal-annealing

    International Nuclear Information System (INIS)

    Cho, Choong-Rae; Cho, SungIl; Vadim, Sidorkin; Jung, Ranju; Yoo, Inkyeong

    2006-01-01

    The phenomenon of metal-insulator transition (MIT) in polycrystalline VO x thin films and their preparations have been studied. The films were prepared by sputtering of vanadium thin films succeeded by Rapid Thermal Annealing (RTA) in oxygen ambient at 500 deg. C. Crystalline, compositional, and morphological characterizations reveal a continuous change of phase from vanadium metal to the highest oxide phase, V 2 O 5 , with the time of annealing. Electrical MIT switching has been observed in these films. Sweeping mode, electrode area, and temperature dependent MIT has been studied in Pt/VO x /Pt vertical structure. The important parameters for MIT in VO x have been found to be the current density and the electric field, which depend on carrier density in the films

  1. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  2. Synthesis and characterization of thin films of nitrided amorphous carbon deposited by laser ablation

    International Nuclear Information System (INIS)

    Rebollo P, B.

    2001-01-01

    The objective of this work is the synthesis and characterization of thin films of amorphous carbon (a-C) and thin films of nitrided amorphous carbon (a-C-N) using the laser ablation technique for their deposit. For this purpose, the physical properties of the obtained films were studied as function of diverse parameters of deposit such as: nitrogen pressure, power density, substrate temperature and substrate-target distance. For the characterization of the properties of the deposited thin films the following techniques were used: a) Raman spectroscopy which has demonstrated being a sensitive technique to the sp 2 and sp 3 bonds content, b) Energy Dispersive Spectroscopy which allows to know semi-quantitatively way the presence of the elements which make up the deposited films, c) Spectrophotometry, for obtaining the absorption spectra and subsequently the optical energy gap of the deposited material, d) Ellipsometry for determining the refraction index, e) Scanning Electron Microscopy for studying the surface morphology of thin films and, f) Profilemetry, which allows the determination the thickness of the deposited thin films. (Author)

  3. Remote plasma deposition of textured zinc oxide with focus on thin film solar cell applications : material properties, plasma processes and film growth

    NARCIS (Netherlands)

    Groenen, R.

    2005-01-01

    Simultaneously possessing transparency in the visible region, close to that of insulators, and electrical conductivity, close to that of metals, transparent conducting oxide (TCO) thin films form a highly attractive class of materials for a wide variety of applications like thin film solar cells,

  4. Microstructure and morphology of SiOx film deposited by APCVD

    International Nuclear Information System (INIS)

    Zhang Jiliang; Li Jian; Luo Laima; Wo Yinhua

    2009-01-01

    A kind of silicon rich oxide (SiO x ) film deposited on aluminum substrate by atmospheric pressure chemical vapor deposition (APCVD) was reported. The morphology and microstructure of the film were characterized by scanning electron microscopy, transmission electron microscopy, X-ray diffraction (XRD) and transmission electron diffraction (TED). The deposition process is proposed to be a series of nucleation, growth and close stacking of non-uniform SiO x cells, which are stacked up by lots of SiO x laminae. A growth mechanism of the film according to the Stranski-Krastanov model is presented. In the growth process, the SiO x molecules incline to cluster like an island and merge into a layer and, then, form a laminar structure of SiO x cell. High resolution transmission electronic microscopy (TEM) picture shows that the film is basically amorphous with a little micro crystalline zone in it, which is certified by the XRD and TED results. The differences between this SiO x film and the common polycrystalline SiO 2 are also discussed in this paper

  5. Effect of post-deposition implantation and annealing on the properties of PECVD deposited silicon nitride films

    International Nuclear Information System (INIS)

    Shams, Q.A.

    1988-01-01

    Recently it has been shown that memory-quality silicon nitride can be deposited using plasma enhanced chemical vapor deposition (PECVD). Nitrogen implantation and post-deposition annealing resulted in improved memory properties of MNOS devices. The primary objective of the work described here is the continuation of the above work. Silicon nitride films were deposited using argon as the carrier gas and evaluated in terms of memory performance as the charge-trapping layer in the metal-nitride-oxide-silicon (MNOS) capacitor structure. The bonding structure of PECVD silicon nitride was modified by annealing in different ambients at temperatures higher than the deposition temperature. Post-deposition ion implantation was used to introduce argon into the films in an attempt to influence the transfer, trapping, and emission of charge during write/erase exercising of the MNOS devices. Results show that the memory performance of PECVD silicon nitride is sensitive to the deposition parameters and post-deposition processing

  6. Pulsed laser deposition of AlMgB14 thin films

    Energy Technology Data Exchange (ETDEWEB)

    Britson, Jason Curtis [Iowa State Univ., Ames, IA (United States)

    2008-11-18

    Hard, wear-resistant coatings of thin film borides based on AlMgB14 have the potential to be applied industrially to improve the tool life of cutting tools and pump vanes and may account for several million dollars in savings as a result of reduced wear on these parts. Past work with this material has shown that it can have a hardness of up to 45GPa and be fabricated into thin films with a similar hardness using pulsed laser deposition. These films have already been shown to be promising for industrial applications. Cutting tools coated with AlMgB14 used to mill titanium alloys have been shown to substantially reduce the wear on the cutting tool and extend its cutting life. However, little research into the thin film fabrication process using pulsed laser deposition to make AlMgB14 has been conducted. In this work, research was conducted into methods to optimize the deposition parameters for the AlMgB14 films. Processing methods to eliminate large particles on the surface of the AlMgB14 films, produce films that were at least 1m thick, reduce the surface roughness of the films, and improve the adhesion of the thin films were investigated. Use of a femtosecond laser source rather than a nanosecond laser source was found to be effective in eliminating large particles considered detrimental to wear reduction properties from the films. Films produced with the femtosecond laser were also found to be deposited at a rate 100 times faster than those produced with the nanosecond laser. However, films produced with the femtosecond laser developed a relatively high RMS surface roughness around 55nm. Attempts to decrease the surface roughness were largely unsuccessful. Neither increasing the surface temperature of the substrate during deposition nor using a double pulse to ablate the material was found to be extremely successful to reduce the surface roughness. Finally, the adhesion of the thin films to M2 tool steel

  7. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    International Nuclear Information System (INIS)

    He, Y.Y.; Zhang, G.F.; Zhao, Y.; Liu, D.D.; Cong, Y.; Buck, V.

    2015-01-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect

  8. Diamond-like carbon films deposited on three-dimensional shape substrate model by liquid electrochemical technique

    Energy Technology Data Exchange (ETDEWEB)

    He, Y.Y. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Zhang, G.F. [School of Materials Science and Engineering, Dalian University of Technology, 116024, Dalian China (China); Zhao, Y.; Liu, D.D. [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Cong, Y., E-mail: congyan@ciomp.ac.cn [Institute of Nano-photonics, School of Physics and Materials Engineering, Dalian Nationalities University, 116600 Dalian (China); Buck, V. [Thin Film Technology Group, Faculty of Physics, University Duisburg-Essen and CeNIDE, 47057 Duisburg (Germany)

    2015-09-01

    Diamond-like carbon (DLC) films were deposited on three-dimensional (3D) shape substrate model by electrolysis of 2-propanol solution at low temperature (60 °C). This 3D shape model was composed of a horizontally aligned stainless steel wafer and vertically aligned stainless steel rods. Morphology and microstructure of the films were analyzed by scanning electron microscopy (SEM), atomic force microscopy (AFM) and Raman spectroscopy, respectively. The results suggested there were only differences in film uniformity and thickness for two kinds of samples. The hydrogenated amorphous carbon films deposited on horizontally aligned substrate were smooth and homogeneous. And the film thickness of DLC films gained on the vertical substrates decreased along vertical direction. It is believed that bubble formation could enhance nucleation on the wetted capillary area. This experiment shows that deposition of DLC films by liquid phase deposition on 3D shape conductive substrates is possible. - Highlights: • DLC film is expected to be deposited on complex surface/shape substrate. • DLC film is deposited on 3D shape substrate by liquid electrochemical method. • Horizontal substrate is covered by smooth and homogeneous DLC films. • Film thickness decreases along vertical direction due to boiling effect.

  9. Underpotential deposition-mediated layer-by-layer growth of thin films

    Science.gov (United States)

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  10. Pulsed laser deposition of Cu-Sn-S for thin film solar cells

    DEFF Research Database (Denmark)

    Ettlinger, Rebecca Bolt; Crovetto, Andrea; Bosco, Edoardo

    Thin films of copper tin sulfide were deposited from a target of the stoichiometry Cu:Sn:S ~1:2:3 using pulsed laser deposition (PLD). Annealing with S powder resulted in films close to the desired Cu2SnS3 stoichiometry although the films remained Sn rich. Xray diffraction showed that the final...... films contained both cubic-phase Cu2SnS3 and orthorhombic-phase SnS...

  11. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  12. Characterization of transparent silica films deposited on polymeric materials

    International Nuclear Information System (INIS)

    Teshima, K.; Sugimura, H.; Inoue, Y.; Takai, O.

    2002-01-01

    Silica films were synthesized by capacitively coupled RF PECVD using mixtures of organo-silane and oxygen as a source. The chemical bonding states and compositions of the films deposited were evaluated with FTIR and XPS. Film surfaces and cross-sections were observed by SEM. Oxygen transmission rates (OTR) of the films coated on polyethylene terephthalate (PET) substrates were measured by an isopiestic method. (Authors)

  13. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    Science.gov (United States)

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  14. Direct current magnetron sputtering deposition of InN thin films

    International Nuclear Information System (INIS)

    Cai Xingmin; Hao Yanqing; Zhang Dongping; Fan Ping

    2009-01-01

    In this paper, InN thin films were deposited on Si (1 0 0) and K9 glass by reactive direct current magnetron sputtering. The target was In metal with the purity of 99.999% and the gases were Ar (99.999%) and N 2 (99.999%). The properties of InN thin films were studied. Scanning electron microscopy (SEM) shows that the film surface is very rough and energy dispersive X-ray spectroscopy (EDX) shows that the film contains In, N and very little O. X-ray diffraction (XRD) and Raman scattering reveal that the film mainly contains hexagonal InN. The four-probe measurement shows that InN film is conductive. The transmission measurement demonstrates that the transmission of InN deposited on K9 glass is as low as 0.5% from 400 nm to 800 nm.

  15. Physical vapor deposition of cubic boron nitride thin films

    International Nuclear Information System (INIS)

    Kester, D.J.

    1991-01-01

    Cubic boron nitride was successfully deposited using physical vapor-deposition methods. RF-sputtering, magnetron sputtering, dual-ion-beam deposition, and ion-beam-assisted evaporation were all used. The ion-assisted evaporation, using boron evaporation and bombardment by nitrogen and argon ions, led to successful cubic boron nitride growth over the widest and most controllable range of conditions. It was found that two factors were important for c-BN growth: bombardment of the growing film and the presence of argon. A systematic study of the deposition conditions was carried out. It was found that the value of momentum transferred into the growing from by the bombarding ions was critical. There was a very narrow transition range in which mixed cubic and hexagonal phase films were prepared. Momentum-per-atom value took into account all the variables involved in ion-assisted deposition: deposition rate, ion energy, ion flux, and ion species. No other factor led to the same control of the process. The role of temperature was also studied; it was found that at low temperatures only mixed cubic and hexagonal material are deposited

  16. Optoelectronic properties of cadmium sulfide thin films deposited by thermal evaporation technique

    International Nuclear Information System (INIS)

    Ali, N.; Iqbal, M.A.; Hussain, S.T.; Waris, M.; Munair, S.A.

    2011-01-01

    The substrate temperature in depositions of thin films plays a vital role in the characteristics of deposited films. We studied few characteristics of cadmium sulphide thin film deposited at different temperature (150 deg. C- 300 deg. C) on corning 7059 glass substrate. We measured transmittance, absorbance, band gap and reflectance via UV spectroscopy. It was found that the transmittance for 300 nm to 1100 nm was greater than 80%. The resistivity and mobility was calculated by Vander Pauw method which were 10-80 cm and 2-60 cm/sup 2/V/sup -1/S/sup -1/ respectively. The thermoelectric properties of the film were measured by hot and cold probe method which shows the N-type nature of the film. (author)

  17. Photoemission Spectroscopy Characterization of Attempts to Deposit MoO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Irfan

    2011-01-01

    Full Text Available Attempts to deposit molybdenum dioxide (MoO2 thin films have been described. Electronic structure of films, deposited by thermal evaporation of MoO2 powder, had been investigated with ultraviolet photoemission and X-ray photoemission spectroscopy (UPS and XPS. The thermally evaporated films were found to be similar to the thermally evaporated MoO3 films at the early deposition stage. XPS analysis of MoO2 powder reveals presence of +5 and +6 oxidation states in Mo 3d core level along with +4 state. The residue of MoO2 powder indicates substantial reduction in higher oxidation states while keeping +4 oxidation state almost intact. Interface formation between chloroaluminum phthalocyanine (AlPc-Cl and the thermally evaporated film was also investigated.

  18. High quality antireflective ZnS thin films prepared by chemical bath deposition

    International Nuclear Information System (INIS)

    Tec-Yam, S.; Rojas, J.; Rejón, V.; Oliva, A.I.

    2012-01-01

    Zinc sulfide (ZnS) thin films for antireflective applications were deposited on glass substrates by chemical bath deposition (CBD). Chemical analysis of the soluble species permits to predict the optimal pH conditions to obtain high quality ZnS films. For the CBD, the ZnCl 2 , NH 4 NO 3 , and CS(NH 2 ) 2 were fixed components, whereas the KOH concentration was varied from 0.8 to 1.4 M. Groups of samples with deposition times from 60 to 120 min were prepared in a bath with magnetic agitation and heated at 90 °C. ZnS films obtained from optimal KOH concentrations of 0.9 M and 1.0 M exhibited high transparency, homogeneity, adherence, and crystalline. The ZnS films presented a band gap energy of 3.84 eV, an atomic Zn:S stoichiometry ratio of 49:51, a transmittance above 85% in the 300–800 nm wavelength range, and a reflectance below 25% in the UV–Vis range. X-ray diffraction analysis revealed a cubic structure in the (111) orientation for the films. The thickness of the films was tuned between 60 nm and 135 nm by controlling the deposition time and KOH concentration. The incorporation of the CBD-ZnS films into ITO/ZnS/CdS/CdTe and glass/Mo/ZnS heterostructures as antireflective layer confirms their high optical quality. -- Highlights: ► High quality ZnS thin films were prepared by chemical bath deposition (CBD). ► Better CBD-ZnS films were achieved by using 0.9 M-KOH concentration. ► Reduction in the reflectance was obtained for ZnS films used as buffer layers.

  19. Ion-assisted deposition of thin films

    International Nuclear Information System (INIS)

    Barnett, S.A.; Choi, C.H.; Kaspi, R.; Millunchick, J.M.

    1993-01-01

    Recent work on low-energy ion-assisted deposition of epitaxial films is reviewed. Much of the recent interest in this area has been centered on the use of very low ion energies (∼ 25 eV) and high fluxes (> 1 ion per deposited atom) obtained using novel ion-assisted deposition techniques. These methods have been applied in ultra-high vacuum, allowing the preparation of high-purity device-quality semiconductor materials. The following ion-surface interaction effects during epitaxy are discussed: improvements in crystalline perfection during low temperature epitaxy, ion damage, improved homogeneity and properties in III-V alloys grown within miscibility gaps, and changes in nucleation mechanism during heteroepitaxial growth

  20. Quality of YBCO thin films grown on LAO substrates exposed to the film deposition - film removal processes

    Energy Technology Data Exchange (ETDEWEB)

    Blagoev, B; Nurgaliev, T [Institute of Electronics, Bulgarian Academy of Sciences, 72 Tzarigradsko Chaussee, 1784 Sofia (Bulgaria); Mozhaev, P B [Institute of Physics and Technology, Russian Academy of Sciences, 117218 Moscow (Russian Federation); Sardela, M; Donchev, T [Materials Research Laboratory, University of Illinois, 104 South Goodwin Ave., Urbana, IL 61801 (United States)], E-mail: blago_sb@yahoo.com

    2008-05-01

    The characteristics are investigated of high temperature superconducting YBa{sub 2}Cu{sub 3}O{sub 7} (YBCO) films grown on LaAlO{sub 3} (LAO) substrates being exposed a different number of times to YBCO film deposition and acid-solution-based cleaning procedures. Possible mechanisms of degradation of the substrate surface quality reflecting on the growing YBCO film parameters are discussed and analyzed.

  1. An in-situ chemical reaction deposition of nanosized wurtzite CdS thin films

    International Nuclear Information System (INIS)

    Chu Juan; Jin Zhengguo; Cai Shu; Yang Jingxia; Hong Zhanglian

    2012-01-01

    Nanocrystalline CdS thin films were deposited on glass substrates by an ammonia-free in-situ chemical reaction synthesis technique using cadmium cationic precursor solid films as reaction source and sodium sulfide based solutions as anionic reaction medium. Effects of ethanolamine addition to the cadmium cationic precursor solid films, deposition cycle numbers and annealing treatments in Ar atmosphere on structure, morphology, chemical composition and optical properties of the resultant films were investigated by X-ray diffraction, field emission scanning electron microscope, energy dispersive X-ray analysis and UV–Vis spectra measurements. The results show that CdS thin films deposited by the in-situ chemical reaction synthesis have wurtzite structure with (002) plane preferential orientation and crystallite size is in the range of 16 nm–19 nm. The growth of film thickness is almost constant with deposition cycle numbers and about 96 nm per cycle.

  2. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  3. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    Science.gov (United States)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  4. Microstructure and properties of manganese dioxide films prepared by electrodeposition

    International Nuclear Information System (INIS)

    Jacob, G. Moses; Zhitomirsky, I.

    2008-01-01

    Nanostructured manganese dioxide films were obtained by galvanostatic, pulse and reverse pulse electrodeposition from 0.01 to 0.1 M KMnO 4 solutions. The deposition yield was investigated by in situ monitoring the deposit mass using a quartz crystal microbalance (QCM). Obtained films were studied by electron microscopy, X-ray diffraction analysis, energy dispersive spectroscopy, thermogravimetric and differential thermal analysis. The QCM and electron microscopy data were utilized for the investigation of deposition kinetics and film formation mechanism. It was shown that the deposition rate and film microstructure could be changed by variation of deposition conditions. The method allowed the fabrication of dense or porous films. The thickness of dense films was limited to ∼0.1 μm due to the insulating properties of manganese dioxide and film cracking, attributed to drying shrinkage. Porous and crack-free 1-2 μm films were obtained using galvanostatic or reverse pulse deposition from 0.02 M KMnO 4 solutions. It was shown that film porosity is beneficial for the charge transfer during deposition and crack prevention in thick films. Moreover, porous nanostructured films showed good capacitive behavior for applications in electrochemical supercapacitors. The porous nanostructured films prepared in the reverse pulse regime showed higher specific capacitance (SC) compared to the SC of the galvanostatic films. The highest SC of 279 F/g in a voltage window of 1 V was obtained in 0.1 M Na 2 SO 4 solutions at a scan rate of 2 mV/s

  5. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    Energy Technology Data Exchange (ETDEWEB)

    Yu Shengwang, E-mail: bkdysw@yahoo.cn; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-11-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH{sub 3}){sub 4}) diluted in H{sub 2} as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co{sub 2}Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  6. Pressure dependence of morphology and phase composition of SiC films deposited by microwave plasma chemical vapor deposition on cemented carbide substrates

    International Nuclear Information System (INIS)

    Yu Shengwang; Fan Pengwei; Tang Weizhong; Li Xiaojing; Hu Haolin; Hei Hongjun; Zhang Sikai; Lu Fanxiu

    2011-01-01

    SiC films were deposited on cemented carbide substrates by employing microwave plasma chemical vapor deposition method using tetramethylsilane (Si(CH 3 ) 4 ) diluted in H 2 as the precursor. Scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction and scratching technique were used to characterize morphology, composition, phases present and adhesion of the films. Experimental results show that the deposition pressure has great influence on morphologies and phase composition of the films. In sequence, SiC films with a cauliflower-like microstructure, granular films with terrace-featured SiC particles coexisting with Co 2 Si compound and clusters of nanometer SiC nanoplatelets appear as a function of the deposition pressure. In terms of plasma density and substrate temperature, this sequential appearance of microstructures of SiC films was explained. Adhesion tests showed that among the three types of films studied, the films with the terrace-featured SiC particles have relatively higher adhesion. Such knowledge will be of importance when the SiC films are used as interlayer between diamond films and cemented carbide substrates.

  7. La{sub 0.7}Sr{sub 0.3}MnO{sub 3} thin films deposited by pulsed laser ablation for spintronic applications

    Energy Technology Data Exchange (ETDEWEB)

    Martino, Maurizio; Cesaria, Maura; Caricato, Anna Paola [Physics Department, University of Salento, Via Arnesano, 73100 Lecce (Italy); Maruccio, Giuseppe [Physics Department, University of Salento, Via Arnesano, 73100 Lecce (Italy); NNL CNR-Istituto di Nanoscienze, Via Arnesano, 73100 Lecce (Italy); Cola, Adriano; Farella, Isabella [Institute for Microelectronics and Microsystems, IMM-CNR, 73100 Lecce (Italy)

    2011-08-15

    Among spintronic materials, mixed-valence manganite La{sub 0.7}Sr{sub 0.3}MnO{sub 3} (LSMO) is widely investigated due to its half-metal nature. LSMO thin films were grown by pulsed laser deposition (PLD) onto amorphous silica substrates heated at nearly 600 C. An ArF excimer laser was chosen to induce ablation due to its more energetic photons compared to the other quoted excimer laser sources. Different oxygen pressures were considered in order to study the influence of oxygen on the LSMO optical and electrical properties. In this respect, the visible transparency percentage of the deposited films is found good enough for spin-OLED applications. The absorption coefficient shows an absorption band tunable as a function of the oxygen content. Its energetic location and evolution with the oxygen content demonstrate it originates from radiative transitions between the spin-majority bands separated by the Jahn-Teller distortion. All of this lets relate the deposition oxygen pressure to the Mn{sup 3+} ion content in each film and interpret electrical data. The 200 and 100 nm thick samples exhibit weak metallic transport behavior at room temperature with a resistivity of 4.8 and 6.9 {omega} cm, respectively. Concerning the resistivity response versus temperature, the measured low metal-insulator transition temperature (150 K) is related to the sample structural features as involved by the depositions. Two different transport mechanisms describe the conductivity regime of the deposited samples, namely the small polaron variable range hopping (VRH) and the Arrhenius law. (Copyright copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Microstructure and chemical bonding of DLC films deposited on ACM rubber by PACVD

    NARCIS (Netherlands)

    Martinez-Martinez, D.; Schenkel, M.; Pei, Y.T.; Sánchez-López, J.C.; Hosson, J.Th.M. De

    2011-01-01

    The microstructure and chemical bonding of DLC films prepared by plasma assisted chemical vapor deposition on acrylic rubber (ACM) are studied in this paper. The temperature variation produced by the ion impingement during plasma cleaning and subsequent film deposition was used to modify the film

  9. Sputter-deposited Mg-Al-O thin films: linking molecular dynamics simulations to experiments

    International Nuclear Information System (INIS)

    Georgieva, V; Bogaerts, A; Saraiva, M; Depla, D; Jehanathan, N; Lebelev, O I

    2009-01-01

    Using a molecular dynamics model the crystallinity of Mg x Al y O z thin films with a variation in the stoichiometry of the thin film is studied at operating conditions similar to the experimental operating conditions of a dual magnetron sputter deposition system. The films are deposited on a crystalline or amorphous substrate. The Mg metal content in the film ranged from 100% (i.e. MgO film) to 0% (i.e. Al 2 O 3 film). The radial distribution function and density of the films are calculated. The results are compared with x-ray diffraction and transmission electron microscopy analyses of experimentally deposited thin films by the dual magnetron reactive sputtering process. Both simulation and experimental results show that the structure of the Mg-Al-O film varies from crystalline to amorphous when the Mg concentration decreases. It seems that the crystalline Mg-Al-O films have a MgO structure with Al atoms in between.

  10. Defect studies of ZnO films prepared by pulsed laser deposition on various substrates

    International Nuclear Information System (INIS)

    Melikhova, O; Čížek, J; Procházka, I; Kužel, R; Novotný, M; Bulír, J; Lancok, J; Anwand, W; Brauer, G; Connolly, J; McCarthy, E; Krishnamurthy, S; Mosnier, J-P

    2013-01-01

    ZnO thin films deposited on various substrates were characterized by slow positron implantation spectroscopy (SPIS) combined with X-ray diffraction (XRD). All films studied exhibit wurtzite structure and crystallite size 20–100 nm. The mosaic spread of crystallites is relatively small for the films grown on single crystalline substrates while it is substantial for the film grown on amorphous substrate. SPIS investigations revealed that ZnO films deposited on single crystalline substrates exhibit significantly higher density of defects than the film deposited on amorphous substrate. This is most probably due to a higher density of misfit dislocations, which compensate for the lattice mismatch between the film and the substrate.

  11. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  12. Stripe domains and magnetoresistance in thermally deposited nickel films

    International Nuclear Information System (INIS)

    Sparks, P.D.; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C.

    2004-01-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21±0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane

  13. Stripe domains and magnetoresistance in thermally deposited nickel films

    Science.gov (United States)

    Sparks, P. D.; Stern, N. P.; Snowden, D. S.; Kappus, B. A.; Checkelsky, J. G.; Harberger, S. S.; Fusello, A. M.; Eckert, J. C.

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21+/-0.02 up to 120nm thickness. There is a negative magnetoresistance for fields out of the plane.

  14. Stripe domains and magnetoresistance in thermally deposited nickel films

    Energy Technology Data Exchange (ETDEWEB)

    Sparks, P.D. E-mail: sparks@hmc.edu; Stern, N.P.; Snowden, D.S.; Kappus, B.A.; Checkelsky, J.G.; Harberger, S.S.; Fusello, A.M.; Eckert, J.C

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17 nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21{+-}0.02 up to 120 nm thickness. There is a negative magnetoresistance for fields out of the plane.

  15. Orientation-dependent physical properties of layered perovskite La{sub 1.3}Sr{sub 1.7}Mn{sub 2}O{sub 7} epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Niu, Li-Wei; Guo, Bing; Chen, Chang-Le, E-mail: chenchl@nwpu.edu.cn; Luo, Bing-Cheng; Dong, Xiang-Lei; Jin, Ke-Xin

    2017-04-01

    In this paper, the resistivity and magnetization of orientation-engineered layered perovskite La{sub 1.3}Sr{sub 1.7}Mn{sub 2}O{sub 7} epitaxial thin films have been investigated. Epitaxial thin films were deposited on single-crystalline LaAlO{sub 3} (LAO) (001), (110) and (111) substrates by pulse laser deposition (PLD) technique. It is found that only the (100)-oriented thin film performs insulator behavior, whereas the (110) and (111)-oriented thin films exhibit obvious metal-insulator transition at 70 K and between 85 and 120 K, respectively. Moreover, the same spin freezing temperature and different spin-glass-like transition temperatures have been observed in various oriented films. The observed experimental results were discussed according to the electron-transport mechanism and spin dynamics.

  16. Deposition of Ge{sub 23}Sb{sub 7}S{sub 70} chalcogenide glass films by electrospray

    Energy Technology Data Exchange (ETDEWEB)

    Novak, Spencer, E-mail: spencen@g.clemson.edu [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States); Johnston, Danvers E.; Li, Cheng; Deng, Weiwei [Department of Mechanical and Aerospace Engineering, University of Central FL (United States); Richardson, Kathleen [Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, SC (United States); College of Optics and Photonics, CREOL, University of Central FL (United States)

    2015-08-03

    Solution-based chalcogenide glass films, traditionally deposited by spin-coating, are attractive for their potential use in chip-based devices operating in the mid-infrared and for ease of nanostructure incorporation. To overcome limitations of spin-coating such as excessive material waste and difficulty for scale-up, this paper introduces electrospray as a film deposition technique for solution-based chalcogenide glasses. Electrospray is shown to produce Ge{sub 23}Sb{sub 7}S{sub 70} films with similar surface quality and optical properties as films deposited by spin-coating. The advantages of electrospray deposition for nanoparticle dispersion, scalable and continuous manufacturing with little material waste, and comparable film quality to spin-coating make electrospray a promising deposition method for practical applications of chalcogenide glass films. - Highlights: • Electrospray film deposition processing of Ge{sub 23}Sb{sub 7}S{sub 70} films was developed. • Traditional spin-coated films were also fabricated in parallel. • Optical properties and surface quality found to be similar between two approaches.

  17. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    International Nuclear Information System (INIS)

    Lorusso, A.; Anni, M.; Caricato, A.P.; Gontad, F.; Perulli, A.; Taurino, A.; Perrone, A.; Chiadroni, E.

    2016-01-01

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  18. Deposition of Y thin films by nanosecond UV pulsed laser ablation for photocathode application

    Energy Technology Data Exchange (ETDEWEB)

    Lorusso, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Anni, M. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Caricato, A.P. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Gontad, F., E-mail: francisco.gontad@le.infn.it [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Perulli, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Taurino, A. [National Research Council, Institute for Microelectronics & Microsystems, 73100 Lecce (Italy); Perrone, A. [Università del Salento, Dipartimento di Matematica e Fisica “E. De Giorgi”, 73100 Lecce (Italy); Istituto Nazionale di Fisica Nucleare-Lecce, 73100 Lecce (Italy); Chiadroni, E. [Laboratori Nazionali di Frascati, Istituto Nazionale di Fisica Nucleare, 00044 Frascati (Italy)

    2016-03-31

    In this work, yttrium (Y) thin films have been deposited on Si (100) substrates by the pulsed laser deposition technique. Ex-situ morphological, structural and optical characterisations of such films have been performed by scanning electron microscopy, X-ray diffractometry, atomic force microscopy and ellipsometry. Polycrystalline films with a thickness of 1.2 μm, homogenous with a root mean square roughness of about 2 nm, were obtained by optimised laser irradiation conditions. Despite the relatively high thickness, the films resulted very adherent to the substrates. The high quality of such thin films is important to the synthesis of metallic photocathodes based on Y thin film, which could be used as electron sources of high photoemission performance in radio-frequency guns. - Highlights: • Pulsed laser deposition of Yttrium thin films is investigated. • 1.2 μm thick films were deposited with very low RMS roughness. • The Y thin films were very adherent to the Si substrate • Optical characterisation showed a very high absorption coefficient for the films.

  19. Effect of Sr doping on LaTiO3 thin films

    International Nuclear Information System (INIS)

    Vilquin, B.; Kanki, T.; Yanagida, T.; Tanaka, H.; Kawai, T.

    2005-01-01

    We report on the electric properties of La 1-x Sr x TiO 3 (0 ≤ x ≤ 0.5) thin films fabricated by pulsed laser deposition method. Crystallographic measurement of the thin films showed the epitaxial c-axis perovskite structure. The electric property of LaTiO 3 thin film, which is a typical Mott insulative material in bulk, showed insulative behaviour, while the Sr-doped films showed metallic conduction suffering electron-electron scattering. Below x = 0.1, the major carrier type was identified to be hole, and switched to electron with further increasing Sr-doping above x = 0.15. In fact, the switching from p-type to n-type for La 1-x Sr x TiO 3 thin films is first demonstrated in this study. The transition suggests that effective Coulomb gap vanishes due to over-additional Sr doping

  20. Surface modification of reverse osmosis desalination membranes by thin-film coatings deposited by initiated chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Ozaydin-Ince, Gozde, E-mail: gozdeince@sabanciuniv.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States); Matin, Asif, E-mail: amatin@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Khan, Zafarullah, E-mail: zukhan@mit.edu [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Zaidi, S.M. Javaid, E-mail: zaidismj@kfupm.edu.sa [Department of Mechanical Engineering, King Fahd University of Petroleum and Minerals, Dhahran 31261 (Saudi Arabia); Gleason, Karen K., E-mail: kkgleasn@mit.edu [Department of Chemical Engineering, Massachusetts Institute of Technology, Cambridge, MA 02139 (United States)

    2013-07-31

    Thin-film polymeric reverse osmosis membranes, due to their high permeation rates and good salt rejection capabilities, are widely used for seawater desalination. However, these membranes are prone to biofouling, which affects their performance and efficiency. In this work, we report a method to modify the membrane surface without damaging the active layer or significantly affecting the performance of the membrane. Amphiphilic copolymer films of hydrophilic hydroxyethylmethacrylate and hydrophobic perfluorodecylacrylate (PFA) were synthesized and deposited on commercial RO membranes using an initiated chemical vapor deposition technique which is a polymer deposition technique that involves free-radical polymerization initiated by gas-phase radicals. Relevant surface characteristics such as hydrophilicity and roughness could be systematically controlled by varying the polymer chemistry. Increasing the hydrophobic PFA content in the films leads to an increase in the surface roughness and hydrophobicity. Furthermore, the surface morphology studies performed using the atomic force microscopy show that as the thickness of the coating increases average surface roughness increases. Using this knowledge, the coating thickness and chemistry were optimized to achieve high permeate flux and to reduce cell attachment. Results of the static bacterial adhesion tests show that the attachment of bacterial cells is significantly reduced on the coated membranes. - Highlights: • Thin films are deposited on reverse osmosis membranes. • Amphiphilic thin films are resistant to protein attachment. • The permeation performance of the membranes is not affected by the coating. • The thin film coatings delayed the biofouling.

  1. Physical properties of very thin SnS films deposited by thermal evaporation

    International Nuclear Information System (INIS)

    Cheng Shuying; Conibeer, Gavin

    2011-01-01

    SnS films with thicknesses of 20–65 nm have been deposited on glass substrates by thermal evaporation. The physical properties of the films were investigated using X-ray diffraction (XRD), scanning electron microscopy, X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, and ultraviolet–visible-near infrared spectroscopy at room temperature. The results from XRD, XPS and Raman spectroscopy analyses indicate that the deposited films mainly exhibit SnS phase, but they may contain a tiny amount of Sn 2 S 3 . The deposited SnS films are pinhole free, smooth and strongly adherent to the surfaces of the substrates. The color of the SnS films changes from pale yellow to brown with the increase of the film thickness from 20 nm to 65 nm. The very smooth surfaces of the thin films result in their high reflectance. The direct bandgap of the films is between 2.15 eV and 2.28 eV which is much larger than 1.3 eV of bulk SnS, this is deserving to be investigated further.

  2. Optical and mechanical properties of nanocrystalline ZrC thin films grown by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Craciun, D., E-mail: doina.craciun@inflpr.ro [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Socol, G. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania); Lambers, E. [Major Analytical Instrumentation Center, College of Engineering, University of Florida, Gainesville, FL 32611 (United States); McCumiskey, E.J.; Taylor, C.R. [Mechanical and Aerospace Engineering, University of Florida, Gainesville, FL 32611 (United States); Martin, C. [Ramapo College of New Jersey (United States); Argibay, N. [Materials Science and Engineering Center, Sandia National Laboratories, Albuquerque, NM 87123 (United States); Tanner, D.B. [Physics Department, University of Florida, Gainesville, FL 32611 (United States); Craciun, V. [Laser Department, National Institute for Laser, Plasma, and Radiation Physics, Magurele (Romania)

    2015-10-15

    Highlights: • Nanocrystalline ZrC thin film were grown on Si by pulsed laser deposition technique. • Structural properties weakly depend on the CH{sub 4} pressure used during deposition. • The optimum deposition pressure for low resistivity is around 2 × 10{sup −5} mbar CH{sub 4}. • ZrC films exhibited friction coefficients around 0.4 and low wear rates. - Abstract: Thin ZrC films (<500 nm) were grown on (100) Si substrates at a substrate temperature of 500 °C by the pulsed laser deposition (PLD) technique using a KrF excimer laser under different CH{sub 4} pressures. Glancing incidence X-ray diffraction showed that films were nanocrystalline, while X-ray reflectivity studies found out films were very dense and exhibited a smooth surface morphology. Optical spectroscopy data shows that the films have high reflectivity (>90%) in the infrared region, characteristic of metallic behavior. Nanoindentation results indicated that films deposited under lower CH{sub 4} pressures exhibited slightly higher nanohardness and Young modulus values than films deposited under higher pressures. Tribological characterization revealed that these films exhibited relatively high wear resistance and steady-state friction coefficients on the order of μ = 0.4.

  3. High-Tc film development for electronic applications

    International Nuclear Information System (INIS)

    Talvacchio, J.; Wagner, G.R.

    1990-01-01

    In this paper, the authors describe the requirements and status of high-T c superconductor (HTS) films for the development of electronic applications with an emphasis on passive microwave devices. One of the most general requirements, a low rf Surface resistance relative to Cu, has been achieved in films of several different HTS compounds. However the best films, made of YBa 2 Cu 3 O 7 (YBCO) by any one of several techniques, have in common a residual surface resistance that is much greater than predicted by conventional superconductivity theory. Improvement in films is also limited by the current size and selection of single-crystal substrate materials. Other issues that must be resolved to develop a full integrated circuit technology for HTS are substrate heating during film deposition, deposited epitaxial insulators, and determination of which interfaces in a multilevel circuit must be formed in situ

  4. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    Science.gov (United States)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  5. Indium sulfide thin films as window layer in chemically deposited solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Lugo-Loredo, S. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Peña-Méndez, Y., E-mail: yolapm@gmail.com [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico); Calixto-Rodriguez, M. [Universidad Tecnológica Emiliano Zapata del Estado de Morelos, Av. Universidad Tecnológica No. 1, C.P. 62760 Emiliano Zapata, Morelos (Mexico); Messina-Fernández, S. [Universidad Autónoma de Nayarit, Ciudad de la Cultura “Amado Nervo” S/N, C.P. 63190 Tepic, Nayarit (Mexico); Alvarez-Gallegos, A. [Universidad Autónoma del Estado de Morelos, Centro de Investigación en Ingeniería y Ciencias Aplicadas, Av. Universidad 1001, C.P. 62209, Cuernavaca Morelos (Mexico); Vázquez-Dimas, A.; Hernández-García, T. [Universidad Autónoma de Nuevo León, UANL, Fac. de Ciencias Químicas, Av. Universidad S/N Ciudad Universitaria San Nicolás de Los Garza Nuevo León, C.P. 66451 (Mexico)

    2014-01-01

    Indium sulfide (In{sub 2}S{sub 3}) thin films have been synthesized by chemical bath deposition technique onto glass substrates using In(NO{sub 3}){sub 3} as indium precursor and thioacetamide as sulfur source. X-ray diffraction studies have shown that the crystalline state of the as-prepared and the annealed films is β-In{sub 2}S{sub 3}. Optical band gap values between 2.27 and 2.41 eV were obtained for these films. The In{sub 2}S{sub 3} thin films are photosensitive with an electrical conductivity value in the range of 10{sup −3}–10{sup −7} (Ω cm){sup −1}, depending on the film preparation conditions. We have demonstrated that the In{sub 2}S{sub 3} thin films obtained in this work are suitable candidates to be used as window layer in thin film solar cells. These films were integrated in SnO{sub 2}:F/In{sub 2}S{sub 3}/Sb{sub 2}S{sub 3}/PbS/C–Ag solar cell structures, which showed an open circuit voltage of 630 mV and a short circuit current density of 0.6 mA/cm{sup 2}. - Highlights: • In{sub 2}S{sub 3} thin films were deposited using the Chemical Bath Deposition technique. • A direct energy band gap between 2.41 to 2.27 eV was evaluated for the In{sub 2}S{sub 3} films. • We made chemically deposited solar cells using the In{sub 2}S{sub 3} thin films.

  6. Vacuum deposition and pulsed modification of Ge thin films on Si. Structure and photoluminescence

    International Nuclear Information System (INIS)

    Batalov, R.I.; Bayazitov, R.M.; Novikov, G.A.; Shustov, V.A.; Bizyaev, D.A.; Gajduk, P.I.; Ivlev, G.D.; Prokop'ev, S.L.

    2013-01-01

    Vacuum deposition of Ge thin films onto Si substrates by magnetron sputtering was studied. During deposition sputtering time and substrate temperature were varied. Nanosecond pulsed annealing of deposited films by powerful laser or ion beams was performed. The dependence of the structure and optical properties of Ge/Si films on parameters of pulsed treatments was investigated. Optimum parameters of deposition and pulsed treatments resulting into light emitting monocrystalline Ge/Si layers are determined. (authors)

  7. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    Energy Technology Data Exchange (ETDEWEB)

    O' Keefe, M.J.; Stutz, C.E.

    1997-07-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was {approximately}1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in {ge}1 GPa tensile increase in film stress.

  8. Stress and stability of sputter deposited A-15 and bcc crystal structure tungsten thin films

    International Nuclear Information System (INIS)

    O'Keefe, M.J.; Stutz, C.E.

    1997-01-01

    Magnetron sputter deposition was used to fabricate body centered cubic (bcc) and A-15 crystal structure W thin films. Previous work demonstrated that the as-deposited crystal structure of the films was dependent on the deposition parameters and that the formation of a metastable A-15 structure was favored over the thermodynamically stable bcc phase when the films contained a few atomic percent oxygen. However, the A-15 phase was shown to irreversibly transform into the bcc phase between 500 C and 650 C and that a significant decrease in the resistivity of the metallic films was measured after the transformation. The current investigation of 150 nm thick, sputter deposited A-15 and bcc tungsten thin films on silicon wafers consisted of a series of experiments in which the stress, resistivity and crystal structure of the films was measured as a function of temperatures cycles in a Flexus 2900 thin film stress measurement system. The as-deposited film stress was found to be a function of the sputtering pressure and presputter time; under conditions in which the as-deposited stress of the film was approximately1.5 GPa compressive delamination of the W film from the substrate was observed. Data from the thermal studies indicated that bcc film stress was not affected by annealing but transformation of the A-15 structure resulted in a large tensile increase in the stress of the film, regardless of the as-deposited stress of the film. In several instances, complete transformation of the A-15 structure into the bcc phase resulted in ge1 GPa tensile increase in film stress

  9. Deposition of titanium carbide films from mixed carbon and titanium plasma streams

    International Nuclear Information System (INIS)

    Delplancke-Ogletree, M.; Monteiro, O.R.

    1997-01-01

    Dual source metal plasma immersion ion implantation and deposition was used to deposit Ti x C y films over a wide range of Ti:C composition. This technique is well adapted for this purpose and allows one to tailor the microstructure and properties of the films. We investigated the variation of the composition, bonding states, and structure as functions of the deposition conditions. Excess carbon and contamination oxygen are incorporated in the TiC lattice interstitially and substitutionally, respectively. The wear mechanism of a stoichiometric TiC film was investigated and compared to that of a diamondlike carbon film. TiC fails by wear and microcrack propagation. copyright 1997 American Vacuum Society

  10. Spectroscopic ellipsometry investigations of optical anisotropy in obliquely deposited hafnia thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tokas, R. B., E-mail: tokasstar@gmail.com; Jena, Shuvendu; Thakur, S.; Sahoo, N. K. [Atomic & Molecular Physics Division, Bhabha Atomic Research Centre, Trombay, Mumbai-85 (India); Haque, S. Maidul; Rao, K. Divakar [Photonics & Nanotechnology Section, Atomic & Molecular Physics Division, Bhabha Atomic Research Centre facility, Visakhapatnam-530012 (India)

    2016-05-23

    In present work, HfO{sub 2} thin films have been deposited at various oblique incidences on Si substrates by electron beam evaporation. These refractory oxide films exhibited anisotropy in refractive index predictably due to special columnar microstructure. Spectroscopic ellipsometry being a powerful tool for optical characterization has been employed to investigate optical anisotropy. It was observed that the film deposited at glancing angle (80°) exhibits the highest optical anisotropy. Further, anisotropy was noticed to decrease with lower values of deposition angles while effective refractive index depicts opposite trend. Variation in refractive index and anisotropy has been explained in light of atomic shadowing during growth of thin films at oblique angles.

  11. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-01-01

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10 -4 and 2.3x10 -4 Ω·cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10 -4 Ω·cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates

  12. High rate deposition of transparent conducting oxide thin films by vacuum arc plasma evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Minami, Tadatsugu; Ida, Satoshi; Miyata, Toshihiro

    2002-09-02

    Transparent conducting oxide (TCO) thin films have been deposited at a high rate above 370 nm/min by vacuum arc plasma evaporation (VAPE) using sintered oxide fragments as the source material. It was found that the deposition rate of TCO films was strongly dependent on the deposition pressure, whereas the obtained electrical properties were relatively independent of the pressure. Resistivities of 5.6x10{sup -4} and 2.3x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% (with substrate included) in the visible range were obtained in Ga-doped ZnO (GZO) thin films deposited at 100 and 350 deg. C, respectively. In addition, a resistivity as low as 1.4x10{sup -4} {omega}{center_dot}cm and an average transmittance above 80% were also obtained in indium-tin-oxide (ITO) films deposited at 300 deg. C. The deposited TCO films exhibited uniform distributions of resistivity and thickness on large area substrates.

  13. Enhancement of Low-field Magnetoresistance in Self-Assembled Epitaxial La0.67Ca0.33MnO3:NiO and La0.67Ca0.33MnO3:Co3O4 Composite Films via Polymer-Assisted Deposition.

    Science.gov (United States)

    Zhou, Meng; Li, Yuling; Jeon, Il; Yi, Qinghua; Zhu, Xuebin; Tang, Xianwu; Wang, Haiyan; Fei, Ling; Sun, Yuping; Deng, Shuguang; Matsuo, Yutaka; Luo, Hongmei; Zou, Guifu

    2016-07-06

    Polymer-assisted deposition method has been used to fabricate self-assembled epitaxial La0.67Ca0.33MnO3:NiO and La0.67Ca0.33MnO3:Co3O4 films on LaAlO3 substrates. Compared to pulsed-laser deposition method, polymer-assisted deposition provides a simpler and lower-cost approach to self-assembled composite films with enhanced low-field magnetoresistance effect. After the addition of NiO or Co3O4, triangular NiO and tetrahedral Co3O4 nanoparticles remain on the surface of La0.67Ca0.33MnO3 films. This results in a dramatic increase in resistivity of the films from 0.0061 Ω•cm to 0.59 Ω•cm and 1.07 Ω•cm, and a decrease in metal-insulator transition temperature from 270 K to 180 K and 172 K by the addition of 10%-NiO and 10%-Co3O4, respectively. Accordingly, the maximum absolute magnetoresistance value is improved from -44.6% to -59.1% and -52.7% by the addition of 10%-NiO and 10%-Co3O4, respectively. The enhanced low-field magnetoresistance property is ascribed to the introduced insulating phase at the grain boundaries. The magnetism is found to be more suppressed for the La0.67Ca0.33MnO3:Co3O4 composite films than the La0.67Ca0.33MnO3:NiO films, which can be attributed to the antiferromagnetic properties of the Co3O4 phase. The solution-processed composite films show enhanced low-field magnetoresistance effect which are crucial in practical applications. We expect our polymer-assisted deposited films paving the pathway in the field of hole-doped perovskites with their intrinsic colossal magnetoresistance.

  14. In situ measurement of conductivity during nanocomposite film deposition

    International Nuclear Information System (INIS)

    Blattmann, Christoph O.; Pratsinis, Sotiris E.

    2016-01-01

    Highlights: • Flame-made nanosilver dynamics are elucidated in the gas-phase & on substrates. • The resistance of freshly depositing nanosilver layers is monitored. • Low T g polymers facilitate rapid synthesis of conductive films. • Conductive nanosilver films form on top of or within the polymer depending on MW. - Abstract: Flexible and electrically conductive nanocomposite films are essential for small, portable and even implantable electronic devices. Typically, such film synthesis and conductivity measurement are carried out sequentially. As a result, optimization of filler loading and size/morphology characteristics with respect to film conductivity is rather tedious and costly. Here, freshly-made Ag nanoparticles (nanosilver) are made by scalable flame aerosol technology and directly deposited onto polymeric (polystyrene and poly(methyl methacrylate)) films during which the resistance of the resulting nanocomposite is measured in situ. The formation and gas-phase growth of such flame-made nanosilver, just before incorporation onto the polymer film, is measured by thermophoretic sampling and microscopy. Monitoring the nanocomposite resistance in situ reveals the onset of conductive network formation by the deposited nanosilver growth and sinternecking. The in situ measurement is much faster and more accurate than conventional ex situ four-point resistance measurements since an electrically percolating network is detected upon its formation by the in situ technique. Nevertheless, general resistance trends with respect to filler loading and host polymer composition are consistent for both in situ and ex situ measurements. The time lag for the onset of a conductive network (i.e., percolation) depends linearly on the glass transition temperature (T g ) of the host polymer. This is attributed to the increased nanoparticle-polymer interaction with decreasing T g . Proper selection of the host polymer in combination with in situ resistance monitoring

  15. Superhydrophobic nanostructured ZnO thin films on aluminum alloy substrates by electrophoretic deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Ying; Sarkar, D.K., E-mail: dsarkar@uqac.ca; Chen, X-Grant

    2015-02-01

    Graphical abstract: - Highlights: • Fabrication of superhydrophobic ZnO thin films surfaces by electrophoretic deposition process on aluminum substrates. • Effect of bath temperature on the physical and superhydrophobic properties of thin films. • The water contact angle of 155° ± 3 with roll off property has been observed on the film that was grown at bath temperatures of 50 °C. • The activation energy for electrophoretic deposition of SA-functionalized ZnO nanoparticle is calculated to be 0.50 eV. - Abstract: Superhydrophobic thin films have been fabricated on aluminum alloy substrates by electrophoretic deposition (EPD) process using stearic acid (SA) functionalized zinc oxide (ZnO) nanoparticles suspension in alcohols at varying bath temperatures. The deposited thin films have been characterized using both X-ray diffraction (XRD) and infrared (IR) spectroscopy and it is found that the films contain low surface energy zinc stearate and ZnO nanoparticles. It is also observed that the atomic percentage of Zn and O, roughness and water contact angle of the thin films increase with the increase of the deposited bath temperature. Furthermore, the thin film deposited at 50 °C, having a roughness of 4.54 ± 0.23 μm, shows superhydrophobic properties providing a water contact angle of 155 ± 3° with rolling off properties. Also, the activation energy of electrophoretic deposition of stearic-acid-functionalized ZnO nanoparticles is calculated to be 0.5 eV.

  16. Pulsed-laser-deposited YBCO thin films using modified MTG processed targets

    CERN Document Server

    Kim, C H; Kim, I T; Hahn, T S

    1999-01-01

    YBCO thin films were deposited by pulsed laser deposition from targets fabricated using the modified melt-textured growth (MTG) method and the solid-state sintering (SSS) method. All of the films showed c-axis orientations, but the films from the MTG targets had better crystallinity than those from the SSS targets. As the substrate temperature was increased, T sub c and J sub c of the films increased. The films from the MTG targets showed better superconducting properties than those from the SSS targets. From the composition analysis of the targets, the Y-richer vapor species arriving at the substrate from the MTG targets are thought to form a thermodynamically more stable YBCO phase with less cation disorder.

  17. Effect of angle of deposition on micro-roughness parameters and optical properties of HfO{sub 2} thin films deposited by reactive electron beam evaporation

    Energy Technology Data Exchange (ETDEWEB)

    Tokas, R.B., E-mail: tokasstar@gmail.com; Jena, S.; Thakur, S.; Sahoo, N.K.

    2016-06-30

    Oblique angle deposited oxide thin films, in which refractive index profiles can be tailored across depth by tuning their microstructure using varying angle of deposition, have opened up new dimensions in fabrication of optical interference devices. Since surface morphology plays an important role for the qualification of these thin film devices for optical or other applications, it is important to investigate morphological properties of obliquely deposited thin films. In the present work, a set of HfO{sub 2} thin films have been deposited at several oblique angles. Morphological parameters of such thin films viz., correlation length, intrinsic roughness, fractal spectral strength, etc., have been determined through suitable modelling of extended power spectral density measured by atomic force microscopy. It has been found that intrinsic roughness and fractal spectral strength show an interesting behaviour with deposition angle and the same has been discussed in the light of atomic shadowing and re-emission and diffusion of ad-atoms. Further refractive index and thickness of such thin films have been estimated from optical transmission spectra through suitable modelling. Refractive index of such thin film varies from 1.93 to 1.37 as the deposition angle varies from normal to glancing angle (80°). Further, refractive index and grain size depict an opposite trend with deposition angle. This variation has been explained in terms of varying film porosity and column slanting with angle of deposition. - Highlights: • HfO{sub 2} thin films deposited at several oblique angles • Film deposited at 80° exhibits the highest grain size and intrinsic roughness (σ). • Fractal strength and σ depict an interesting trend with angle of deposition. • Refractive index and grain size depict an opposite trend with angle of deposition.

  18. New results in pulsed laser deposition of poly-methyl-methacrylate thin films

    International Nuclear Information System (INIS)

    Cristescu, R.; Socol, G.; Mihailescu, I.N.; Popescu, M.; Sava, F.; Ion, E.; Morosanu, C.O.; Stamatin, I.

    2003-01-01

    Thin organic films based on poly-methyl-methacrylate (PMMA) polymer have been obtained by pulsed laser deposition (PLD) on silicon substrates. The films were characterized by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and Raman spectroscopy (RS). We observed that the film composition and structure depend on the laser fluence and on the temperature of the substrate during deposition

  19. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    OpenAIRE

    Bakrania, Smitesh D.; Wooldridge, Margaret S.

    2009-01-01

    This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition meth...

  20. A review of basic phenomena and techniques for sputter-deposition of high temperature superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Auciello, O. (Microelectronics Center of North Carolina, Research Triangle Park, NC (USA) North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Ameen, M.S.; Kingon, A.I.; Lichtenwalner, D.J. (North Carolina State Univ., Raleigh, NC (USA). Dept. of Materials Science and Engineering); Krauss, A.R. (Argonne National Lab., IL (USA))

    1990-01-01

    The processes involved in plasma and ion beam sputter-deposition of high temperature superconducting thin films are critically reviewed. Recent advances in the development of these techniques are discussed in relation to basic physical phenomena, specific to each technique, which must be understood before high quality films can be produced. Control of film composition is a major issue in sputter-deposition of multicomponent materials. Low temperature processing of films is a common goal for each technique, particularly in relation to integrating high temperature superconducting films with the current microelectronics technology. It has been understood for some time that for Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} deposition, the most intensely studied high-{Tc} compound, incorporation of sufficient oxygen into the film during deposition is necessary to produce as-deposited superconducting films at relatively substrate temperatures. Recent results have shown that with the use of suitable buffer layers, high quality Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} sputtered films can be obtained on Si substrates without the need for post-deposition anneal processing. This review is mainly focussed on issues related to sputter-deposition of Y{sub 1}Ba{sub 2}Cu{sub 3}O{sub 7} thin films, although representative results concerning the bismuth and thallium based compounds are included. 143 refs., 11 figs.

  1. Morphology and structural studies of WO{sub 3} films deposited on SrTiO{sub 3} by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kalhori, Hossein, E-mail: h.kalhori@ph.iut.ac.ir [School of Physics and CRANN, Trinity College, Dublin 2 (Ireland); Department of Physics, Isfahan University of Technology, Isfahan 84156-8311 (Iran, Islamic Republic of); Porter, Stephen B.; Esmaeily, Amir Sajjad; Coey, Michael [School of Physics and CRANN, Trinity College, Dublin 2 (Ireland); Ranjbar, Mehdi; Salamati, Hadi [Department of Physics, Isfahan University of Technology, Isfahan 84156-8311 (Iran, Islamic Republic of)

    2016-12-30

    Highlights: • Highly oriented WO{sub 3} stoichiometric films were determined using pulsed laser deposition method. • Effective parameters on thin films including temperature, oxygen partial pressure and laser energy fluency was studied. • A phase transition was observed in WO{sub 3} films at 700 °C from monoclinic to tetragonal. - Abstract: WO{sub 3} films have been grown by pulsed laser deposition on SrTiO{sub 3} (001) substrates. The effects of substrate temperature, oxygen partial pressure and energy fluence of the laser beam on the physical properties of the films were studied. Reflection high-energy electron diffraction (RHEED) patterns during and after growth were used to determine the surface structure and morphology. The chemical composition and crystalline phases were obtained by XPS and XRD respectively. AFM results showed that the roughness and skewness of the films depend on the substrate temperature during deposition. Optimal conditions were determined for the growth of the highly oriented films.

  2. Low-temperature SiON films deposited by plasma-enhanced atomic layer deposition method using activated silicon precursor

    Energy Technology Data Exchange (ETDEWEB)

    Suh, Sungin; Kim, Jun-Rae; Kim, Seongkyung; Hwang, Cheol Seong; Kim, Hyeong Joon, E-mail: thinfilm@snu.ac.kr [Department of Materials Science and Engineering with Inter-University Semiconductor Research Center (ISRC), Seoul National University, 599 Gwanak-ro, Gwanak-gu, Seoul 08826 (Korea, Republic of); Ryu, Seung Wook, E-mail: tazryu78@gmail.com [Department of Electrical Engineering, Stanford University, Stanford, California 94305-2311 (United States); Cho, Seongjae [Department of Electronic Engineering and New Technology Component & Material Research Center (NCMRC), Gachon University, Seongnam-si, Gyeonggi-do 13120 (Korea, Republic of)

    2016-01-15

    It has not been an easy task to deposit SiN at low temperature by conventional plasma-enhanced atomic layer deposition (PE-ALD) since Si organic precursors generally have high activation energy for adsorption of the Si atoms on the Si-N networks. In this work, in order to achieve successful deposition of SiN film at low temperature, the plasma processing steps in the PE-ALD have been modified for easier activation of Si precursors. In this modification, the efficiency of chemisorption of Si precursor has been improved by additional plasma steps after purging of the Si precursor. As the result, the SiN films prepared by the modified PE-ALD processes demonstrated higher purity of Si and N atoms with unwanted impurities such as C and O having below 10 at. % and Si-rich films could be formed consequently. Also, a very high step coverage ratio of 97% was obtained. Furthermore, the process-optimized SiN film showed a permissible charge-trapping capability with a wide memory window of 3.1 V when a capacitor structure was fabricated and measured with an insertion of the SiN film as the charge-trap layer. The modified PE-ALD process using the activated Si precursor would be one of the most practical and promising solutions for SiN deposition with lower thermal budget and higher cost-effectiveness.

  3. Shape memory characteristics of sputter-deposited Ti-Ni thin films

    International Nuclear Information System (INIS)

    Miyazaki, Shuichi; Ishida, Akira.

    1994-01-01

    Ti-Ni shape memory alloy thin films were deposited using an RF magnetron sputtering apparatus. The as-sputtered films were heat-treated in order to crystallize and memorize. After the heat treatment, the shape memory characteristics have been investigated using DSC and thermomechanical tests. Upon cooling the thin films, the solution-treated films showed a single peak in the DSC curve indicating a single stage transformation occurring from B2 to the martensitic phase, while the age-treated films showed double peaks indicating a two-stage transformation, i.e., from B2 to the R-phase, then to the martensitic phase. A perfect shape memory effect was achieved in these sputter-deposited Ti-Ni thin films in association both with the R-phase and martensitic transformations. Transformation temperatures increased linearly with increasing applied stress. The transformation strain also increased with increasing stress. The shape memory characteristics were strongly affected by heat-treatment conditions. (author)

  4. Deposition of indium tin oxide films on acrylic substrates by radiofrequency magnetron sputtering

    International Nuclear Information System (INIS)

    Chiou, B.S.; Hsieh, S.T.; Wu, W.F.

    1994-01-01

    Indium tin oxide (ITO) films were deposited onto acrylic substrates by rf magnetron sputtering. Low substrate temperature (< 80 C) and low rf power (< 28 W) were maintained during sputtering to prevent acrylic substrate deformation. The influence of sputtering parameters, such as rf power, target-to-substrate distance, and chamber pressure, on the film deposition rate, the electrical properties, as well as the optical properties of the deposited films was investigated. Both the refractive index and the extinction coefficient were derived. The high reflection at wavelengths greater than 3 μm made these sputtered ITO films applicable to infrared mirrors

  5. Effect of deposition temperature on the structural, morphological and optical band gap of lead selenide thin films synthesized by chemical bath deposition method

    Energy Technology Data Exchange (ETDEWEB)

    Hone, Fekadu Gashaw, E-mail: fekeye@gmail.com [Hawassa University, Department of Physics, Hawassa (Ethiopia); Ampong, Francis Kofi [Kwame Nkrumah University of Science and Technology, Department of Physics, Kumasi (Ghana)

    2016-11-01

    Lead selenide (PbSe) nanocrystalline thin films have been deposited on silica glass substrates by the chemical bath deposition technique. The samples were deposited at the bath temperatures of 60, 75 and 90 °C respectively and characterized by a variety of techniques. The XRD results revealed that the PbSe thin film deposited at 60 °C was amorphous in nature. Films deposited at higher temperatures exhibited sharp and intense diffraction peaks, indicating an improvement in crystallinety. The deposition temperature also had a strong influence on the preferred orientation of the crystallites as well as other structural parameters such as microstrain and dislocation density. From the SEM study it was observed that film deposited at 90 °C had well defined crystallites, uniformly distributed over the entire surface of the substrate. The EDAX study confirmed that the samples deposited at the higher temperature had a better stoichiometric ratio. The optical band gap varied from 2.26 eV to 1.13 eV with increasing deposition temperature. - Highlights: • The crystallinety of the films improved as the deposition temperature increased. • The deposition temperature strongly influenced the preferred orientations. • Microstrain and dislocation density are decreased linearly with deposition temperature. • Band gap decreased from 2.26 eV to 1.13 eV as the deposition temperature increased.

  6. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    International Nuclear Information System (INIS)

    Gupta, Sushant; Arjunan, Arul Chakkaravarthi; Deshpande, Sameer; Seal, Sudipta; Singh, Deepika; Singh, Rajiv K.

    2009-01-01

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166 o . The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  7. Superhydrophobic polytetrafluoroethylene thin films with hierarchical roughness deposited using a single step vapor phase technique

    Energy Technology Data Exchange (ETDEWEB)

    Gupta, Sushant, E-mail: sushant3@ufl.ed [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States); Arjunan, Arul Chakkaravarthi [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Deshpande, Sameer; Seal, Sudipta [Advanced Material Processing and Analysis Center, University of Central Florida, Orlando, Florida 32816 (United States); Singh, Deepika [Sinmat Incorporated, 2153 SE Hawthorne Road, 129, Gainesville, Florida 32641 (United States); Singh, Rajiv K. [Department of Materials Science and Engineering, University of Florida, Gainesville, FL 32611 (United States)

    2009-06-30

    Superhydrophobic polytetrafluoroethylene films with hierarchical surface roughness were deposited using pulse electron deposition technique. We were able to modulate roughness of the deposited films by controlling the beam energy and hence the electron penetration depth. The films deposited at higher beam energy showed contact angle as high as 166{sup o}. The scanning electron and atomic force microscope studies revealed clustered growth and two level sub-micron asperities on films deposited at higher energies. Such dual-scale hierarchical roughness and heterogeneities at the water-surface interface was attributed to the observed contact angle and thus its superhydrophobic nature.

  8. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.; Alshareef, Husam N.

    2013-01-01

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  9. Ultraviolet laser deposition of graphene thin films without catalytic layers

    KAUST Repository

    Sarath Kumar, S. R.

    2013-01-09

    In this letter, the formation of nanostructured graphene by ultraviolet laser ablation of a highly ordered pyrolytic graphite target under optimized conditions is demonstrated, without a catalytic layer, and a model for the growth process is proposed. Previously, graphene film deposition by low-energy laser (2.3 eV) was explained by photo-thermal models, which implied that graphene films cannot be deposited by laser energies higher than the C-C bond energy in highly ordered pyrolytic graphite (3.7 eV). Here, we show that nanostructured graphene films can in fact be deposited using ultraviolet laser (5 eV) directly over different substrates, without a catalytic layer. The formation of graphene is explained by bond-breaking assisted by photoelectronic excitation leading to formation of carbon clusters at the target and annealing out of defects at the substrate.

  10. Liquid films and droplet deposition in a BWR fuel element

    International Nuclear Information System (INIS)

    Damsohn, M.

    2011-01-01

    In the upper part of boiling water reactors (BWR) the flow regime is dominated by a steam-water droplet flow with liquid films on the nuclear fuel rod, the so called (wispy) annular flow regime. The film thickness and liquid flow rate distribution around the fuel rod play an important role especially in regard to so called dryout, which is the main phenomenon limiting the thermal power of a fuel assembly. The deposition of droplets in the liquid film is important, because this process sustains the liquid film and delays dryout. Functional spacers with different vane shapes have been used in recent decades to enhance droplet deposition and thus create more favorable conditions for heat removal. In this thesis the behavior of liquid films and droplet deposition in the annular flow regime in BWR bundles is addressed by experiments in an adiabatic flow at nearly ambient pressure. The experimental setup consists of a vertical channel with the cross-section resembling a pair of neighboring subchannels of a fuel rod bundle. Within this double subchannel an annular flow is established with a gas-water mixture. The impact of functional spacers on the annular flow behavior is studied closely. Parameter variations comprise gas and liquid flow rates, gas density and spacer shape. The setup is instrumented with a newly developed liquid film sensor that measures the electrical conductance between electrodes flush to the wall with high temporal and spatial resolution. Advanced post-processing methods are used to investigate the dynamic behavior of liquid films and droplet deposition. The topic is also assessed numerically by means of single-phase Reynolds-Averaged-Navier-Stokes CFD simulations of the flow in the gas core. For this the commercial code STAR-CCM+ is used coupled with additional models for the liquid film distribution and droplet motion. The results of the experiments show that the liquid film is quite evenly distributed around the circumference of the fuel rods. The

  11. Applications of interface controlled pulsed-laser deposited polymer films in field-effect transistors

    Science.gov (United States)

    Adil, Danish; Ukah, Ndubuisi; Guha, Suchi; Gupta, Ram; Ghosh, Kartik

    2010-03-01

    Matrix assisted pulsed laser evaporation, a derivative of pulsed laser deposition (PLD), is an alternative method of depositing polymer and biomaterial films that allows homogeneous film coverage of high molecular weight organic materials for layer-by-layer growth without any laser induced damage. Polyfluorene (PF)-based conjugated polymers have attracted considerable attention in organic field-effect transistors (FETs). A co-polymer of PF (PFB) was deposited as a thin film using matrix assisted PLD employing a KrF excimer laser. Electrical characteristics of FETs fabricated using these PLD grown films were compared to those of FETs using spin-coated films. We show that threshold voltages, on/off ratios, and charge carrier motilities are significantly improved in PLD grown films. This is attributed to an improved dielectric-polymer interface.

  12. Organic Thin Films Deposited by Emulsion-Based, Resonant Infrared, Matrix-Assisted Pulsed Laser Evaporation: Fundamentals and Applications

    Science.gov (United States)

    Ge, Wangyao

    Thin film deposition techniques are indispensable to the development of modern technologies as thin film based optical coatings, optoelectronic devices, sensors, and biological implants are the building blocks of many complicated technologies, and their performance heavily depends on the applied deposition technique. Particularly, the emergence of novel solution-processed materials, such as soft organic molecules, inorganic compounds and colloidal nanoparticles, facilitates the development of flexible and printed electronics that are inexpensive, light weight, green and smart, and these thin film devices represent future trends for new technologies. One appealing feature of solution-processed materials is that they can be deposited into thin films using solution-processed deposition techniques that are straightforward, inexpensive, high throughput and advantageous to industrialize thin film based devices. However, solution-processed techniques rely on wet deposition, which has limitations in certain applications, such as multi-layered film deposition of similar materials and blended film deposition of dissimilar materials. These limitations cannot be addressed by traditional, vacuum-based deposition techniques because these dry approaches are often too energetic and can degrade soft materials, such as polymers, such that the performance of resulting thin film based devices is compromised. The work presented in this dissertation explores a novel thin film deposition technique, namely emulsion-based, resonant infrared, matrix-assisted pulsed laser evaporation (RIR-MAPLE), which combines characteristics of wet and dry deposition techniques for solution-processed materials. Previous studies have demonstrated the feasibility of emulsion-based RIR-MAPLE to deposit uniform and continuous organic, nanoparticle and blended films, as well as hetero-structures that otherwise are difficult to achieve. However, fundamental understanding of the growth mechanisms that govern

  13. Deposition of CdTe films under microgravity: Foton M3 mission

    Energy Technology Data Exchange (ETDEWEB)

    Benz, K.W.; Croell, A. [Freiburger Materialforschungszentrum FMF, Albert-Ludwigs-Universitaet Freiburg (Germany); Zappettini, A.; Calestani, D. [CNR Parma, Instituto Materiali Speciali per Elettronica e Magnetismo IMEM, Fontani Parma (Italy); Dieguez, E. [Universidad Autonoma de Madrid (Spain). Departamento de Fisica de Materiales; Carotenuto, L.; Bassano, E. [Telespazio Napoli, Via Gianturco 31, 80146 Napoli (Italy); Fiederle, M.

    2009-10-15

    Experiments of deposition of CdTe films have been carried out under microgravity in the Russian Foton M3 mission. The influence of gravity has been studied with these experiments and compared to the results of simulations. The measured deposition rate could be confirmed by the theoretical results for lower temperatures. For higher temperatures the measured thickness of the deposited films was larger compared to the theoretical data. (copyright 2009 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    Science.gov (United States)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  15. Surface-enhanced Raman spectroscopy (SERS) using Ag nanoparticle films produced by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Smyth, C.A., E-mail: smythc2@tcd.ie [School of Physics, Trinity College Dublin, Dublin 2 (Ireland); Mirza, I.; Lunney, J.G.; McCabe, E.M. [School of Physics, Trinity College Dublin, Dublin 2 (Ireland)

    2013-01-01

    Highlights: Black-Right-Pointing-Pointer Pulsed laser deposition (PLD) produces silver nanoparticle films. Black-Right-Pointing-Pointer These films can be used for surface-enhanced Raman spectroscopy (SERS). Black-Right-Pointing-Pointer Commercial film shows good SERS reproducibility but poor signal intensity. Black-Right-Pointing-Pointer PLD shows a good SERS response coupled with good reproducibility. - Abstract: Thin silver nanoparticle films, of thickness 7 nm, were deposited onto glass microslides using pulsed laser deposition (PLD). The films were then characterised using UV-vis spectroscopy and scanning transmission electron microscopy before Rhodamine 6G was deposited onto them for investigation using surface-enhanced Raman spectroscopy (SERS). The sensitivity obtained using SERS was compared to that obtained using a colloidal silver suspension and also to a commercial SERS substrate. The reproducibility of the films is also examined using statistical analysis.

  16. Chemical solution deposition of CaCu 3 Ti 4 O 12 thin film

    Indian Academy of Sciences (India)

    CaCu3Ti4O12 (CCTO) thin film was successfully deposited on boron doped silica substrate by chemical solution deposition and rapid thermal processing. The phase and microstructure of the deposited films were studied as a function of sintering temperature, employing X-ray diffractometry and scanning electron ...

  17. Pulsed laser deposition of SiC thin films at medium substrate temperatures

    International Nuclear Information System (INIS)

    Katharria, Y.S.; Kumar, Sandeep; Choudhary, R.J.; Prakash, Ram; Singh, F.; Lalla, N.P.; Phase, D.M.; Kanjilal, D.

    2008-01-01

    Systematic studies of thin silicon carbide (SiC) films deposited on Si (100) substrates using pulsed laser deposition technique at room temperature, 370 deg. C and 480 deg. C are carried out. X-ray photoelectron spectroscopy showed the formation of SiC bonds in the films at these temperatures along with some graphitic carbon clusters. Fourier transform infrared analysis also confirmed the formation of SiC nanocrystallites in the films. Transmission electron microscopy and electron diffraction were used to study the structural properties of nanocrystallites formed in the films. Surface morphological analysis using atomic force microscopy revealed the growth of smooth films

  18. Electrochromism of the electroless deposited cuprous oxide films

    International Nuclear Information System (INIS)

    Neskovska, R.; Ristova, M.; Velevska, J.; Ristov, M.

    2007-01-01

    Thin cuprous oxide films were prepared by a low cost, chemical deposition (electroless) method onto glass substrates pre-coated with fluorine doped tin oxide. The X-ray diffraction pattern confirmed the Cu 2 O composition of the films. Visible transmittance spectra of the cuprous oxide films were studied for the as-prepared, colored and bleached films. The cyclic voltammetry study showed that those films exhibited cathode coloring electrochromism, i.e. the films showed change of color from yellowish to black upon application of an electric field. The transmittance across the films for laser light of 670 nm was found to change due to the voltage change for about 50%. The coloration memory of those films was also studied during 6 h, ex-situ. The coloration efficiency at 670 nm was calculated to be 37 cm 2 /C

  19. Roughness evolution in Ga doped ZnO films deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Liu Yunyan; Cheng Chuanfu; Yang Shanying; Song Hongsheng; Wei Gongxiang; Xue Chengshan; Wang Yongzai

    2011-01-01

    We analyze the morphology evolution of the Ga doped ZnO(GZO) films deposited on quartz substrates by a laser deposition system. The surface morphologies of the film samples grown with different times are measured by the atomic force microscope, and they are analyzed quantitatively by using the image data. In the initial stage of the growth time shorter than 8 min, our analysis shows that the GZO surface morphologies are influenced by such factors as the random fluctuations, the smoothening effects in the deposition, the lateral strain and the substrate. The interface width uw(t) and the lateral correlation length ξ(t) at first decrease with deposition time t. For the growth time larger than 8 min, w(t) and ξ(t) increase with time and it indicates the roughening of the surface and the surface morphology exhibits the fractal characteristics. By fitting data of the roughness w(t) versus deposition time t larger than 4 min to the power-law function, we obtain the growth exponent β is 0.3; and by the height-height correlation functions of the samples to that of the self-affine fractal model, we obtain the value of roughness exponent α about 0.84 for all samples with different growth time t.

  20. Quantum Hall effect on top and bottom surface states of topological insulator (Bi1-xSbx)2Te3 films.

    Science.gov (United States)

    Yoshimi, R; Tsukazaki, A; Kozuka, Y; Falson, J; Takahashi, K S; Checkelsky, J G; Nagaosa, N; Kawasaki, M; Tokura, Y

    2015-04-14

    The three-dimensional topological insulator is a novel state of matter characterized by two-dimensional metallic Dirac states on its surface. To verify the topological nature of the surface states, Bi-based chalcogenides such as Bi2Se3, Bi2Te3, Sb2Te3 and their combined/mixed compounds have been intensively studied. Here, we report the realization of the quantum Hall effect on the surface Dirac states in (Bi1-xSbx)2Te3 films. With electrostatic gate-tuning of the Fermi level in the bulk band gap under magnetic fields, the quantum Hall states with filling factor ±1 are resolved. Furthermore, the appearance of a quantum Hall plateau at filling factor zero reflects a pseudo-spin Hall insulator state when the Fermi level is tuned in between the energy levels of the non-degenerate top and bottom surface Dirac points. The observation of the quantum Hall effect in three-dimensional topological insulator films may pave a way toward topological insulator-based electronics.

  1. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    Energy Technology Data Exchange (ETDEWEB)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Arrieta, M.L. Pérez [Universidad Autónoma de Zacatecas, Unidad Académica de Física, Calzada Solidaridad esq. Paseo, La Bufa s/n, C.P. 98060, Zacatecas, México (Mexico); Meza-Rocha, A.N.; Rivera-Álvarez, Z. [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico); Falcony, C., E-mail: cfalcony@fis.cinvestav.mx [Centro de Investigación y de Estudios Avanzados-IPN, Departamento de Física, , Apdo. Postal 14-470, Del, Gustavo A. Madero, C.P. 07000, México, D.F. (Mexico)

    2013-10-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min{sup −1} at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min{sup −1} were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s.

  2. Synthesis of conductive semi-transparent silver films deposited by a Pneumatically-Assisted Ultrasonic Spray Pyrolysis Technique

    International Nuclear Information System (INIS)

    Zaleta-Alejandre, E.; Balderas-Xicoténcatl, R.; Arrieta, M.L. Pérez; Meza-Rocha, A.N.; Rivera-Álvarez, Z.; Falcony, C.

    2013-01-01

    Highlights: • We deposited metallic silver films without post-deposition annealing. • The spray pyrolysis technique is of low cost and scalable for industrial applications. • We obtained deposition rate of 60 nm min −1 at 300 °C. • The average resistivity was 1E−7 Ω m. • Semi-transparent silver films were obtained at 350 °C and deposition time of 45 s. -- Abstract: The synthesis and characterization of nanostructured silver films deposited on corning glass by a deposition technique called Pneumatically-Assisted Ultrasonic Spray Pyrolysis are reported. Silver nitrate and triethanolamine were used as silver precursor and reducer agent, respectively. The substrate temperatures during deposition were in the range of 300–450 °C and the deposition times from 30 to 240 s. The deposited films are polycrystalline with cubic face-centered structure, and crystalline grain size less than 30 nm. Deposition rates up to 600 Å min −1 were obtained at substrate temperature as low as 300 °C. The electrical, optical, and morphological properties of these films are also reported. Semi-transparent conductive silver films were obtained at 350 °C with a deposition time of 45 s

  3. PREPARATION AND CHARACTERIZATION OF IRON SULPHIDE THIN FILMS BY CHEMICAL BATH DEPOSITION METHOD

    Directory of Open Access Journals (Sweden)

    Anuar Kassim

    2010-06-01

    Full Text Available FeS2 thin films have been deposited by using low cost chemical bath deposition technique. The films obtained under deposition parameters such as bath temperature (90 °C, deposition period (90 min, electrolyte concentration (0.15 M and pH of the reactive mixture (pH 2.5. The thin films were characterized using X-ray diffraction and atomic force microscopy in order to study the structural and morphological properties. The band gap energy, transition type and absorption properties were determined using UV-Vis Spectrophotometer. X-ray diffraction displayed a pattern consistent with the formation of an orthorhombic structure, with a strong (110 preferred orientation. Atomic force microscopy image showed the substrate surface is well covered with irregular grains. A direct band gap of 1.85 eV was obtained according to optical absorption studies.   Keywords: Iron sulfide, X-ray diffraction, chemical bath deposition, thin films

  4. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  5. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  6. Deposition of thin films and surface modification by pulsed high energy density plasma

    International Nuclear Information System (INIS)

    Yan Pengxun; Yang Size

    2002-01-01

    The use of pulsed high energy density plasma is a new low temperature plasma technology for material surface treatment and thin film deposition. The authors present detailed theoretical and experimental studies of the production mechanism and physical properties of the pulsed plasma. The basic physics of the pulsed plasma-material interaction has been investigated. Diagnostic measurements show that the pulsed plasma has a high electron temperature of 10-100 eV, density of 10 14 -10 16 cm -3 , translation velocity of ∼10 -7 cm/s and power density of ∼10 4 W/cm 2 . Its use in material surface treatment combines the effects of laser surface treatment, electron beam treatment, shock wave bombardment, ion implantation, sputtering deposition and chemical vapor deposition. The metastable phase and other kinds of compounds can be produced on low temperature substrates. For thin film deposition, a high deposition ratio and strong film to substrate adhesion can be achieved. The thin film deposition and material surface modification by the pulsed plasma and related physical mechanism have been investigated. Thin film c-BN, Ti(CN), TiN, DLC and AlN materials have been produced successfully on various substrates at room temperature. A wide interface layer exists between film and substrate, resulting in strong adhesion. Metal surface properties can be improved greatly by using this kind of treatment

  7. Unexpected metal-insulator transition in thick Ca1-xSrxVO3 film on SrTiO3 (100) single crystal

    Science.gov (United States)

    Takayanagi, Makoto; Tsuchiya, Takashi; Namiki, Wataru; Ueda, Shigenori; Minohara, Makoto; Horiba, Koji; Kumigashira, Hiroshi; Terabe, Kazuya; Higuchi, Tohru

    2018-03-01

    Epitaxial Ca1-xSrxVO3 (0 ≦ x ≦ 1) thin films were grown on (100)-oriented SrTiO3 substrates by using the pulsed laser deposition technique. In contrast to the previous report that metal-insulator transition (MIT) in Ca1-xSrxVO3 (CSVO) was achieved only for extremely thin films (several nm thick), MIT was observed at 39, 72, and 113 K for films with a thickness of 50 nm. The electronic structure was investigated by hard and soft X-ray photoemission spectroscopy (HX-PES and SX-PES). The difference between these PES results was significant due to the variation in an escape depth of photoelectrons of PES. While HX-PES showed that the V 2p3/2 spectra consisted of four peaks (V5+, V4+, V3+, and V2+/1+), SX-PES showed only three peaks (V5+, V4+, and V3+). This difference can be caused by a strain from the substrate, which leads to the chemical disorder (V5+, V4+, V3+, and V2+/1+). The thin film near the substrate is affected by the strain. The positive magnetoresistance is attributed to the effect of electron-electron interactions in the disorder system. Therefore, the emergence of MIT can be explained by the electron-electron interactions from the chemical disorder due to the strain.

  8. Hydrogen absorption in thin ZnO films prepared by pulsed laser deposition

    OpenAIRE

    Meilkhova, O.; Čížek, J.; Lukáč,, F.; Vlček, M.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.

    2013-01-01

    ZnO films with thickness of ~80 nm were grown by pulsed laser deposition (PLD) on MgO (1 0 0) single crystal and amorphous fused silica (FS) substrates. Structural studies of ZnO films and a high quality reference ZnO single crystal were performed by slow positron implantation spectroscopy (SPIS). It was found that ZnO films exhibit significantly higher density of defects than the reference ZnO crystal. Moreover, the ZnO film deposited on MgO substrate exhibits higher concentration of defects...

  9. Deposition of magnetoelectric hexaferrite thin films on substrates of silicon

    Energy Technology Data Exchange (ETDEWEB)

    Zare, Saba; Izadkhah, Hessam; Vittoria, Carmine

    2016-12-15

    Magnetoelectric M-type hexaferrite thin films (SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19}) were deposited using Pulsed Laser Deposition (PLD) technique on Silicon substrate. A conductive oxide layer of Indium-Tin Oxide (ITO) was deposited as a buffer layer with the dual purposes of 1) to reduce lattice mismatch between the film and silicon and 2) to lower applied voltages to observe magnetoelectric effects at room temperature on Silicon based devices. The film exhibited magnetoelectric effects as confirmed by vibrating sample magnetometer (VSM) techniques in voltages as low as 0.5 V. Without the oxide conductive layer the required voltages to observe magnetoelectric effects was typically about 1000 times larger. The magnetoelectric thin films were characterized by X-ray diffractometer, scanning electron microscope, energy-dispersive spectroscopy, vibrating sample magnetometer, and ferromagnetic resonance techniques. We measured saturation magnetization of 650 G, and coercive field of about 150 Oe for these thin films. The change in remanence magnetization was measured in the presence of DC voltages and the changes in remanence were in the order of 15% with the application of only 0.5 V (DC voltage). We deduced a magnetoelectric coupling, α, of 1.36×10{sup −9} s m{sup −1} in SrCo{sub 2}Ti{sub 2}Fe{sub 8}O{sub 19} thin films.

  10. Light scattering by epitaxial VO{sub 2} films near the metal-insulator transition point

    Energy Technology Data Exchange (ETDEWEB)

    Lysenko, Sergiy, E-mail: sergiy.lysenko@upr.edu; Fernández, Felix; Rúa, Armando; Figueroa, Jose; Vargas, Kevin; Cordero, Joseph [Department of Physics, University of Puerto Rico, Mayaguez, Puerto Rico 00681 (United States); Aparicio, Joaquin [Department of Physics, University of Puerto Rico-Ponce, Ponce, Puerto Rico 00732 (United States); Sepúlveda, Nelson [Department of Electrical and Computer Engineering, Michigan State University, East Lansing, Michigan 48824 (United States)

    2015-05-14

    Experimental observation of metal-insulator transition in epitaxial films of vanadium dioxide is reported. Hemispherical angle-resolved light scattering technique is applied for statistical analysis of the phase transition processes on mesoscale. It is shown that the thermal hysteresis strongly depends on spatial frequency of surface irregularities. The transformation of scattering indicatrix depends on sample morphology and is principally different for the thin films with higher internal elastic strain and for the thicker films where this strain is suppressed by introduction of misfit dislocations. The evolution of scattering indicatrix, fractal dimension, surface power spectral density, and surface autocorrelation function demonstrates distinctive behavior which elucidates the influence of structural defects and strain on thermal hysteresis, twinning of microcrystallites, and domain formation during the phase transition.

  11. Study of Ho-doped Bi{sub 2}Te{sub 3} topological insulator thin films

    Energy Technology Data Exchange (ETDEWEB)

    Harrison, S. E. [Department of Physics, Clarendon Laboratory, University of Oxford, Oxford OX1 3PU (United Kingdom); Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States); Collins-McIntyre, L. J.; Zhang, S. L.; Chen, Y. L.; Hesjedal, T., E-mail: Thorsten.Hesjedal@physics.ox.ac.uk [Department of Physics, Clarendon Laboratory, University of Oxford, Oxford OX1 3PU (United Kingdom); Baker, A. A. [Department of Physics, Clarendon Laboratory, University of Oxford, Oxford OX1 3PU (United Kingdom); Magnetic Spectroscopy Group, Diamond Light Source, Didcot OX11 0DE (United Kingdom); Figueroa, A. I.; Laan, G. van der [Magnetic Spectroscopy Group, Diamond Light Source, Didcot OX11 0DE (United Kingdom); Kellock, A. J.; Pushp, A.; Parkin, S. S. P. [IBM Almaden Research Center, 650 Harry Road, San Jose, California 95120 (United States); Harris, J. S. [Department of Electrical Engineering, Stanford University, Stanford, California 94305 (United States)

    2015-11-02

    Breaking time-reversal symmetry through magnetic doping of topological insulators has been identified as a key strategy for unlocking exotic physical states. Here, we report the growth of Bi{sub 2}Te{sub 3} thin films doped with the highest magnetic moment element Ho. Diffraction studies demonstrate high quality films for up to 21% Ho incorporation. Superconducting quantum interference device magnetometry reveals paramagnetism down to 2 K with an effective magnetic moment of ∼5 μ{sub B}/Ho. Angle-resolved photoemission spectroscopy shows that the topological surface state remains intact with Ho doping, consistent with the material's paramagnetic state. The large saturation moment achieved makes these films useful for incorporation into heterostructures, whereby magnetic order can be introduced via interfacial coupling.

  12. Enhanced dielectric properties of ZrO2 thin films prepared in nitrogen ambient by pulsed laser deposition

    International Nuclear Information System (INIS)

    Zhu, J; Li, T L; Pan, B; Zhou, L; Liu, Z G

    2003-01-01

    ZrO 2 thin films were fabricated in O 2 ambient and in N 2 ambient by pulsed laser deposition (PLD), respectively. X-ray diffraction revealed that films prepared at 400 deg. C remained amorphous. The dielectric properties of amorphous ZrO 2 films were investigated by measuring the capacitance-frequency characteristics of Pt/ZrO 2 /Pt capacitor structures. The dielectric constant of the films deposited in N 2 ambient was larger than that of the films deposited in O 2 ambient. The dielectric loss was lower for films prepared in N 2 ambient. Atom force microscopy investigation indicated that films deposited in N 2 ambient had smoother surface than films deposited in O 2 ambient. Capacitance-voltage and current-voltage characteristics were studied. The equivalent oxide thickness (EOT) of films with 6.6 nm physical thickness deposited in N 2 ambient is lower than that of films deposited in O 2 ambient. An EOT of 1.38 nm for the film deposited in N 2 ambient was obtained, while the leakage current density was 94.6 mA cm -2 . Therefore, ZrO 2 thins deposited in N 2 ambient have enhanced dielectric properties due to the incorporation of nitrogen which leads to the formation of Zr-doped nitride interfacial layer, and is suggested to be a potential material for alternative high-k (high dielectric constant) gate dielectric applications

  13. Control of the magnetic properties of LaMnO3 epitaxial thin films grown by Pulsed Laser Deposition

    Science.gov (United States)

    Martinez, Benjamin; Roqueta, Jaume; Pomar, Alberto; Balcells, Lluis; Frontera, Carlos; Konstantinovic, Zorica; Sandiumenge, Felip; Santiso, Jose; Advanced materials characterization Team; Thin films growth Team

    2015-03-01

    LaMnO3 (LMO), the parent compound of colossal magnetoresistance based manganites has gained renewed attention as a building block in heterostructures with unexpected properties. In its bulk phase, stoichiometric LMO is an A-type antiferromagnetic (AFM) insulator (TN = 140K) with orthorhombic structure that easily accommodate an oxygen excess by generating cationic (La or Mn) vacancies. As a result, a fraction of Mn 3+ changes to Mn 4+ leading to a double-exchange mediated ferromagnetic (FM) behavior. In thin films the AFM phase has been elusive up to now and thin films with FM ordering are usually reported. In this work, we have systematically studied the growth process of LaMnO3 thin films by pulsed laser deposition on SrTiO3 (001) substrates under different oxygen partial pressures (PO2) . A close correlation between the structure (explored by XRD) and the magnetic properties (SQUID measurements) of the films with PO2 has been identified. At high PO2 FM behavior is observed. In contrast, at very low PO2, the results obtained for unit cell volume (close to stoichiometric bulk values) and magnetic moment (0.2 μB/Mn) strongly indicate antiferromagnetic ordering. We acknowledge financial support from the Spanish MINECO (MAT2012-33207).

  14. Ion beams as a means of deposition and in-situ characterization of thin films and thin film layered structures

    International Nuclear Information System (INIS)

    Krauss, A.R.; Rangaswamy, M.; Gruen, D.M.; Lin, Y.P.; Schmidt, H.; Liu, Y.L.; Barr, T.; Chang, R.P.H.

    1992-01-01

    Ion beam-surface interactions produce many effects in thin film deposition which are similar to those encountered in plasma deposition processes. However, because of the lower pressures and higher directionality associated with the ion beam process, it is easier to avoid some sources of film contamination and to provide better control of ion energies and fluxes. Additional effects occur in the ion beam process because of the relatively small degree of thermalization resulting from gas phase collisions with both the ion beam and atoms sputtered from the target. These effects may be either beneficial or detrimental to the film properties, depending on the material and deposition conditions. Ion beam deposition is particularly suited to the deposition of multi-component films and layered structures, and can in principle be extended to a complete device fabrication process. However, complex phenomena occur in the deposition of many materials of high technical interest which make it desirable to monitor the film growth at the monolayer level. It is possible to make use of ion-surface interactions to provide a full suite of surface analytical capabilities in one instrument, and this data may be obtained at ambient pressures which are far too high for conventional surface analysis techniques. Such an instrument is under development and its current performance characteristics and anticipated capabilities are described

  15. Glow discharge-deposited amorphous silicon films for low-cost solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Grabmaier, J G; Plaettner, R D; Stetter, W [Siemens A.G., Muenchen (Germany, F.R.). Forschungslaboratorien

    1980-01-01

    Due to their high absorption constant, glow discharge-deposited amorphous silicon (a-Si) films are of great interest for low-cost solar cells. Using SiH/sub 4/ and SiX/sub 4//H/sub 2/ (X = Cl or F) gas mixtures in an inductively or capacitively excited reactor, a-Si films with thicknesses up to several micrometers were deposited on substrates of glass, silica and silicon. The optical and electrical properties of the films were determined by measuring the IR absorption spectra, dark conductivity, photoconductivity, and photoluminescence. Hydrogen, chlorine, or fluorine were incorporated in the films in order to passivate dangling bonds in the amorphous network.

  16. Rapid deposition process for zinc oxide film applications in pyroelectric devices

    International Nuclear Information System (INIS)

    Hsiao, Chun-Ching; Yu, Shih-Yuan

    2012-01-01

    Aerosol deposition (AD) is a rapid process for the deposition of films. Zinc oxide is a low toxicity and environmentally friendly material, and it possesses properties such as semiconductivity, pyroelectricity and piezoelectricity without the poling process. Therefore, AD is used to accelerate the manufacturing process for applications of ZnO films in pyroelectric devices. Increasing the temperature variation rate in pyroelectric films is a useful method for enhancing the responsivity of pyroelectric devices. In the present study, a porous ZnO film possessing the properties of large heat absorption and high temperature variation rate is successfully produced by the AD rapid process and laser annealing for application in pyroelectric devices. (paper)

  17. High dielectric constant PrYxOy sensing films electrolyte-insulator-semiconductor pH-sensor for the detection of urea

    International Nuclear Information System (INIS)

    Wu, Min-Hsien; Lee, Cheng-Da; Pan, Tung-Ming

    2009-01-01

    In this paper, we describe the structural and sensing properties of high-k PrY x O y sensing films deposited on Si substrates through reactive co-sputtering. Secondary ion mass spectrometry and atomic force microscopy were employed to analyze the compositional and morphological features of these films after annealing at various temperatures. The electrolyte-insulator-semiconductor (EIS) device incorporating a PrY x O y sensing membrane that had been annealed at 800 o C exhibited good sensing characteristics, including a high sensitivity (59.07 mV pH -1 in solutions from pH 2 to 12), a low hysteresis voltage (2.4 mV in the pH loop 7 → 4 → 7 → 10 → 7), and a small drift rate (0.62 mV h -1 in the buffer solution at pH 7). The PrY x O y EIS device also showed a high selective response towards H + . This improvement can be attributed to the small number of crystal defects and the large surface roughness. In addition, the enzymatic EIS-based urea biosensor incorporating a high-k PrY x O y sensing film annealed at 800 o C allowed the potentiometric analysis of urea, at concentrations ranging from 1 to 16 mM, with a sensitivity of 9.59 mV mM -1 .

  18. Nanostructured titanium/diamond-like carbon multilayer films: deposition, characterization, and applications.

    Science.gov (United States)

    Dwivedi, Neeraj; Kumar, Sushil; Malik, Hitendra K

    2011-11-01

    Titanium/diamond-like carbon multilayer (TDML) films were deposited using a hybrid system combining radio frequency (RF)-sputtering and RF-plasma enhanced chemical vapor deposition (PECVD) techniques under a varied number of Ti/diamond-like carbon (DLC) bilayers from 1 to 4, at high base pressure of 1 × 10(-3) Torr. The multilayer approach was used to create unique structures such as nanospheres and nanorods in TDML films, which is confirmed by scanning electron microscopy (SEM) analysis and explained by a hypothetical model. Surface composition was evaluated by X-ray photoelectron spectroscopy (XPS), whereas energy dispersive X-ray analysis (EDAX) and time-of-flight secondary ion mass spectrometer (ToF-SIMS) measurements were performed to investigate the bulk composition. X-ray diffraction (XRD) was used to evaluate the phase and crystallinity of the deposited TDML films. Residual stress in these films was found to be significantly low. These TDML films were found to have excellent nanomechanical properties with maximum hardness of 41.2 GPa. In addition, various nanomechanical parameters were calculated and correlated with each other. Owing to metallic interfacial layer of Ti in multilayer films, the optical properties, electrical properties, and photoluminescence were improved significantly. Due to versatile nanomechanical properties and biocompatibility of DLC and DLC based films, these TDML films may also find applications in biomedical science.

  19. IR emission and electrical conductivity of Nd/Nb-codoped TiO{sub x} (1.5 < x < 2) thin films grown by pulsed-laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Tchiffo-Tameko, C.; Cachoncinlle, C. [GREMI, UMR 7344 CNRS-Université Orléans, 45067 Orléans Cedex 2 (France); Perriere, J. [Sorbonne Universités, UPMC Université Paris 06, UMR 7588, INSP, 75005 Paris (France); CNRS, UMR 7588, INSP, 75005 Paris (France); Nistor, M. [NILPRP, L 22 P.O. Box MG-36, 77125 Bucharest-Magurele (Romania); Petit, A.; Aubry, O. [GREMI, UMR 7344 CNRS-Université Orléans, 45067 Orléans Cedex 2 (France); Pérez Casero, R. [Departamento de Física Aplicada, Universidad Autónoma de Madrid, 28049 Madrid (Spain); Millon, E., E-mail: eric.millon@univ-orleans.fr [GREMI, UMR 7344 CNRS-Université Orléans, 45067 Orléans Cedex 2 (France)

    2016-12-15

    Highlights: • Nd/Nb-codoped TiO{sub 2} PLD films are electrically insulating and transparent in the UV visible NIR spectral domain. • Nd/Nb-codoped oxygen deficient TiO{sub x} (x ≈ 1.5) films are conductive and absorbent. • IR emission of Nd{sup 3+} in codoped TiO{sub x} films is quenched due to oxygen deficiency. • High Nb-doping rate decreases the IR emission of Nd{sup 3+} in Nd/Nb-codoped TiO{sub 2} films. - Abstract: The effect of the co-doping with Nd and Nb on electrical and optical properties of TiO{sub x} films is reported. The role of oxygen vacancies on the physical properties is also evidenced. The films are grown by pulsed-laser deposition onto (001) sapphire and (100) silicon substrates. The substrate temperature was fixed at 700 °C. To obtain either stoichiometric (TiO{sub 2}) or highly oxygen deficient (TiO{sub x} with x < 1.6) thin films, the oxygen partial pressure was adjusted at 10{sup −1} and 10{sup −6} mbar, respectively. 1%Nd-1%Nb, 1%Nd-5%Nb and 5%Nd-1%Nb co-doped TiO{sub 2} were used as bulk ceramic target. Composition, structural and morphological properties of films determined by Rutherford backscattering spectroscopy, X-ray diffraction and scanning electron microscopy, are correlated to their optical (UV–vis transmission and photoluminescence) and electrical properties (resistivity at room temperature). The most intense Nd{sup 3+} emission in the IR domain is obtained for stoichiometric films. Codoping Nd-TiO{sub x} films by Nb{sup 5+} ions is found to decrease the photoluminescence efficiency. The oxygen pressure during the growth allows to tune the optical and electrical properties: insulating and highly transparent (80% in the visible range) Nd/Nb codoped TiO{sub 2} films are obtained at high oxygen pressure, while conductive and absorbent films are grown under low oxygen pressure (10{sup −6} mbar).

  20. Pulsed-laser deposition and growth studies of Bi3Fe5O12 thin films

    International Nuclear Information System (INIS)

    Lux, Robert; Heinrich, Andreas; Leitenmeier, Stephan; Koerner, Timo; Herbort, Michael; Stritzker, Bernd

    2006-01-01

    Magneto-optical garnets are attractive because of their high Faraday rotation and low optical loss in the near infrared. Therefore their use is generally in nonreciprocal devices, i.e., as optical isolators in optical communication. In this paper we present data concerning the deposition of Bi 3 Fe 5 O 12 (BIG) thin films on (100) and (111) Gd 3 Ga 5 O 12 substrates using pulsed-laser deposition. Laser-induced processes on the surface of the oxide target used for ablation were analyzed and numerous films were deposited. We found the BIG film quality to be strongly affected by oxygen pressure, laser energy density, and the Bi/Fe film ratio, whereas temperature had a minor influence. We also investigated the BIG-film deposition using a target pressed from metallic Bi and Fe powders and found information on the growth behavior of BIG. We report on details of the film deposition and film properties determined by environmental scanning electron microscopy, energy dispersive x-ray analysis, Rutherford backscattering spectroscopy, and x-ray diffraction. In addition, we determined the Faraday rotation of the films

  1. Magnetic domains in Co-cluster assembled films deposited by LECBD

    International Nuclear Information System (INIS)

    Dumas-Bouchiat, F.; Nagaraja, H.S.; Rossignol, F.; Champeaux, C.; Catherinot, A.

    2005-01-01

    Cobalt aggregates prepared using a cluster beam generator have been deposited on Si(100) substrate leading to thin films of randomly assembled Co nanoparticles which exhibit a spherical shape with a mono-dispersed diameter distribution centred around 9nm. Films with thickness ranging from 50 to 550nm are investigated using magnetic force microscopy (MFM) and results show the presence of twisted magnetic domains. An in-plane magnetic field applied during the growth of the layer leads to the formation of magnetic stripe domains but we observe a similar behaviour if an in-plane magnetic field is applied after the deposition. This indicates that probably the magnetic field applied during the film growth does not drive its magnetic structure. Finally, the measured variation of magnetic domain width D reveals a t dependence, where t is the film thickness, and is independent of the magnetic history of the films

  2. Silicon surface passivation using thin HfO2 films by atomic layer deposition

    International Nuclear Information System (INIS)

    Gope, Jhuma; Vandana; Batra, Neha; Panigrahi, Jagannath; Singh, Rajbir; Maurya, K.K.; Srivastava, Ritu; Singh, P.K.

    2015-01-01

    Graphical abstract: - Highlights: • HfO 2 films using thermal ALD are studied for silicon surface passivation. • As-deposited thin film (∼8 nm) shows better passivation with surface recombination velocity (SRV) <100 cm/s. • Annealing improves passivation quality with SRV ∼20 cm/s for ∼8 nm film. - Abstract: Hafnium oxide (HfO 2 ) is a potential material for equivalent oxide thickness (EOT) scaling in microelectronics; however, its surface passivation properties particularly on silicon are not well explored. This paper reports investigation on passivation properties of thermally deposited thin HfO 2 films by atomic layer deposition system (ALD) on silicon surface. As-deposited pristine film (∼8 nm) shows better passivation with <100 cm/s surface recombination velocity (SRV) vis-à-vis thicker films. Further improvement in passivation quality is achieved with annealing at 400 °C for 10 min where the SRV reduces to ∼20 cm/s. Conductance measurements show that the interface defect density (D it ) increases with film thickness whereas its value decreases after annealing. XRR data corroborate with the observations made by FTIR and SRV data.

  3. Lead-doped electron-beam-deposited Bi-Sr-Ca-Cu-O superconducting thin films

    Energy Technology Data Exchange (ETDEWEB)

    Agnihotry, S.A.; Saini, K.K.; Kant, C.; Sharma, C.P.; Ekbote, S.N.; Asthana, P.; Nagpal, K.C.; Chandra, S. (National Physical Lab., New Delhi (India))

    1991-03-20

    Superconducting thin films of the lead-doped Bi-Sr-Ca-Cu-O system have been prepared on (100) single-crystal SrTiO{sub 3} substrates by an electron beam deposition technique using a single sintered pellet as the evaporation source. As-deposited films are amorphous and non-superconducting; post-deposition annealing at an optimized temperature in air has been found to result in crystalline and superconducting films. The superconducting characteristics of the films have been observed to be sensitive not only to the duration and temperature of post-deposition annealing but also to the lead content and the sintering parameters for the pellet to be used as the evaporation source. A pellet with nominal composition Bi{sub 3}Pb{sub 1}Sr{sub 3}Ca{sub 3}Cu{sub 4}O{sub y} that had been sintered for 200 h zero resistivity Tc{sup 0}=112 K. However, films deposited using such a pellet as the evaporation source had Tc{sup 0} {approx equal} 73-78 K, as had the films deposited from a pellet without any lead. We investigated systematically films deposited from pellets with more lead and sintered for different durations. It is evident from these investigations that pellets with nominal composition Bi{sub 3}Pb{sub 2}Sr{sub 3}Ca{sub 3}Cu{sub 4}O{sub y}, i.e. with an excess of lead, and sintered for about 75 h when used as the evaporation source yield films with Tc{sup 0} {approx equal} 100 K when annealed between 835 and 840deg C for an optimized long duration. The films are characterized by X-ray diffraction and energy-dispersive spectroscopy techniques and have been found to be highly c axis oriented. The effect of lead in promoting a high Tc{sup 0}=110 K phase seems to be similar to that in bulk ceramics. (orig.).

  4. X-ray absorption study of silicon carbide thin film deposited by pulsed laser deposition

    International Nuclear Information System (INIS)

    Monaco, G.; Suman, M.; Garoli, D.; Pelizzo, M.G.; Nicolosi, P.

    2011-01-01

    Silicon carbide (SiC) is an important material for several applications ranging from electronics to Extreme UltraViolet (EUV) space optics. Crystalline cubic SiC (3C-SiC) has a wide band gap (near 2.4 eV) and it is a promising material to be used in high frequency and high energetic electronic devices. We have deposited, by means of pulsed laser deposition (PLD), different SiC films on sapphire and silicon substrates both at mild (650 o C) and at room temperature. The resulted films have different structures such as: highly oriented polycrystalline, polycrystalline and amorphous which have been studied by means of X-ray absorption spectroscopy (XAS) near the Si L 2,3 edge and the C K edge using PES (photoemission spectroscopy) for the analysis of the valence bands structure and film composition. The samples obtained by PLD have shown different spectra among the grown films, some of them showing typical 3C-SiC absorption structure, but also the presence of some Si-Si and graphitic bonds.

  5. Deposition of highly (111)-oriented PZT thin films by using metal organic chemical deposition

    CERN Document Server

    Bu, K H; Choi, D K; Seong, W K; Kim, J D

    1999-01-01

    Lead zirconate titanate (PZT) thin films have been grown on Pt/Ta/SiNx/Si substrates by using metal organic chemical vapor deposition with Pb(C sub 2 H sub 5) sub 4 , Zr(O-t-C sub 4 H sub 9) sub 4 , and Ti(O-i-C sub 3 H sub 7) sub 4 as source materials and O sub 2 as an oxidizing gas. The Zr fraction in the thin films was controlled by varying the flow rate of the Zr source material. The crystal structure and the electrical properties were investigated as functions of the composition. X-ray diffraction analysis showed that at a certain range of Zr fraction, highly (111)-oriented PZT thin films with no pyrochlore phases were deposited. On the other hand, at low Zr fractions, there were peaks from Pb-oxide phases. At high Zr fractions, peaks from pyrochlore phase were seen. The films also showed good electrical properties, such as a high dielectric constant of more than 1200 and a low coercive voltage of 1.35 V.

  6. Ellipsometric investigations of pyrolytically deposited thin indium oxide films

    International Nuclear Information System (INIS)

    Winkler, U.

    1980-01-01

    Ellipsometric measurements have been carried out of thin indium oxide films deposited pyrolytically on glass substrates. It was found that the roughness of the films affected the measuring results. Therefore, only after applying a two-layer model a reasonable interpretation of the measuring results became possible

  7. Thickness control in electrophoretic deposition of WO3 nanofiber thin films for solar water splitting

    International Nuclear Information System (INIS)

    Fang, Yuanxing; Lee, Wei Cheat; Canciani, Giacomo E.; Draper, Thomas C.; Al-Bawi, Zainab F.; Bedi, Jasbir S.; Perry, Christopher C.; Chen, Qiao

    2015-01-01

    Graphical abstract: - Highlights: • A novel method combining electrospinning and electrophoretic deposition was established for the creation of nanostructured semiconductor thin films. • The created thin films displayed a high chemical stability with a controllable thickness. • The PEC water splitting performance of the thin films was optimized by fine-tuning the thickness of the films. • A maximum photoconversion efficiency was achieved by 18 μm nanofibrous thin films. - Abstract: Electrophoretic deposition (EPD) of ground electrospun WO 3 nanofibers was applied to create photoanodes with controlled morphology for the application of photoelectrochemical (PEC) water splitting. The correlations between deposition parameters and film thicknesses were investigated with theoretical models to precisely control the morphology of the nanostructured porous thin film. The photoconversion efficiency was further optimized as a function of film thickness. A maximum photoconversion efficiency of 0.924% from electrospun WO 3 nanofibers that EPD deposited on a substrate was achieved at a film thickness of 18 μm.

  8. Superconducting niobium nitride films deposited by unbalanced magnetron sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Olaya, J.J. [Departamento de Ingenieria Mecanica y Mecatronica, Universidad Nacional de Colombia, Ciudad Universitaria, Carrera 30 Numero 45-03, Bogota (Colombia); Huerta, L. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, CU Coyoacan, Mexico D.F. 04510 (Mexico); Rodil, S.E. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, CU Coyoacan, Mexico D.F. 04510 (Mexico)], E-mail: ser42@iim.unam.mx; Escamilla, R. [Instituto de Investigaciones en Materiales, Universidad Nacional Autonoma de Mexico, Circuito exterior s/n, CU Coyoacan, Mexico D.F. 04510 (Mexico)

    2008-10-01

    Niobium nitride (NbN) thin films were deposited under different configurations of the magnetic field using a magnetron sputtering system. The magnetic field configuration varied from balanced to unbalanced leading to different growth conditions and film properties. The aim of the paper was to identify correlations between deposition conditions, film properties and the electrical properties, specially the superconductive critical temperature (T{sub C}). The results suggested that there is a critical deposition condition, having an optimum ion-atom arrival ratio that promotes a well ordered and textured nanocrystalline structure (cubic phase) with the minimum residual stress and only under this condition a high critical temperature (16K) was obtained. Lower T{sub C} values around 12K were obtained for the NbN samples having a lower degree of structural perfection and texture, and a larger fraction of intergranular voids. On the other hand, analysis of valence-band spectra showed that the contribution of the Nb 4d states remained essentially constant while the higher T{sub C} was correlated to a higher contribution of the N 2p states.

  9. Superconducting niobium nitride films deposited by unbalanced magnetron sputtering

    International Nuclear Information System (INIS)

    Olaya, J.J.; Huerta, L.; Rodil, S.E.; Escamilla, R.

    2008-01-01

    Niobium nitride (NbN) thin films were deposited under different configurations of the magnetic field using a magnetron sputtering system. The magnetic field configuration varied from balanced to unbalanced leading to different growth conditions and film properties. The aim of the paper was to identify correlations between deposition conditions, film properties and the electrical properties, specially the superconductive critical temperature (T C ). The results suggested that there is a critical deposition condition, having an optimum ion-atom arrival ratio that promotes a well ordered and textured nanocrystalline structure (cubic phase) with the minimum residual stress and only under this condition a high critical temperature (16K) was obtained. Lower T C values around 12K were obtained for the NbN samples having a lower degree of structural perfection and texture, and a larger fraction of intergranular voids. On the other hand, analysis of valence-band spectra showed that the contribution of the Nb 4d states remained essentially constant while the higher T C was correlated to a higher contribution of the N 2p states

  10. Supercritical fluid molecular spray film deposition and powder formation

    Science.gov (United States)

    Smith, Richard D.

    1986-01-01

    Solid films are deposited, or fine powders formed, by dissolving a solid material into a supercritical fluid solution at an elevated pressure and then rapidly expanding the solution through a short orifice into a region of relatively low pressure. This produces a molecular spray which is directed against a substrate to deposit a solid thin film thereon, or discharged into a collection chamber to collect a fine powder. Upon expansion and supersonic interaction with background gases in the low pressure region, any clusters of solvent are broken up and the solvent is vaporized and pumped away. Solute concentration in the solution is varied primarily by varying solution pressure to determine, together with flow rate, the rate of deposition and to control in part whether a film or powder is produced and the granularity of each. Solvent clustering and solute nucleation are controlled by manipulating the rate of expansion of the solution and the pressure of the lower pressure region. Solution and low pressure region temperatures are also controlled.

  11. Co-depositing Sn controls the growth of Al films as surfactant

    International Nuclear Information System (INIS)

    Barna, P. B.; Kovacs, A.; Misjak, F.; Eisenmenger-Sittner, C.; Bangert, H.; Tomastik, C.

    2002-01-01

    The present study investigates the influence of co-deposited Sn on the atomic processes involved in the structure evolution of vapour-deposited Al films. The films were prepared in HV by thermal evaporation from W sources at 1600 C substrate temperature either on Si wafers covered by a thermally grown oxide or on air cleaved mica. By applying the half-shadow technique, pure and Sn-doped Al films could be deposited simultaneously. The samples were investigated by AFM, scanning AES, X-TEM as well as by X-ray diffraction methods. The grain growth of Al is promoted by Sn in all stages of the film formation. Scanning AES measurements prove the existence of a wetting Sn layer both on the surface of Al islands and on the surface of the continuos Al layer. Excess Sn forms islands on the growth surface. The surface of pure Al layers exhibits grain boundary grooves and bunches of growth steps around terraces, while that of the Sn doped layers is more rounded. The substrate-film interface was covered by a thin Sn layer. AES measurements also prove the presence of Sn on the growth surface of Al films even after termination of Sn addition. Results of these experiments indicate that during co-deposition of Al and Sn the impinging Al atoms penetrate the wetting layer and are incorporated into the already existing Al crystals. A model has been developed for describing the growth of Al crystals in the presence Sn. (Authors)

  12. High-rate deposition of photocatalytic TiO2 films by oxygen plasma assist reactive evaporation method

    International Nuclear Information System (INIS)

    Sakai, Tetsuya; Kuniyoshi, Yuji; Aoki, Wataru; Ezoe, Sho; Endo, Tatsuya; Hoshi, Yoichi

    2008-01-01

    High-rate deposition of titanium dioxide (TiO 2 ) film was attempted using oxygen plasma assisted reactive evaporation (OPARE) method. Photocatalytic properties of the film were investigated. During the deposition, the substrate temperature was fixed at 400 deg. C. The film deposition rate can be increased by increasing the supply of titanium atoms to the substrate, although oversupply of the titanium atoms causes oxygen deficiency in the films, which limits the deposition rate. The film structure depends strongly on the supply ratio of oxygen molecules to titanium atoms O 2 /Ti and changes from anatase to rutile structure as the O 2 /Ti supply ratio increased. Consequently, the maximum deposition rates of 77.0 nm min -1 and 145.0 nm min -1 were obtained, respectively, for the anatase and rutile film. Both films deposited at such high rates showed excellent hydrophilicity and organic decomposition performance. Even the film with rutile structure deposited at 145.0 nm min -1 had a contact angle of less than 2.5 deg. by UV irradiation for 5.0 h and an organics-decomposition performance index of 8.9 [μmol l -1 min -1 ] for methylene blue

  13. Diamond-like carbon films deposited by a hybrid ECRCVD system

    International Nuclear Information System (INIS)

    Guo, C.T.; Dittrich, K.-H.

    2007-01-01

    A novel hybrid technique for diamond-like carbon (DLC) film deposition has been developed. This technique combines the electron cyclotron resonance chemical vapor deposition (ECRCVD) of C 2 H 2 and metallic magnetron sputtering. Here we described how DLC film is used for a variety of applications such as stamper, PCB micro-tools, and threading form-tools by taking advantage of hybrid ECRCVD system. The structure of the DLC films is delineated by a function of bias voltages by Raman spectroscopy. This function includes parameters such as dependence of G peak positions and the intensity ratio (I D /I G ). Atomic force microscope (AFM) examines the root-mean-square (R.M.S.) roughness and the surface morphology. Excellent adhesion and lower friction coefficients of a DLC film were also assessed

  14. Influence of solution deposition rate on properties of V_2O_5 thin films deposited by spray pyrolysis technique

    International Nuclear Information System (INIS)

    Abd–Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-01-01

    Vanadium oxide (V_2O_5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl_3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films’ crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V_2O_5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  15. Ion assisted deposition of SiO2 film from silicon

    Science.gov (United States)

    Pham, Tuan. H.; Dang, Cu. X.

    2005-09-01

    Silicon dioxide, SiO2, is one of the preferred low index materials for optical thin film technology. It is often deposited by electron beam evaporation source with less porosity and scattering, relatively durable and can have a good laser damage threshold. Beside these advantages the deposition of critical optical thin film stacks with silicon dioxide from an E-gun was severely limited by the stability of the evaporation pattern or angular distribution of the material. The even surface of SiO2 granules in crucible will tend to develop into groove and become deeper with the evaporation process. As the results, angular distribution of the evaporation vapor changes in non-predicted manner. This report presents our experiments to apply Ion Assisted Deposition process to evaporate silicon in a molten liquid form. By choosing appropriate process parameters we can get SiO2 film with good and stable property.

  16. Cathodoluminescence characteristics of polycrystalline diamond films grown by cyclic deposition method

    International Nuclear Information System (INIS)

    Seo, Soo-Hyung; Park, Chang-Kyun; Park, Jin-Seok

    2002-01-01

    Polycrystalline diamond films were deposited using a cyclic deposition method where the H 2 plasma for etching (t E ) and the CH 4 +H 2 plasma for growing (t G ) are alternately modulated with various modulation ratios (t E /t G ). From the measurement of full width at half maximum and I D /I G intensity ratio obtained from the Raman spectra, it was found that diamond defects and non-diamond carbon phases were reduced a little by adopting the cyclic deposition method. From the cathodoluminescence (CL) characteristics measured for deposited films, the nitrogen-related band (centered at approximately 590 nm) as well as the so-called band-A (centered at approximately 430 nm) were observed. As the cyclic ratio t E /t G increased, the relative intensity ratio of band-A to nitrogen-related band (I A /I N ) was found to monotonically decrease. In addition, analysis of X-ray diffraction spectra and scanning electron microscope morphologies showed that CL characteristics of deposited diamond films were closely related to their crystal orientations and morphologies

  17. Deposition of superconducting (Cu, C)-Ba-O films by pulsed laser deposition at moderate temperature

    International Nuclear Information System (INIS)

    Yamamoto, Tetsuro; Kikunaga, Kazuya; Obara, Kozo; Terada, Norio; Kikuchi, Naoto; Tanaka, Yasumoto; Tokiwa, Kazuyasu; Watanabe, Tsuneo; Sundaresan, Athinarayanan; Shipra

    2007-01-01

    Superconducting (Cu, C)-Ba-O thin films have been epitaxially grown on (100) SrTiO 3 at a low growth temperature of 500-600 deg. C by pulsed laser deposition. The dependences of their crystallinity and transport properties on preparation conditions have been investigated in order to clarify the dominant parameters for carbon incorporation and the emergence of superconductivity. It has been revealed that the CO 3 content in the films increases with increasing both the parameters of partial pressure of CO 2 during film growth and those of growth rate and enhancement of superconducting properties. The present study has also revealed that the structural and superconducting properties of the (Cu, C)-Ba-O films are seriously deteriorated by the irradiation of energetic particles during deposition. Suppression of the radiation damage is another key for a high and uniform superconducting transition. By these optimizations, a superconducting onset temperature above 50 K and a zero-resistance temperature above 40 K have been realized

  18. Morphology of CdSe films prepared by chemical bath deposition: The role of substrate

    International Nuclear Information System (INIS)

    Simurda, M.; Nemec, P.; Formanek, P.; Nemec, I.; Nemcova, Y.; Maly, P.

    2006-01-01

    We combine optical spectroscopy and transmission electron microscopy to study the growth and the structural morphology of CdSe films prepared by chemical bath deposition (CBD) on two considerably different substrates. The films grown on glass are compact and strongly adherent to the substrate. On the contrary, the films deposited on carbon-coated glass (with approx. 20 nm thick amorphous carbon layer) are only loosely adherent to the substrate. Using transmission electron microscopy we revealed that even though the films grown on both substrates are assembled from closely spaced nanocrystals with diameter of about 5 nm, the films morphology on the sub-micrometer scale is considerably different in the two cases. While the films deposited on glass are rather compact, the films prepared on carbon layer have high porosity and are formed by interconnected spheres which size is dependent on the duration of deposition (e.g. 155 nm for 6 h and 350 nm for 24 h). This shows that the choice of the substrate for CBD has a stronger influence on the sub-micrometer film morphology than on the properties of individual nanocrystals forming the film

  19. Effect of deposition temperature on the properties of ZnO-doped indium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Seung Jin; Cho, Shin Ho [Silla University, Busan (Korea, Republic of)

    2014-05-15

    ZnO-doped In{sub 2}O{sub 3} (ZIO) thin films were deposited on quartz substrates at various deposition temperatures by radio-frequency magnetron sputtering. All the ZIO thin films showed a significant dependence on the deposition temperature. A strong preferential growth orientation was observed for all samples except the one deposited at 25 .deg. C. As the deposition temperature was increased, the crystalline orientation of the main (222) plane did not change, but the full width at half maximum got smaller and the intensity increased rapidly. The ZIO thin film deposited at 100 .deg. C showed the highest figure of merit with an average particle size of 60 nm, a bandgap energy of 3.51 eV, an electrical resistivity of 2.63 x 10{sup -3} Ωcm, and an electron concentration of 4.99 x 10{sup 20} cm{sup -3}. A blue-shift of optical bandgap energy was observed with increasing deposition temperature. These results suggest that the optimum deposition temperature for growing high-quality ZIO films is 100 .deg. C and that the structural, optical, and electrical properties of ZIO thin films can be modulated by controlling the deposition temperature.

  20. Nucleation and strain-stabilization during organic semiconductor thin film deposition.

    Science.gov (United States)

    Li, Yang; Wan, Jing; Smilgies, Detlef-M; Bouffard, Nicole; Sun, Richard; Headrick, Randall L

    2016-09-07

    The nucleation mechanisms during solution deposition of organic semiconductor thin films determine the grain morphology and may influence the crystalline packing in some cases. Here, in-situ optical spectromicroscopy in reflection mode is used to study the growth mechanisms and thermal stability of 6,13-bis(trisopropylsilylethynyl)-pentacene thin films. The results show that the films form in a supersaturated state before transforming to a solid film. Molecular aggregates corresponding to subcritical nuclei in the crystallization process are inferred from optical spectroscopy measurements of the supersaturated region. Strain-free solid films exhibit a temperature-dependent blue shift of optical absorption peaks due to a continuous thermally driven change of the crystalline packing. As crystalline films are cooled to ambient temperature they become strained although cracking of thicker films is observed, which allows the strain to partially relax. Below a critical thickness, cracking is not observed and grazing incidence X-ray diffraction measurements confirm that the thinnest films are constrained to the lattice constants corresponding to the temperature at which they were deposited. Optical spectroscopy results show that the transition temperature between Form I (room temperature phase) and Form II (high temperature phase) depends on the film thickness, and that Form I can also be strain-stabilized up to 135 °C.

  1. Pulsed laser deposition and characterisation of thin superconducting films

    Energy Technology Data Exchange (ETDEWEB)

    Morone, A [CNR, zona industriale di Tito Scalo, Potenza (Italy). Istituto per i Materiali Speciali

    1996-09-01

    Same concepts on pulsed laser deposition of thin films will be discussed and same examples of high transition temperature (HTc) BiSrCaCuO (BISCO) and low transition temperature NbN/MgO/NbN multilayers will be presented. X-ray and others characterizations of these films will be reported and discussed. Electrical properties of superconducting thin films will be realized as a function of structural and morphological aspect.

  2. Growth and microstructure of columnar Y-doped SrZrO{sub 3} films deposited on Pt-coated MgO by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Luo, Sijun, E-mail: sluo1@tulane.edu; Riggs, Brian C.; Shipman, Joshua T.; Adireddy, Shiva; Sklare, Samuel C.; Chrisey, Douglas B., E-mail: dchrisey@tulane.edu [Department of Physics and Engineering Physics, Tulane University, New Orleans, Louisiana 70118 (United States); Zhang, Xiaodong; Koplitz, Brent [Department of Chemistry, Tulane University, New Orleans, Louisiana 70118 (United States)

    2015-07-21

    Direct integration of proton conductor films on Pt-coated substrates opens the way to film-based proton transport devices. Columnar SrZr{sub 0.95}Y{sub 0.05}O{sub 3−δ} (SZY) films with dense microstructure were deposited on Pt-coated MgO(100) substrates at 830 °C by pulsed laser deposition. The optimal window of ambient O{sub 2} pressure for good crystallinity of SZY films is from 400 to 600 mTorr. The ambient O{sub 2} compresses the plasma plume of SZY and increases the deposition rate. The 10 nm thick Ti adhesion layer on MgO(100) greatly affects the orientation of the sputtered Pt layers. Pt deposited directly on MgO shows a highly (111)-preferred orientation and leads to preferentially oriented SZY films while the addition of a Ti adhesion layer makes Pt show a less preferential orientation that leads to randomly oriented SZY films. The RMS surface roughness of preferentially oriented SZY films is larger than that of randomly oriented SZY films deposited under the same ambient O{sub 2} pressure. As the O{sub 2} pressure increased, the RMS surface roughness of preferentially oriented SZY films increased, reaching 45.7 nm (2.61% of film thickness) at 600 mTorr. This study revealed the ambient O{sub 2} pressure and orientation dependent surface roughness of SZY films grown on Pt-coated MgO substrates, which provides the potential to control the surface microstructure of SZY films for electrochemical applications in film-based hydrogen devices.

  3. Diamondlike carbon deposition on plastic films by plasma source ion implantation

    CERN Document Server

    Tanaka, T; Shinohara, M; Takagi, T

    2002-01-01

    Application of pulsed high negative voltage (approx 10 mu s pulse width, 300-900 pulses per second) to a substrate is found to induce discharge, thereby increasing ion current with an inductively coupled plasma source. This plasma source ion beam implantation (PSII) technique is investigated for the pretreatment and deposition of diamond-like carbon (DLC) thin layer on polyethylene terepthalate (PET) film. Pretreatment of PET with N sub 2 and Ar plasma is expected to provide added barrier effects when coupled with DLC deposition, with possible application to fabrication of PET beverage bottles. PSII treatment using N sub 2 and Ar in separate stages is found to change the color of the PET film, effectively increasing near-ultraviolet absorption. The effects of this pretreatment on the chemical bonding of C, H, and O are examined by x-ray photoelectron spectroscopy (XPS). DLC thin film was successfully deposited on the PET film. The surface of the DLC thin layer is observed to be smooth by scanning electron mic...

  4. Effects of filtered cathodic vacuum arc deposition (FCVAD) conditions on photovoltaic TiO{sub 2} films

    Energy Technology Data Exchange (ETDEWEB)

    Aramwit, C. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Intarasiri, S. [Science and Technology Research Institute, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Bootkul, D. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Tippawan, U. [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Supsermpol, B.; Seanphinit, N. [Department of General Science, Faculty of Science, Srinakharinwirot University, Bangkok 10110 (Thailand); Western Digital Thailand Co. Ltd., Ayutthaya 13160 (Thailand); Ruangkul, W. [Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand); Yu, L.D., E-mail: yuld@thep-center.org [Plasma and Beam Physics Research Facility, Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thailand Center of Excellence in Physics, Commission on Higher Education, 328 Si Ayutthaya Road, Bangkok 10400 (Thailand)

    2014-08-15

    Highlights: • Titanium dioxide films were synthesized using the FCVAD technique. • Various FCVAD conditions were tested. • The TiO{sub 2} films were characterized. • The FCVAD condition effects on the film characteristics were studied. • The O{sub 2} pressure had the most important effect on the film quality. - Abstract: Titanium dioxide (TiO{sub 2}) films for photovoltaic applications were synthesized using filtered cathodic vacuum arc deposition (FCVAD) technique. Various deposition conditions were tested for an optimal film formation. The conditions included the oxygen (O{sub 2}) pressure which was varied from a base pressure 10{sup −5} to 10{sup −4}, 10{sup −3}, 10{sup −2} and 10{sup −1} Torr, sample holder bias varied using 0 or −250 V, deposition time varied from 10, 20 to 30 min, and deposition distance varied from 1 to 3 cm. The deposited films were also annealed and compared with unannealed ones. The films under various conditions were characterized using optical microscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), energy-dispersive X-ray spectroscopy (EDS) and Raman spectroscopy techniques. The film transparency increased and thickness decreased to a nanoscale with increasing of the O{sub 2} pressure. The transparent deposited films contained stoichiometric titanium and oxygen under the medium O{sub 2} pressure. The as-deposited films were TiO{sub 2} containing some rutile but no anatase which needed annealing to form.

  5. Surface Acoustic Wave Monitor for Deposition and Analysis of Ultra-Thin Films

    Science.gov (United States)

    Hines, Jacqueline H. (Inventor)

    2015-01-01

    A surface acoustic wave (SAW) based thin film deposition monitor device and system for monitoring the deposition of ultra-thin films and nanomaterials and the analysis thereof is characterized by acoustic wave device embodiments that include differential delay line device designs, and which can optionally have integral reference devices fabricated on the same substrate as the sensing device, or on a separate device in thermal contact with the film monitoring/analysis device, in order to provide inherently temperature compensated measurements. These deposition monitor and analysis devices can include inherent temperature compensation, higher sensitivity to surface interactions than quartz crystal microbalance (QCM) devices, and the ability to operate at extreme temperatures.

  6. Nanocomposite metal amorphous-carbon thin films deposited by hybrid PVD and PECVD technique.

    Science.gov (United States)

    Teixeira, V; Soares, P; Martins, A J; Carneiro, J; Cerqueira, F

    2009-07-01

    Carbon based films can combine the properties of solid lubricating graphite structure and hard diamond crystal structure, i.e., high hardness, chemical inertness, high thermal conductivity and optical transparency without the crystalline structure of diamond. Issues of fundamental importance associated with nanocarbon coatings are reducing stress, improving adhesion and compatibility with substrates. In this work new nanocomposite coatings with improved toughness based in nanocrystalline phases of metals and ceramics embedded in amorphous carbon matrix are being developed within the frame of a research project: nc-MeNxCy/a-C(Me) with Me = Mo, Si, Al, Ti, etc. Carbide forming metal/carbon (Me/C) composite films with Me = Mo, W or Ti possess appropriate properties to overcome the limitation of pure DLC films. These novel coating architectures will be adopted with the objective to decrease residual stress, improve adherence and fracture toughness, obtain low friction coefficient and high wear-resistance. Nanocomposite DLC's films were deposited by hybrid technique using a PVD-Physically Vapor Deposition (magnetron sputtering) and Plasma Enhanced Chemical Vapor Deposition (PECVD), by the use of CH4 gas. The parameters varied were: deposition time, substrate temperature (180 degrees C) and dopant (Si + Mo) of the amorphous carbon matrix. All the depositions were made on silicon wafers and steel substrates precoated with a silicon inter-layer. The characterisation of the film's physico-mechanical properties will be presented in order to understand the influence of the deposition parameters and metal content used within the a-C matrix in the thin film properties. Film microstructure and film hybridization state was characterized by Raman Spectroscopy. In order to characterize morphology SEM and AFM will be used. Film composition was measured by Energy-Dispersive X-ray analysis (EDS) and by X-ray photoelectron spectroscopy (XPS). The contact angle for the produced DLC's on

  7. Single-crystal-like GdNdO{sub x} thin films on silicon substrates by magnetron sputtering and high-temperature annealing for crystal seed layer application

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Ziwei; Xiao, Lei; Liang, Renrong, E-mail: wang-j@tsinghua.edu.cn, E-mail: liangrr@tsinghua.edu.cn; Shen, Shanshan; Xu, Jun; Wang, Jing, E-mail: wang-j@tsinghua.edu.cn, E-mail: liangrr@tsinghua.edu.cn [Tsinghua National Laboratory for Information Science and Technology, Institute of Microelectronics, Tsinghua University, Beijing 100084 (China)

    2016-06-15

    Single-crystal-like rare earth oxide thin films on silicon (Si) substrates were fabricated by magnetron sputtering and high-temperature annealing processes. A 30-nm-thick high-quality GdNdO{sub x} (GNO) film was deposited using a high-temperature sputtering process at 500°C. A Gd{sub 2}O{sub 3} and Nd{sub 2}O{sub 3} mixture was used as the sputtering target, in which the proportions of Gd{sub 2}O{sub 3} and Nd{sub 2}O{sub 3} were controlled to make the GNO’s lattice parameter match that of the Si substrate. To further improve the quality of the GNO film, a post-deposition annealing process was performed at a temperature of 1000°C. The GNO films exhibited a strong preferred orientation on the Si substrate. In addition, an Al/GNO/Si capacitor was fabricated to evaluate the dielectric constant and leakage current of the GNO films. It was determined that the single-crystal-like GNO films on the Si substrates have potential for use as an insulator layer for semiconductor-on-insulator and semiconductor/insulator multilayer applications.

  8. UV pulsed laser deposition of magnetite thin films

    International Nuclear Information System (INIS)

    Parames, M.L.; Mariano, J.; Rogalski, M.S.; Popovici, N.; Conde, O.

    2005-01-01

    Magnetite thin films were grown by pulsed laser deposition in O 2 reactive atmosphere from Fe 3 O 4 targets. The ablated material was deposited onto Si(1 0 0) substrates at various temperatures up to 623 K. The temperature dependence of structure and stoichiometry was investigated by X-ray diffraction (XRD) and conversion electron Moessbauer spectroscopy (CEMS). The XRD results show that films grown between 483 and 623 K are obtained as pure phase magnetite with an estimated average crystallite size increasing from 14 to 35 nm, respectively. This is in agreement with the CEMS spectra analysis, indicating isomer shift and internal field values for both the T d and O h sites close to those reported for the bulk material and a random orientation of the magnetic moments. The influence of the deposition temperature on the estimated Fe (9-x)/3 O 4 stoichiometry is related to an increase in the vacancy concentration from 483 to 623 K

  9. Glancing angle deposition of thin films engineering the nanoscale

    CERN Document Server

    Hawkeye, Matthew M; Brett, Michael J

    2014-01-01

    This book provides a highly practical treatment of GLAD technology, gathering existing procedures, methodologies, and experimental designs into a single, cohesive volume which will be useful both as a ready reference for those in the field and as a definitive guide for those entering it. It covers: History and development of GLAD techniquesProperties and Characterization of GLAD fabricated filmsDesign and engineering of optical GLAD films including fabrication and testingPost-deposition processing and integrationDeposition systems for GLAD fabrication Also includes a patent survey of relevant literature and a survey of GLAD's wide range of material properties and diverse applications.

  10. Hydrogen absorption in thin ZnO films prepared by pulsed laser deposition

    International Nuclear Information System (INIS)

    Melikhova, O.; Čížek, J.; Lukáč, F.; Vlček, M.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.

    2013-01-01

    Highlights: ► Thin ZnO films and high quality ZnO crystal were electrochemically doped with hydrogen. ► Hydrogen absorbed in ZnO causes plastic deformation both in ZnO crystal and thin films. ► In ZnO crystal a sub-surface region with very high density of defects was formed. ► Moreover, plastic deformation causes specific surface modification of ZnO crystal. ► In ZnO films hydrogen-induced plastic deformation introduced defects in the whole film. -- Abstract: ZnO films with thickness of ∼80 nm were grown by pulsed laser deposition (PLD) on MgO (1 0 0) single crystal and amorphous fused silica (FS) substrates. Structural studies of ZnO films and a high quality reference ZnO single crystal were performed by slow positron implantation spectroscopy (SPIS). It was found that ZnO films exhibit significantly higher density of defects than the reference ZnO crystal. Moreover, the ZnO film deposited on MgO substrate exhibits higher concentration of defects than the film deposited on amorphous FS substrate most probably due to a dense network of misfit dislocations. The ZnO films and the reference ZnO crystal were subsequently loaded with hydrogen by electrochemical cathodic charging. SPIS characterizations revealed that absorbed hydrogen introduces new defects into ZnO

  11. Hydrogen absorption in thin ZnO films prepared by pulsed laser deposition

    Energy Technology Data Exchange (ETDEWEB)

    Melikhova, O., E-mail: oksivmel@yahoo.com [Charles University in Prague, Faculty of Mathematics and Physics, V Holesovickach 2, CZ-180 00 Praha 8 (Czech Republic); Čížek, J.; Lukáč, F.; Vlček, M. [Charles University in Prague, Faculty of Mathematics and Physics, V Holesovickach 2, CZ-180 00 Praha 8 (Czech Republic); Novotný, M.; Bulíř, J.; Lančok, J. [Institute of Physics, Academy of Sciences of the Czech Republic, Na Slovance 2, 182 21 Prague (Czech Republic); Anwand, W.; Brauer, G. [Institut für Strahlenphysik, Helmholtz-Zentrum Dresden-Rossendorf, PO Box 510 119, D-01314 Dresden (Germany); Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P. [National Centre for Plasma Science and Technology, School of Physical Sciences, Glasnevin, Dublin 9 (Ireland)

    2013-12-15

    Highlights: ► Thin ZnO films and high quality ZnO crystal were electrochemically doped with hydrogen. ► Hydrogen absorbed in ZnO causes plastic deformation both in ZnO crystal and thin films. ► In ZnO crystal a sub-surface region with very high density of defects was formed. ► Moreover, plastic deformation causes specific surface modification of ZnO crystal. ► In ZnO films hydrogen-induced plastic deformation introduced defects in the whole film. -- Abstract: ZnO films with thickness of ∼80 nm were grown by pulsed laser deposition (PLD) on MgO (1 0 0) single crystal and amorphous fused silica (FS) substrates. Structural studies of ZnO films and a high quality reference ZnO single crystal were performed by slow positron implantation spectroscopy (SPIS). It was found that ZnO films exhibit significantly higher density of defects than the reference ZnO crystal. Moreover, the ZnO film deposited on MgO substrate exhibits higher concentration of defects than the film deposited on amorphous FS substrate most probably due to a dense network of misfit dislocations. The ZnO films and the reference ZnO crystal were subsequently loaded with hydrogen by electrochemical cathodic charging. SPIS characterizations revealed that absorbed hydrogen introduces new defects into ZnO.

  12. Adhesion analysis for chromium nitride thin films deposited by reactive magnetron sputtering

    Science.gov (United States)

    Rusu, F. M.; Merie, V. V.; Pintea, I. M.; Molea, A.

    2016-08-01

    The thin film industry is continuously growing due to the wide range of applications that require the fabrication of advanced components such as sensors, biological implants, micro-electromechanical devices, optical coatings and so on. The selection regarding the deposition materials, as well as the deposition technology influences the properties of the material and determines the suitability of devices for certain real-world applications. This paper is focused on the adhesion force for several chromium nitride thin films obtained by reactive magnetron sputtering. All chromium nitride thin films were deposited on a silicon substrate, the discharge current and the argon flow being kept constant. The main purpose of the paper is to determine the influence of deposition parameters on the adhesion force. Therefore some of the deposition parameters were varied in order to study their effect on the adhesion force. Experimentally, the values of the adhesion force were determined in multiple points for each sample using the spectroscopy in point mode of the atomic force microscope. The obtained values were used to estimate the surface energy of the CrN thin films based on two existing mathematical models for the adhesion force when considering the contact between two bodies.

  13. Shape memory effect and microstructures of sputter-deposited Cu-Al-Ni films

    International Nuclear Information System (INIS)

    Minemura, T.; Andoh, H.; Kita, Y.; Ikuta, I.

    1985-01-01

    The shape memory effect has been found in many alloy systems which exhibit a thermoelastic martensite transformation. Cu-Al-Ni alloys exhibit an excellent shape memory effect in single crystalline states, but they have not yet been commercially used due to their brittle fracture along the grain boundaries in polycrystalline states. This letter reports the shape memory effect and microstructures of the sputter-deposited Cu-Al-Ni films. Cu-14%Al-4%Ni alloy ingot was prepared. A target for sputter deposition was cut from the ingot. Aluminium foils (20 μm thick) were used for the substrates of sputter deposition. The microstructures and crystal structures of the films were investigated by transmission electron microscopy (TEM) and X-ray diffraction using CuKα radiation, respectively. The effect of the sputtering conditions such as substrate temperature, partial pressure of argon gas, and the sputtering power on the structures of sputter-deposited Cu-14%Al-4%Ni films were investigated by X-ray diffraction. Results are shown and discussed. Photographs demonstrate shape memory behaviour of Cu-14%Al-4%Ni films sputter-deposited on aluminium foils from (a) liquid nitrogen temperature to (d) room temperature. (author)

  14. Photoluminescence in Spray Pyrolysis Deposited β-In2S3 Thin Films

    Science.gov (United States)

    Jayakrishnan, R.

    2018-04-01

    Spray pyrolysis deposited In2S3 thin films exhibit two prominent photoluminescent emissions. One of the emissions is green in color and centered at around ˜ 540 nm and the other is centered at around ˜ 690 nm and is red in color. The intensity of the green emission decreases when the films are subjected to annealing in air or vacuum. The intensity of red emission increases when films are air annealed and decreases when vacuum annealed. Vacuum annealing leads to an increase in work function whereas air annealing leads to a decrease in work function for this thin film system relative to the as deposited films indicating changes in space charge regions. Surface photovoltage analysis using a Kelvin probe leads to the conclusion that inversion of band bending occurs as a result of annealing. Correlating surface contact potential measurements using a Kelvin probe, x-ray photoelectron spectroscopy and photoluminescence, we conclude that the surface passivation plays a critical role in controlling the photoluminescence from the spray pyrolysis deposited for In2S3 thin films.

  15. Fabrication of thin film CZTS solar cells with Pulsed Laser Deposition

    DEFF Research Database (Denmark)

    Cazzaniga, Andrea Carlo

    behind the Quantum Efficiency (QE) curve. What do I learn by reading this thesis? You will learn how to deposit a thin film CZTS absorber layer with Pulsed Laser Deposition with the desired composition. In addition, you will see how material transfer in PLD, which is generally believed...... to be stoichiometric, can be very much non-stoichiometric. How to do it? I suggest to do PLD on a single sintered target (2CuS:ZnS:SnS) .The films are deposited at room temperature and then annealed in a furnace with some sulfur powder aside. The annealing step is as important as the PLD step to the final device...... the non-equilibrium properties of PLD for the production of CZTS films. This may enable one to deposit crystalline CZTS at lower substrate temperature, with no requirement for an annealing step afterwards. Preliminary results do not seem too encouraging. The main obstacle to this approach may...

  16. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  17. Nitrogen incorporation in sputter deposited molybdenum nitride thin films

    Energy Technology Data Exchange (ETDEWEB)

    Stöber, Laura, E-mail: laura.stoeber@tuwien.ac.at; Patocka, Florian, E-mail: florian.patocka@tuwien.ac.at; Schneider, Michael, E-mail: michael.schneider@tuwien.ac.at; Schmid, Ulrich, E-mail: ulrich.e366.schmid@tuwien.ac.at [Institute of Sensor and Actuator Systems, TU Wien, Gußhausstraße 27-29, A-1040 Vienna (Austria); Konrath, Jens Peter, E-mail: jenspeter.konrath@infineon.com; Haberl, Verena, E-mail: verena.haberl@infineon.com [Infineon Technologies Austria AG, Siemensstraße 2, 9500 Villach (Austria)

    2016-03-15

    In this paper, the authors report on the high temperature performance of sputter deposited molybdenum (Mo) and molybdenum nitride (Mo{sub 2}N) thin films. Various argon and nitrogen gas compositions are applied for thin film synthetization, and the amount of nitrogen incorporation is determined by Auger measurements. Furthermore, effusion measurements identifying the binding conditions of the nitrogen in the thin film are performed up to 1000 °C. These results are in excellent agreement with film stress and scanning electron microscope analyses, both indicating stable film properties up to annealing temperatures of 500 °C.

  18. Effects of ion beam bombardment of carbon thin films deposited onto tungsten carbide and tool steels

    Energy Technology Data Exchange (ETDEWEB)

    Awazu, Kaoru; Yoshida, Hiroyuki [Industrial Research Inst. of Ishikawa (Japan); Watanabe, Hiroshi [Gakushuin Univ., Tokyo (Japan); Iwaki, Masaya; Guzman, L [RIKEN, Saitama (Japan)

    1992-04-15

    A study was made of the effects of argon ion bombardment of carbon thin films deposited onto WC and tool steels. Carbon thin film deposition was performed at various temperatures ranging from 200degC to 350degC, using C{sub 6}H{sub 6} gas. Argon ion beam bombardment of the films was carried out at an energy of 150 keV with a dose of 1x10{sup 16} ions cm{sup -2}. The hardness and adhesion of the films were measured by means of Knoop hardness and scratch tests respectively. The structure of the carbon films was estimated by laser Raman spectroscopy, and the relations were investigated between the mechanical properties and the structure of the films. The hardness of carbon thin films increases as their deposition temperature decreases; this tendency corresponds to the increase in amorphous structure estimated by Raman spectra. Argon ion bombardment results in constant hardness and fraction of amorphous structure. Argon ion beam bombardment of films prior to additional carbon deposition may cause the adhesion of the subsequently deposited films to improve. It is concluded that argon ion beam bombardment is useful for improving the properties of carbon films deposited onto WC and tool steels. (orig.).

  19. Effects of ion beam bombardment of carbon thin films deposited onto tungsten carbide and tool steels

    International Nuclear Information System (INIS)

    Awazu, Kaoru; Yoshida, Hiroyuki; Watanabe, Hiroshi; Iwaki, Masaya; Guzman, L.

    1992-01-01

    A study was made of the effects of argon ion bombardment of carbon thin films deposited onto WC and tool steels. Carbon thin film deposition was performed at various temperatures ranging from 200degC to 350degC, using C 6 H 6 gas. Argon ion beam bombardment of the films was carried out at an energy of 150 keV with a dose of 1x10 16 ions cm -2 . The hardness and adhesion of the films were measured by means of Knoop hardness and scratch tests respectively. The structure of the carbon films was estimated by laser Raman spectroscopy, and the relations were investigated between the mechanical properties and the structure of the films. The hardness of carbon thin films increases as their deposition temperature decreases; this tendency corresponds to the increase in amorphous structure estimated by Raman spectra. Argon ion bombardment results in constant hardness and fraction of amorphous structure. Argon ion beam bombardment of films prior to additional carbon deposition may cause the adhesion of the subsequently deposited films to improve. It is concluded that argon ion beam bombardment is useful for improving the properties of carbon films deposited onto WC and tool steels. (orig.)

  20. Cataphoretic assembly of cationic dyes and deposition of carbon nanotube and graphene films.

    Science.gov (United States)

    Su, Y; Zhitomirsky, I

    2013-06-01

    Cathodic electrophoretic deposition (EPD) method has been developed for the fabrication of thin films from aqueous solutions of crystal violet (CV) dyes. The films contained rod-like particles with a long axis oriented perpendicular to the substrate surface. The proposed deposition mechanism involved cataphoresis of cationic CV(+) species, base generation in the cathodic reactions, and charge neutralization at the electrode surface. The assembly of rod-like particles was governed by π-π interactions of polyaromatic CV molecules. The deposition kinetics was studied by quartz crystal microbalance. CV dyes allowed efficient dispersion of multiwalled carbon nanotubes (MWCNTs) and graphene in water at relatively low CV concentrations. The feasibility of cathodic EPD of MWCNT and graphene from aqueous suspensions, containing CV, has been demonstrated. The deposition yield was investigated at different CV concentrations and deposition voltages. The relatively high deposition yield of MWCNT and graphene indicated that CV is an efficient dispersing, charging, and film forming agent for EPD. Electron microscopy data showed that at low CV concentrations in MWCNT or graphene suspensions and low deposition voltages, the films contained mainly MWCNT or graphene. The increase in the CV concentration and/or deposition voltage resulted in enhanced co-deposition of CV. The EPD method developed in this investigation paves the way for the fabrication of advanced nanocomposites by cathodic electrodeposition. Copyright © 2013 Elsevier Inc. All rights reserved.