WorldWideScience

Sample records for innovative low-cost wafer-level

  1. Cost-Efficient Wafer-Level Capping for MEMS and Imaging Sensors by Adhesive Wafer Bonding

    Directory of Open Access Journals (Sweden)

    Simon J. Bleiker

    2016-10-01

    Full Text Available Device encapsulation and packaging often constitutes a substantial part of the fabrication cost of micro electro-mechanical systems (MEMS transducers and imaging sensor devices. In this paper, we propose a simple and cost-effective wafer-level capping method that utilizes a limited number of highly standardized process steps as well as low-cost materials. The proposed capping process is based on low-temperature adhesive wafer bonding, which ensures full complementary metal-oxide-semiconductor (CMOS compatibility. All necessary fabrication steps for the wafer bonding, such as cavity formation and deposition of the adhesive, are performed on the capping substrate. The polymer adhesive is deposited by spray-coating on the capping wafer containing the cavities. Thus, no lithographic patterning of the polymer adhesive is needed, and material waste is minimized. Furthermore, this process does not require any additional fabrication steps on the device wafer, which lowers the process complexity and fabrication costs. We demonstrate the proposed capping method by packaging two different MEMS devices. The two MEMS devices include a vibration sensor and an acceleration switch, which employ two different electrical interconnection schemes. The experimental results show wafer-level capping with excellent bond quality due to the re-flow behavior of the polymer adhesive. No impediment to the functionality of the MEMS devices was observed, which indicates that the encapsulation does not introduce significant tensile nor compressive stresses. Thus, we present a highly versatile, robust, and cost-efficient capping method for components such as MEMS and imaging sensors.

  2. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang

    2014-05-20

    This paper reports a low-cost silicon wafer dicing technique using a commercial craft cutter. The 4-inch silicon wafers were scribed using a crafter cutter with a mounted diamond blade. The pre-programmed automated process can reach a minimum die feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared with other wafer dicing methods, our proposed dicing technique is extremely low cost (lower than $1,000), and suitable for silicon wafer dicing in microelectromechanical or microfluidic fields, which usually have a relatively large die dimension. The proposed dicing technique is also usable for dicing multiple project wafers, a process where dies of different dimensions are diced on the same wafer.

  3. Wafer-Level Vacuum Packaging of Smart Sensors.

    Science.gov (United States)

    Hilton, Allan; Temple, Dorota S

    2016-10-31

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors-"low cost" for ubiquitous presence, and "smart" for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS) integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  4. Wafer-Level Vacuum Packaging of Smart Sensors

    OpenAIRE

    Hilton, Allan; Temple, Dorota S.

    2016-01-01

    The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging...

  5. Wafer-Level Vacuum Packaging of Smart Sensors

    Directory of Open Access Journals (Sweden)

    Allan Hilton

    2016-10-01

    Full Text Available The reach and impact of the Internet of Things will depend on the availability of low-cost, smart sensors—“low cost” for ubiquitous presence, and “smart” for connectivity and autonomy. By using wafer-level processes not only for the smart sensor fabrication and integration, but also for packaging, we can further greatly reduce the cost of sensor components and systems as well as further decrease their size and weight. This paper reviews the state-of-the-art in the wafer-level vacuum packaging technology of smart sensors. We describe the processes needed to create the wafer-scale vacuum microchambers, focusing on approaches that involve metal seals and that are compatible with the thermal budget of complementary metal-oxide semiconductor (CMOS integrated circuits. We review choices of seal materials and structures that are available to a device designer, and present techniques used for the fabrication of metal seals on device and window wafers. We also analyze the deposition and activation of thin film getters needed to maintain vacuum in the ultra-small chambers, and the wafer-to-wafer bonding processes that form the hermetic seal. We discuss inherent trade-offs and challenges of each seal material set and the corresponding bonding processes. Finally, we identify areas for further research that could help broaden implementations of the wafer-level vacuum packaging technology.

  6. Novel SU-8 based vacuum wafer-level packaging for MEMS devices

    DEFF Research Database (Denmark)

    Murillo, Gonzalo; Davis, Zachary James; Keller, Stephan Urs

    2010-01-01

    This work presents a simple and low-cost SU-8 based wafer-level vacuum packaging method which is CMOS and MEMS compatible. Different approaches have been investigated by taking advantage of the properties of SU-8, such as chemical resistance, optical transparence, mechanical reliability and versa......This work presents a simple and low-cost SU-8 based wafer-level vacuum packaging method which is CMOS and MEMS compatible. Different approaches have been investigated by taking advantage of the properties of SU-8, such as chemical resistance, optical transparence, mechanical reliability...

  7. Robust Wafer-Level Thin-Film Encapsulation (Packaging) of Microstructures (MEMS) using Low Stress PECVD Silicon Carbide

    NARCIS (Netherlands)

    Rajaraman, V.; Pakula, L.S.; Pham, H.T.M.; Sarro, P.M.; French, P.J.

    2009-01-01

    This paper presents a new low-cost, CMOS-compatible and robust wafer-level encapsulation technique developed using a stress-optimised PECVD SiC as the capping and sealing material, imparting harsh environment capability. This technique has been applied for the fabrication and encapsulation of a wide

  8. Wafer level packaging of MEMS

    International Nuclear Information System (INIS)

    Esashi, Masayoshi

    2008-01-01

    Wafer level packaging plays many important roles for MEMS (micro electro mechanical systems), including cost, yield and reliability. MEMS structures on silicon chips are encapsulated between bonded wafers or by surface micromachining, and electrical interconnections are made from the cavity. Bonding at the interface, such as glass–Si anodic bonding and metal-to-metal bonding, requires electrical interconnection through the lid vias in many cases. On the other hand, lateral electrical interconnections on the surface of the chip are used for bonding with intermediate melting materials, such as low melting point glass and solder. The cavity formed by surface micromachining is made using sacrificial etching, and the openings needed for the sacrificial etching are plugged using deposition sealing methods. Vacuum packaging methods and the structures for electrical feedthrough for the interconnection are discussed in this review. (topical review)

  9. Wafer-level vacuum/hermetic packaging technologies for MEMS

    Science.gov (United States)

    Lee, Sang-Hyun; Mitchell, Jay; Welch, Warren; Lee, Sangwoo; Najafi, Khalil

    2010-02-01

    An overview of wafer-level packaging technologies developed at the University of Michigan is presented. Two sets of packaging technologies are discussed: (i) a low temperature wafer-level packaging processes for vacuum/hermeticity sealing, and (ii) an environmentally resistant packaging (ERP) technology for thermal and mechanical control as well as vacuum packaging. The low temperature wafer-level encapsulation processes are implemented using solder bond rings which are first patterned on a cap wafer and then mated with a device wafer in order to encircle and encapsulate the device at temperatures ranging from 200 to 390 °C. Vacuum levels below 10 mTorr were achieved with yields in an optimized process of better than 90%. Pressures were monitored for more than 4 years yielding important information on reliability and process control. The ERP adopts an environment isolation platform in the packaging substrate. The isolation platform is designed to provide low power oven-control, vibration isolation and shock protection. It involves batch flip-chip assembly of a MEMS device onto the isolation platform wafer. The MEMS device and isolation structure are encapsulated at the wafer-level by another substrate with vertical feedthroughs for vacuum/hermetic sealing and electrical signal connections. This technology was developed for high performance gyroscopes, but can be applied to any type of MEMS device.

  10. 1366 Project Automate: Enabling Automation for <$0.10/W High-Efficiency Kerfless Wafers Manufactured in the US

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2017-05-10

    For photovoltaic (PV) manufacturing to thrive in the U.S., there must be an innovative core to the technology. Project Automate builds on 1366’s proprietary Direct Wafer® kerfless wafer technology and aims to unlock the cost and efficiency advantages of thin kerfless wafers. Direct Wafer is an innovative, U.S.-friendly (efficient, low-labor content) manufacturing process that addresses the main cost barrier limiting silicon PV cost-reductions – the 35-year-old grand challenge of manufacturing quality wafers (40% of the cost of modules) without the cost and waste of sawing. This simple, scalable process will allow 1366 to manufacture “drop-in” replacement wafers for the $10 billion silicon PV wafer market at 50% of the cost, 60% of the capital, and 30% of the electricity of conventional casting and sawing manufacturing processes. This SolarMat project developed the Direct Wafer processes’ unique capability to tailor the shape of wafers to simultaneously make thinner AND stronger wafers (with lower silicon usage) that enable high-efficiency cell architectures. By producing wafers with a unique target geometry including a thick border (which determines handling characteristics) and thin interior regions (which control light capture and electron transport and therefore determine efficiency), 1366 can simultaneously improve quality and lower cost (using less silicon).

  11. Wafer-level testing and test during burn-in for integrated circuits

    CERN Document Server

    Bahukudumbi, Sudarshan

    2010-01-01

    Wafer-level testing refers to a critical process of subjecting integrated circuits and semiconductor devices to electrical testing while they are still in wafer form. Burn-in is a temperature/bias reliability stress test used in detecting and screening out potential early life device failures. This hands-on resource provides a comprehensive analysis of these methods, showing how wafer-level testing during burn-in (WLTBI) helps lower product cost in semiconductor manufacturing.Engineers learn how to implement the testing of integrated circuits at the wafer-level under various resource constrain

  12. Innovative manufacturing technologies for low-cost, high efficiency PERC-based PV modules

    Energy Technology Data Exchange (ETDEWEB)

    Yelundur, Vijay [Suniva Inc., Norcross, GA (United States)

    2017-04-19

    The goal this project was to accelerate the deployment of innovative solar cell and module technologies that reduce the cost of PERC-based modules to best-in-class. New module integration technology was to be used to reduce the cost and reliance on conventional silver bus bar pastes and enhance cell efficiency. On the cell manufacturing front, the cost of PERC solar cells was to be reduced by introducing advanced metallization approaches to increase cell efficiency. These advancements will be combined with process optimization to target cell efficiencies in the range of 21 to 21.5%. This project will also explore the viability of a bifacial PERC solar cell design to enable cost savings through the use of thin silicon wafers. This project was terminated on 4/30/17 after four months of activity due financial challenges facing the recipient.

  13. Low cost back contact heterojunction solar cells on thin c-Si wafers. integrating laser and thin film processing for improved manufacturability

    Energy Technology Data Exchange (ETDEWEB)

    Hegedus, Steven S. [Univ. of Delaware, Newark, DE (United States)

    2015-09-08

    An interdigitated back contact (IBC) Si wafer solar cell with deposited a-Si heterojunction (HJ) emitter and contacts is considered the ultimate single junction Si solar cell design. This was confirmed in 2014 by both Panasonic and Sharp Solar producing IBC-HJ cells breaking the previous record Si solar cell efficiency of 25%. But manufacturability at low cost is a concern for the complex IBC-HJ device structure. In this research program, our goals were to addressed the broad industry need for a high-efficiency c-Si cell that overcomes the dominant module cost barriers by 1) developing thin Si wafers synthesized by innovative, kerfless techniques; 2) integrating laser-based processing into most aspects of solar cell fabrication, ensuring high speed and low thermal budgets ; 3) developing an all back contact cell structure compatible with thin wafers using a simplified, low-temperature fabrication process; and 4) designing the contact patterning to enable simplified module assembly. There were a number of significant achievements from this 3 year program. Regarding the front surface, we developed and applied new method to characterize critical interface recombination parameters including interface defect density Dit and hole and electron capture cross-section for use as input for 2D simulation of the IBC cell to guide design and loss analysis. We optimized the antireflection and passivation properties of the front surface texture and a-Si/a-SiN/a-SiC stack depositions to obtain a very low (< 6 mA/cm2) front surface optical losses (reflection and absorption) while maintaining excellent surface passivation (SRV<5 cm/s). We worked with kerfless wafer manufacturers to apply defect-engineering techniques to improve bulk minority-carrier lifetime of thin kerfless wafers by both reducing initial impurities during growth and developing post-growth gettering techniques. This led insights about the kinetics of nickel, chromium, and dislocations in PV-grade silicon and to

  14. Low cost back contact heterojunction solar cells on thin c-Si wafers. Integrating laser and thin film processing for improved manufacturability

    Energy Technology Data Exchange (ETDEWEB)

    Hegedus, Steven S. [Univ. of Delaware, Newark, DE (United States)

    2015-09-08

    An interdigitated back contact (IBC) Si wafer solar cell with deposited a-Si heterojunction (HJ) emitter and contacts is considered the ultimate single junction Si solar cell design. This was confirmed in 2014 by both Panasonic and Sharp Solar producing IBC-HJ cells breaking the previous record Si solar cell efficiency of 25%. But manufacturability at low cost is a concern for the complex IBC-HJ device structure. In this research program, our goals were to addressed the broad industry need for a high-efficiency c-Si cell that overcomes the dominant module cost barriers by 1) developing thin Si wafers synthesized by innovative, kerfless techniques; 2) integrating laser-based processing into most aspects of solar cell fabrication, ensuring high speed and low thermal budgets ; 3) developing an all back contact cell structure compatible with thin wafers using a simplified, low-temperature fabrication process; and 4) designing the contact patterning to enable simplified module assembly. There were a number of significant achievements from this 3 year program. Regarding the front surface, we developed and applied new method to characterize critical interface recombination parameters including interface defect density Dit and hole and electron capture cross-section for use as input for 2D simulation of the IBC cell to guide design and loss analysis. We optimized the antireflection and passivation properties of the front surface texture and a-Si/a-SiN/a-SiC stack depositions to obtain a very low (< 6 mA/cm2) front surface optical losses (reflection and absorption) while maintaining excellent surface passivation (SRV<5 cm/s). We worked with kerfless wafer manufacturers to apply defect-engineering techniques to improve bulk minority-carrier lifetime of thin kerfless wafers by both reducing initial impurities during growth and developing post-growth gettering techniques. This led insights about the kinetics of nickel, chromium, and dislocations in PV-grade silicon and to

  15. Wafer-level manufacturing technology of glass microlenses

    Science.gov (United States)

    Gossner, U.; Hoeftmann, T.; Wieland, R.; Hansch, W.

    2014-08-01

    In high-tech products, there is an increasing demand to integrate glass lenses into complex micro systems. Especially in the lighting industry LEDs and laser diodes used for automotive applications require encapsulated micro lenses. To enable low-cost production, manufacturing of micro lenses on wafer level base using a replication technology is a key technology. This requires accurate forming of thousands of lenses with a diameter of 1-2 mm on a 200 mm wafer compliant with mass production. The article will discuss the technical aspects of a lens manufacturing replication process and the challenges, which need to be solved: choice of an appropriate master for replication, thermally robust interlayer coating, choice of replica glass, bonding and separation procedure. A promising approach for the master substrate material is based on a lens structured high-quality glass wafer with high melting point covered by a coating layer of amorphous silicon or germanium. This layer serves as an interlayer for the glass bonding process. Low pressure chemical vapor deposition and plasma enhanced chemical vapor deposition processes allow a deposition of layer coatings with different hydrogen and doping content influencing their chemical and physical behavior. A time reduced molding process using a float glass enables the formation of high quality lenses while preserving the recyclability of the mother substrate. The challenge is the separation of the replica from the master mold. An overview of chemical methods based on optimized etching of coating layer through small channels will be given and the impact of glass etching on surface roughness is discussed.

  16. Innovative Strategic Leader Transforming From a Low-Cost Strategy to Product Differentiation Strategy

    Directory of Open Access Journals (Sweden)

    Ray R Gehani

    2013-05-01

    Full Text Available After the 2008 economic slowdown, and with increasing assault from enterprises from emerging economies, many innovative strategic leaders of multinational enterprises are forced to radically transform their enterprises. They often choose to change from low-cost strategy to innovation-driven product differentiation strategy. In this study, we use a multi-level Grounded Theory Methodology (GTM and agency theory to empirically illustrate such a strategic transformation at a large composite fabric and accessories enterprise. Lessons are drawn from the impact of strategic transformation at multiple levels: strategic leader level, tactical-team manager level, operational follower level, and stakeholder level. Implications for practitioners and researchers are provided by way of mindful leader orientation and value-based innovation.

  17. Low-cost silicon wafer dicing using a craft cutter

    KAUST Repository

    Fan, Yiqiang; Carreno, Armando Arpys Arevalo; Li, Huawei; Foulds, Ian G.

    2014-01-01

    feature of 3 mm by 3 mm. We performed this scribing process on the top polished surface of a silicon wafer; we also created a scribing method for the back-unpolished surface in order to protect the structures on the wafer during scribing. Compared

  18. Very large scale heterogeneous integration (VLSHI) and wafer-level vacuum packaging for infrared bolometer focal plane arrays

    Science.gov (United States)

    Forsberg, Fredrik; Roxhed, Niclas; Fischer, Andreas C.; Samel, Björn; Ericsson, Per; Hoivik, Nils; Lapadatu, Adriana; Bring, Martin; Kittilsland, Gjermund; Stemme, Göran; Niklaus, Frank

    2013-09-01

    Imaging in the long wavelength infrared (LWIR) range from 8 to 14 μm is an extremely useful tool for non-contact measurement and imaging of temperature in many industrial, automotive and security applications. However, the cost of the infrared (IR) imaging components has to be significantly reduced to make IR imaging a viable technology for many cost-sensitive applications. This paper demonstrates new and improved fabrication and packaging technologies for next-generation IR imaging detectors based on uncooled IR bolometer focal plane arrays. The proposed technologies include very large scale heterogeneous integration for combining high-performance, SiGe quantum-well bolometers with electronic integrated read-out circuits and CMOS compatible wafer-level vacuum packing. The fabrication and characterization of bolometers with a pitch of 25 μm × 25 μm that are arranged on read-out-wafers in arrays with 320 × 240 pixels are presented. The bolometers contain a multi-layer quantum well SiGe thermistor with a temperature coefficient of resistance of -3.0%/K. The proposed CMOS compatible wafer-level vacuum packaging technology uses Cu-Sn solid-liquid interdiffusion (SLID) bonding. The presented technologies are suitable for implementation in cost-efficient fabless business models with the potential to bring about the cost reduction needed to enable low-cost IR imaging products for industrial, security and automotive applications.

  19. Low-cost uncooled VOx infrared camera development

    Science.gov (United States)

    Li, Chuan; Han, C. J.; Skidmore, George D.; Cook, Grady; Kubala, Kenny; Bates, Robert; Temple, Dorota; Lannon, John; Hilton, Allan; Glukh, Konstantin; Hardy, Busbee

    2013-06-01

    The DRS Tamarisk® 320 camera, introduced in 2011, is a low cost commercial camera based on the 17 µm pixel pitch 320×240 VOx microbolometer technology. A higher resolution 17 µm pixel pitch 640×480 Tamarisk®640 has also been developed and is now in production serving the commercial markets. Recently, under the DARPA sponsored Low Cost Thermal Imager-Manufacturing (LCTI-M) program and internal project, DRS is leading a team of industrial experts from FiveFocal, RTI International and MEMSCAP to develop a small form factor uncooled infrared camera for the military and commercial markets. The objective of the DARPA LCTI-M program is to develop a low SWaP camera (costs less than US $500 based on a 10,000 units per month production rate. To meet this challenge, DRS is developing several innovative technologies including a small pixel pitch 640×512 VOx uncooled detector, an advanced digital ROIC and low power miniature camera electronics. In addition, DRS and its partners are developing innovative manufacturing processes to reduce production cycle time and costs including wafer scale optic and vacuum packaging manufacturing and a 3-dimensional integrated camera assembly. This paper provides an overview of the DRS Tamarisk® project and LCTI-M related uncooled technology development activities. Highlights of recent progress and challenges will also be discussed. It should be noted that BAE Systems and Raytheon Vision Systems are also participants of the DARPA LCTI-M program.

  20. Wafer-Level Membrane-Transfer Process for Fabricating MEMS

    Science.gov (United States)

    Yang, Eui-Hyeok; Wiberg, Dean

    2003-01-01

    A process for transferring an entire wafer-level micromachined silicon structure for mating with and bonding to another such structure has been devised. This process is intended especially for use in wafer-level integration of microelectromechanical systems (MEMS) that have been fabricated on dissimilar substrates. Unlike in some older membrane-transfer processes, there is no use of wax or epoxy during transfer. In this process, the substrate of a wafer-level structure to be transferred serves as a carrier, and is etched away once the transfer has been completed. Another important feature of this process is that two electrodes constitutes an electrostatic actuator array. An SOI wafer and a silicon wafer (see Figure 1) are used as the carrier and electrode wafers, respectively. After oxidation, both wafers are patterned and etched to define a corrugation profile and electrode array, respectively. The polysilicon layer is deposited on the SOI wafer. The carrier wafer is bonded to the electrode wafer by using evaporated indium bumps. The piston pressure of 4 kPa is applied at 156 C in a vacuum chamber to provide hermetic sealing. The substrate of the SOI wafer is etched in a 25 weight percent TMAH bath at 80 C. The exposed buried oxide is then removed by using 49 percent HF droplets after an oxygen plasma ashing. The SOI top silicon layer is etched away by using an SF6 plasma to define the corrugation profile, followed by the HF droplet etching of the remaining oxide. The SF6 plasma with a shadow mask selectively etches the polysilicon membrane, if the transferred membrane structure needs to be patterned. Electrostatic actuators with various electrode gaps have been fabricated by this transfer technique. The gap between the transferred membrane and electrode substrate is very uniform ( 0.1 m across a wafer diameter of 100 mm, provided by optimizing the bonding control). Figure 2 depicts the finished product.

  1. Functional Testing and Characterisation of ISFETs on Wafer Level by Means of a Micro-droplet Cell

    Directory of Open Access Journals (Sweden)

    Michael J. Schöning

    2006-04-01

    Full Text Available A wafer-level functionality testing and characterisation system for ISFETs (ion-sensitive field-effect transistor is realised by means of integration of a specifically designedcapillary electrochemical micro-droplet cell into a commercial wafer prober-station. Thedeveloped system allows the identification and selection of “good” ISFETs at the earlieststage and to avoid expensive bonding, encapsulation and packaging processes for non-functioning ISFETs and thus, to decrease costs, which are wasted for bad dies. Thedeveloped system is also feasible for wafer-level characterisation of ISFETs in terms ofsensitivity, hysteresis and response time. Additionally, the system might be also utilised forwafer-level testing of further electrochemical sensors.

  2. Cost of Czochralski wafers as a function of diameter

    Science.gov (United States)

    Leipold, M. H.; Radics, C.; Kachare, A.

    1980-02-01

    The impact of diameter in the range of 10 to 15 cm on the cost of wafers sliced from Czochralski ingots was analyzed. Increasing silicon waste and decreasing ingot cost with increasing ingot size were estimated along with projected costs. Results indicate a small but continuous decrease in sheet cost with increasing ingot size in this size range. Sheet costs including silicon are projected to be $50 to $60/sq m (1980 $) depending upon technique used.

  3. Low-Cost High-Efficiency Solar Cells with Wafer Bonding and Plasmonic Technologies

    Science.gov (United States)

    Tanake, Katsuaki

    InP/Si substrates for bulk InP in the fabrication of such a four-junction solar cell could significantly reduce the substrate cost since the current prices for commercial InP substrates are much higher than those for Si substrates by two orders of magnitude. Direct heteroepitaxial growth of InP thin films on Si substrates has not produced the low dislocation-density high quality layers required for active InGaAs/InP in optoelectronic devices due to the ˜8% lattice mismatch between InP and Si. We successfully fabricated InP/Si substrates by He implantation of InP prior to bonding to a thermally oxidized Si substrate and annealing to exfoliate an InP thin film. The thickness of the exfoliated InP films was only 900 nm, which means hundreds of the InP/Si substrates could be prepared from a single InP wafer in principle. The photovoltaic current-voltage characteristics of the In0.53Ga0.47As cells fabricated on the wafer-bonded InP/Si substrates were comparable to those synthesized on commercially available epi-ready InP substrates, and had a ˜20% higher short-circuit current which we attribute to the high reflectivity of the InP/SiO2/Si bonding interface. This work provides an initial demonstration of wafer-bonded InP/Si substrates as an alternative to bulk InP substrates for solar cell applications. We have observed photocurrent enhancements up to 260% at 900 nm for a GaAs cell with a dense array of Ag nanoparticles with 150 nm diameter and 20 nm height deposited through porous alumina membranes by thermal evaporation on top of the cell, relative to reference GaAs cells with no metal nanoparticle array. This dramatic photocurrent enhancement is attributed to the effect of metal nanoparticles to scatter the incident light into photovoltaic layers with a wide range of angles to increase the optical path length in the absorber layer. GaAs solar cells with metallic structures at the bottom of the photovoltaic active layers, not only at the top, using semiconductor

  4. Fluorine-enhanced low-temperature wafer bonding of native-oxide covered Si wafers

    Science.gov (United States)

    Tong, Q.-Y.; Gan, Q.; Fountain, G.; Enquist, P.; Scholz, R.; Gösele, U.

    2004-10-01

    The bonding energy of bonded native-oxide-covered silicon wafers treated in the HNO3/H2O/HF or the HNO3/HF solution prior to room-temperature contact is significantly higher than bonded standard RCA1 cleaned wafer pairs after low-temperature annealing. The bonding energy reaches over 2000mJ/m2 after annealing at 100 °C. The very slight etching and fluorine in the chemically grown oxide are believed to be the main contributors to the enhanced bonding energy. Transmission-electron-microscopic images have shown that the chemically formed native oxide at bonding interface is embedded with many flake-like cavities. The cavities can absorb the by-products of the interfacial reactions that result in covalent bond formation at low temperatures allowing the strong bond to be retained.

  5. Low-cost far infrared bolometer camera for automotive use

    Science.gov (United States)

    Vieider, Christian; Wissmar, Stanley; Ericsson, Per; Halldin, Urban; Niklaus, Frank; Stemme, Göran; Källhammer, Jan-Erik; Pettersson, Håkan; Eriksson, Dick; Jakobsen, Henrik; Kvisterøy, Terje; Franks, John; VanNylen, Jan; Vercammen, Hans; VanHulsel, Annick

    2007-04-01

    A new low-cost long-wavelength infrared bolometer camera system is under development. It is designed for use with an automatic vision algorithm system as a sensor to detect vulnerable road users in traffic. Looking 15 m in front of the vehicle it can in case of an unavoidable impact activate a brake assist system or other deployable protection system. To achieve our cost target below €100 for the sensor system we evaluate the required performance and can reduce the sensitivity to 150 mK and pixel resolution to 80 x 30. We address all the main cost drivers as sensor size and production yield along with vacuum packaging, optical components and large volume manufacturing technologies. The detector array is based on a new type of high performance thermistor material. Very thin Si/SiGe single crystal multi-layers are grown epitaxially. Due to the resulting valence barriers a high temperature coefficient of resistance is achieved (3.3%/K). Simultaneously, the high quality crystalline material provides very low 1/f-noise characteristics and uniform material properties. The thermistor material is transferred from the original substrate wafer to the read-out circuit using adhesive wafer bonding and subsequent thinning. Bolometer arrays can then be fabricated using industry standard MEMS process and materials. The inherently good detector performance allows us to reduce the vacuum requirement and we can implement wafer level vacuum packaging technology used in established automotive sensor fabrication. The optical design is reduced to a single lens camera. We develop a low cost molding process using a novel chalcogenide glass (GASIR®3) and integrate anti-reflective and anti-erosion properties using diamond like carbon coating.

  6. Cost-effectiveness analysis and innovation.

    Science.gov (United States)

    Jena, Anupam B; Philipson, Tomas J

    2008-09-01

    While cost-effectiveness (CE) analysis has provided a guide to allocating often scarce resources spent on medical technologies, less emphasis has been placed on the effect of such criteria on the behavior of innovators who make health care technologies available in the first place. A better understanding of the link between innovation and cost-effectiveness analysis is particularly important given the large role of technological change in the growth in health care spending and the growing interest of explicit use of CE thresholds in leading technology adoption in several Westernized countries. We analyze CE analysis in a standard market context, and stress that a technology's cost-effectiveness is closely related to the consumer surplus it generates. Improved CE therefore often clashes with interventions to stimulate producer surplus, such as patents. We derive the inconsistency between technology adoption based on CE analysis and economic efficiency. Indeed, static efficiency, dynamic efficiency, and improved patient health may all be induced by the cost-effectiveness of the technology being at its worst level. As producer appropriation of the social surplus of an innovation is central to the dynamic efficiency that should guide CE adoption criteria, we exemplify how appropriation can be inferred from existing CE estimates. For an illustrative sample of technologies considered, we find that the median technology has an appropriation of about 15%. To the extent that such incentives are deemed either too low or too high compared to dynamically efficient levels, CE thresholds may be appropriately raised or lowered to improve dynamic efficiency.

  7. Wafer level 3-D ICs process technology

    CERN Document Server

    Tan, Chuan Seng; Reif, L Rafael

    2009-01-01

    This book focuses on foundry-based process technology that enables the fabrication of 3-D ICs. The core of the book discusses the technology platform for pre-packaging wafer lever 3-D ICs. However, this book does not include a detailed discussion of 3-D ICs design and 3-D packaging. This is an edited book based on chapters contributed by various experts in the field of wafer-level 3-D ICs process technology. They are from academia, research labs and industry.

  8. Methods for characterization of wafer-level encapsulation applied on silicon to LTCC anodic bonding

    International Nuclear Information System (INIS)

    Khan, M F; Ghavanini, F A; Enoksson, P; Haasl, S; Löfgren, L; Persson, K; Rusu, C; Schjølberg-Henriksen, K

    2010-01-01

    This paper presents initial results on generic characterization methods for wafer-level encapsulation. The methods, developed specifically to evaluate anodic bonding of low-temperature cofired ceramics (LTCC) to Si, are generally applicable to wafer-level encapsulation. Different microelectromechanical system (MEMS) structures positioned over the whole wafer provide local information about the bond quality. The structures include (i) resonating cantilevers as pressure sensors for bond hermeticity, (ii) resonating bridges as stress sensors for measuring the stress induced by the bonding and (iii) frames/mesas for pull tests. These MEMS structures have been designed, fabricated and characterized indicating that local information can easily be obtained. Buried electrodes to enable localized bonding have been implemented and their effectiveness is indicated from first results of the novel Si to LTCC anodic bonding.

  9. Wafer integrated micro-scale concentrating photovoltaics

    Science.gov (United States)

    Gu, Tian; Li, Duanhui; Li, Lan; Jared, Bradley; Keeler, Gordon; Miller, Bill; Sweatt, William; Paap, Scott; Saavedra, Michael; Das, Ujjwal; Hegedus, Steve; Tauke-Pedretti, Anna; Hu, Juejun

    2017-09-01

    Recent development of a novel micro-scale PV/CPV technology is presented. The Wafer Integrated Micro-scale PV approach (WPV) seamlessly integrates multijunction micro-cells with a multi-functional silicon platform that provides optical micro-concentration, hybrid photovoltaic, and mechanical micro-assembly. The wafer-embedded micro-concentrating elements is shown to considerably improve the concentration-acceptance-angle product, potentially leading to dramatically reduced module materials and fabrication costs, sufficient angular tolerance for low-cost trackers, and an ultra-compact optical architecture, which makes the WPV module compatible with commercial flat panel infrastructures. The PV/CPV hybrid architecture further allows the collection of both direct and diffuse sunlight, thus extending the geographic and market domains for cost-effective PV system deployment. The WPV approach can potentially benefits from both the high performance of multijunction cells and the low cost of flat plate Si PV systems.

  10. Wafer-level packaged RF-MEMS switches fabricated in a CMOS fab

    NARCIS (Netherlands)

    Tilmans, H.A.C.; Ziad, H.; Jansen, Henricus V.; Di Monaco, O.; Jourdain, A.; De Raedt, W.; Rottenberg, X.; De Backer, E.; Decoussernaeker, A.; Baert, K.

    2001-01-01

    Reports on wafer-level packaged RF-MEMS switches fabricated in a commercial CMOS fab. Switch fabrication is based on a metal surface micromachining process. A novel wafer-level packaging scheme is developed, whereby the switches are housed in on-chip sealed cavities using benzocyclobutene (BCB) as

  11. A 45° saw-dicing process applied to a glass substrate for wafer-level optical splitter fabrication for optical coherence tomography

    Science.gov (United States)

    Maciel, M. J.; Costa, C. G.; Silva, M. F.; Gonçalves, S. B.; Peixoto, A. C.; Ribeiro, A. Fernando; Wolffenbuttel, R. F.; Correia, J. H.

    2016-08-01

    This paper reports on the development of a technology for the wafer-level fabrication of an optical Michelson interferometer, which is an essential component in a micro opto-electromechanical system (MOEMS) for a miniaturized optical coherence tomography (OCT) system. The MOEMS consists on a titanium dioxide/silicon dioxide dielectric beam splitter and chromium/gold micro-mirrors. These optical components are deposited on 45° tilted surfaces to allow the horizontal/vertical separation of the incident beam in the final micro-integrated system. The fabrication process consists of 45° saw dicing of a glass substrate and the subsequent deposition of dielectric multilayers and metal layers. The 45° saw dicing is fully characterized in this paper, which also includes an analysis of the roughness. The optimum process results in surfaces with a roughness of 19.76 nm (rms). The actual saw dicing process for a high-quality final surface results as a compromise between the dicing blade’s grit size (#1200) and the cutting speed (0.3 mm s-1). The proposed wafer-level fabrication allows rapid and low-cost processing, high compactness and the possibility of wafer-level alignment/assembly with other optical micro components for OCT integrated imaging.

  12. Simple, Fast, and Cost-Effective Fabrication of Wafer-Scale Nanohole Arrays on Silicon for Antireflection

    Directory of Open Access Journals (Sweden)

    Di Di

    2014-01-01

    Full Text Available A simple, fast, and cost-effective method was developed in this paper for the high-throughput fabrication of nanohole arrays on silicon (Si, which is utilized for antireflection. Wafer-scale polystyrene (PS monolayer colloidal crystal was developed as templates by spin-coating method. Metallic shadow mask was prepared by lifting off the oxygen etched PS beads from the deposited chromium film. Nanohole arrays were fabricated by Si dry etching. A series of nanohole arrays were fabricated with the similar diameter but with different depth. It is found that the maximum depth of the Si-hole was determined by the diameter of the Cr-mask. The antireflection ability of these Si-hole arrays was investigated. The results show that the reflection decreases with the depth of the Si-hole. The deepest Si-hole arrays show the best antireflection ability (reflection 600 nm, which was about 28 percent of the nonpatterned silicon wafer’s reflection. The proposed method has the potential for high-throughput fabrication of patterned Si wafer, and the low reflectivity allows the application of these wafers in crystalline silicon solar cells.

  13. High Performance Microaccelerometer with Wafer-level Hermetic Packaged Sensing Element and Continuous-time BiCMOS Interface Circuit

    International Nuclear Information System (INIS)

    Ko, Hyoungho; Park, Sangjun; Paik, Seung-Joon; Choi, Byoung-doo; Park, Yonghwa; Lee, Sangmin; Kim, Sungwook; Lee, Sang Chul; Lee, Ahra; Yoo, Kwangho; Lim, Jaesang; Cho, Dong-il

    2006-01-01

    A microaccelerometer with highly reliable, wafer-level packaged MEMS sensing element and fully differential, continuous time, low noise, BiCMOS interface circuit is fabricated. The MEMS sensing element is fabricated on a (111)-oriented SOI wafer by using the SBM (Sacrificial/Bulk Micromachining) process. To protect the silicon structure of the sensing element and enhance the reliability, a wafer level hermetic packaging process is performed by using a silicon-glass anodic bonding process. The interface circuit is fabricated using 0.8 μm BiCMOS process. The capacitance change of the MEMS sensing element is amplified by the continuous-time, fully-differential transconductance input amplifier. A chopper-stabilization architecture is adopted to reduce low-frequency noise including 1/f noise. The fabricated microaccelerometer has the total noise equivalent acceleration of 0.89 μg/√Hz, the bias instability of 490 μg, the input range of ±10 g, and the output nonlinearity of ±0.5 %FSO

  14. Economical data on the value chain of the photovoltaic sector, and quantitative study of the economic impact of innovation. Assessment of the innovation impacts. Synthesis

    International Nuclear Information System (INIS)

    Blanc, Nicolas; Baggioni, Vincent; Durand, Yvonnick; Gaspard, Albane; Guillerminet, Marie-Laure; Marchal, David; Morlot, Rodolphe; Parrouffe, Jean-Michel; Varet, Anne; Ravel, Frederic; Equer, Bernard

    2012-10-01

    This synthesis reports a comprehensive study (October 2012) for ADEME, the French office for energy management and sustainable development, which presents an assessment of the potential impact of innovations in the field of photovoltaic components and systems. After a review of the photovoltaic industry and market in France and in the world, the production cost structure of a photovoltaic system is presented (module, assembly, installation). The impacts of innovations are then considered at the various stages of the chain value, from the material level (silicon, CdTe) to the installation level (ground based systems, roof installed, residential, etc.). Innovation impacts on the system production costs, on the electric power generation cost and on each market and sector (materials, wafers, cells, modules, systems, installation), are assessed. The potential impacts on job creations are also estimated. Assessment methodologies are systematically detailed

  15. Physical mechanisms of Cu-Cu wafer bonding

    International Nuclear Information System (INIS)

    Rebhan, B.

    2014-01-01

    Modern manufacturing processes of complex integrated semiconductor devices are based on wafer-level manufacturing of components which are subsequently interconnected. When compared with classical monolithic bi-dimensional integrated circuits (2D ICs), the new approach of three-dimensional integrated circuits (3D ICs) exhibits significant benefits in terms of signal propagation delay and power consumption due to the reduced metal interconnection length and allows high integration levels with reduced form factor. Metal thermo-compression bonding is a process suitable for 3D interconnects applications at wafer level, which facilitates the electrical and mechanical connection of two wafers even processed in different technologies, such as complementary metal oxide semiconductor (CMOS) and microelectromechanical systems (MEMS). Due to its high electrical conductivity, copper is a very attractive material for electrical interconnects. For Cu-Cu wafer bonding the process requires typically bonding for around 1 h at 400°C and high contact pressure applied during bonding. Temperature reduction below such values is required in order to solve issues regarding (i) throughput in the wafer bonder, (ii) wafer-to-wafer misalignment after bonding and (iii) to minimise thermo-mechanical stresses or device degradation. The aim of this work was to study the physical mechanisms of Cu-Cu bonding and based on this study to further optimise the bonding process for low temperatures. The critical sample parameters (roughness, oxide, crystallinity) were identified using selected analytical techniques and correlated with the characteristics of the bonded Cu-Cu interfaces. Based on the results of this study the impact of several materials and process specifications on the bonding result were theoretically defined and experimentally proven. These fundamental findings subsequently facilitated low temperature (LT) metal thermo-compression Cu-Cu wafer bonding and even room temperature direct

  16. INNOVATIVE MULTIPLE IT&C LOW COST SYSTEMS USED IN SECURE COMMUNICATIONS

    Directory of Open Access Journals (Sweden)

    Ionica CIRCIU

    2013-01-01

    Full Text Available The purpose of this article is to point out the main aspects regarding the use of VoIP (Voice over Internet Protocol to discover and locate the source of information, but also to create a data base with the information gathered this period. The user can not only access the files, but he can also use other types of Internet services at the same time. The applications refer to multiplex system, but a low cost solution will diminish the trust of the decision-makers in implementing and using these innovative solutions.

  17. Synchrotron radiation induced TXRF of low Z elements on Si wafer surfaces at SSRL-comparison of excitation geometries and condition

    International Nuclear Information System (INIS)

    Streli, C.; Wobrauschek, P.; Kregsamer, P.; Pepponi, G.; Pianetta, P.; Pahlke, S.; Fabry, L.

    2000-01-01

    The determination of low Z elements, like Na and Al at ultra trace levels on Si wafer surfaces is demanded by semiconductor industry. SR-TXRF is a promising method to fulfill the task, if a special energy dispersive detector with an ultra thin window is used. Synchrotron radiation is the ideal suited excitation source for TXRF of low Z elements due to its intensive, natural collimated and linear polarized radiation with wide spectral range down to low energies even below 1 keV. TXRF offers some advantages for wafer surface analysis like nondestructive investigation and mapping capability. Experiments have been performed at SSRL beamline 3-4, a bending magnet beamline using white (<3 keV) and monochromatic radiation, as well as on beamline 3-3, using a crystal monochromator as well as a multilayer monochromator. A comparison of excitation detection geometries was performed, using a sidelooking detector with vertical positioned wafer as well as a downlooking detector with a horizontally arranged wafer. The advantages and disadvantages of the various geometries and excitation conditions are presented and the results compared. Detection limits are in the 100 fg range for Na, determined with droplet samples on Si wafer surfaces. (author)

  18. Kerfless epitaxial silicon wafers with 7 ms carrier lifetimes and a wide lift-off process window

    Science.gov (United States)

    Gemmel, Catherin; Hensen, Jan; David, Lasse; Kajari-Schröder, Sarah; Brendel, Rolf

    2018-04-01

    Silicon wafers contribute significantly to the photovoltaic module cost. Kerfless silicon wafers that grow epitaxially on porous silicon (PSI) and are subsequently detached from the growth substrate are a promising lower cost drop-in replacement for standard Czochralski (Cz) wafers. However, a wide technological processing window appears to be a challenge for this process. This holds in particularly for the etching current density of the separation layer that leads to lift-off failures if it is too large or too low. Here we present kerfless PSI wafers of high electronic quality that we fabricate on weakly reorganized porous Si with etch current densities varying in a wide process window from 110 to 150 mA/cm2. We are able to detach all 17 out of 17 epitaxial wafers. All wafers exhibit charge carrier lifetimes in the range of 1.9 to 4.3 ms at an injection level of 1015 cm-3 without additional high-temperature treatment. We find even higher lifetimes in the range of 4.6 to 7.0 ms after applying phosphorous gettering. These results indicate that a weak reorganization of the porous layer can be beneficial for a large lift-off process window while still allowing for high carrier lifetimes.

  19. ParkinsonNet: A Low-Cost Health Care Innovation With A Systems Approach From The Netherlands

    NARCIS (Netherlands)

    Bloem, B.R.; Rompen, A.F.M.; Vries, N.M. de; Klink, A.; Munneke, M.; Jeurissen, P.P.

    2017-01-01

    ParkinsonNet, a low-cost innovation to optimize care for patients with Parkinson disease, was developed in 2004 as a network of physical therapists in several regions in the Netherlands. Since that time, the network has achieved full national reach, with 70 regional networks and around 3,000

  20. Low-cost low-enthalpy geothermal heat for freshwater production: Innovative applications using thermal desalination processes

    KAUST Repository

    Bundschuh, Jochen; Ghaffour, NorEddine; Mahmoudi, Hacè ne; Goosen, Mattheus F A; Mushtaq, Shahbaz; Hoinkis, Jan

    2015-01-01

    The study is dedicated to exploring different types of low-cost low-enthalpy geothermal and their potential integration with conventional thermal-based water desalination and treatment technologies to deliver energy efficient, environmentally friendly solutions for water desalination and treatment, addressing global water crises. Our in-depth investigation through reviews of various low-enthalpy geothermal and conventional thermal-based technologies suggest that the geothermal option is superior to the solar option if low-cost geothermal heat is available because it provides a constant heat source in contrast to solar. Importantly, the stable heat source further allows up-scaling (> 1000 m3/day), which is not currently possible with solar. Solar-geothermal hybrid constellations may also be suitable in areas where both sources are available. The review also discovers that the innovative Membrane distillation (MD) process is very promising as it can be used for many different water compositions, salinity and temperature ranges. Either the geothermal water itself can be desalinated/treated or the geothermal heat can be used to heat feed water from other sources using heat exchangers. However, there are only few economic analyses for large-scale MD units and these are based on theoretical models using often uncertain assumptions resulting in a large variety of results.

  1. Low-cost low-enthalpy geothermal heat for freshwater production: Innovative applications using thermal desalination processes

    KAUST Repository

    Bundschuh, Jochen

    2015-03-01

    The study is dedicated to exploring different types of low-cost low-enthalpy geothermal and their potential integration with conventional thermal-based water desalination and treatment technologies to deliver energy efficient, environmentally friendly solutions for water desalination and treatment, addressing global water crises. Our in-depth investigation through reviews of various low-enthalpy geothermal and conventional thermal-based technologies suggest that the geothermal option is superior to the solar option if low-cost geothermal heat is available because it provides a constant heat source in contrast to solar. Importantly, the stable heat source further allows up-scaling (> 1000 m3/day), which is not currently possible with solar. Solar-geothermal hybrid constellations may also be suitable in areas where both sources are available. The review also discovers that the innovative Membrane distillation (MD) process is very promising as it can be used for many different water compositions, salinity and temperature ranges. Either the geothermal water itself can be desalinated/treated or the geothermal heat can be used to heat feed water from other sources using heat exchangers. However, there are only few economic analyses for large-scale MD units and these are based on theoretical models using often uncertain assumptions resulting in a large variety of results.

  2. Waste Management Facilities cost information for low-level waste

    Energy Technology Data Exchange (ETDEWEB)

    Shropshire, D.; Sherick, M.; Biadgi, C.

    1995-06-01

    This report contains preconceptual designs and planning level life-cycle cost estimates for managing low-level waste. The report`s information on treatment, storage, and disposal modules can be integrated to develop total life-cycle costs for various waste management options. A procedure to guide the US Department of Energy and its contractor personnel in the use of cost estimation data is also summarized in this report.

  3. The development of the wafer cost and availability for the photovoltaic industry

    International Nuclear Information System (INIS)

    Herzer, H.

    1991-01-01

    The photovoltaic (PV) industry is a young industry which has not yet matured to handle its business in a profitable way. One of the main reasons is the conflict between operating technologies, real costs, and diversified applications under loss-generating market conditions and the big visions to make photovoltaics become a renewable clean energy source for the future. A driving force always has been the projection of low-cost metallurgical sand reduction combined with ribbon/sheet approaches if c-Si is concerned, and the advent of a-Si and thin film technologies if alternatives and c-Si replacing materials are concerned. Today, we recognize a concentration towards c-Si as the basic material for power PV modules and systems. With regard to the scientific/technological state of the art, even here, a wide range of methods are presently investigated. The potential in terms of efficiency and cost-advantages/disadvantages will be commented. Looking at the industrial status of large-scale production commercial and economical aspects are dominating, bringing everything to the classical production of monocrystalline and multicrystalline wafers, both in connection with ID or multi-wire cutting. 5 figs., 4 tabs., 12 refs

  4. Costs of mixed low-level waste stabilization options

    International Nuclear Information System (INIS)

    Schwinkendorf, W.E.; Cooley, C.R.

    1998-01-01

    Selection of final waste forms to be used for disposal of DOE's mixed low-level waste (MLLW) depends on the waste form characteristics and total life cycle cost. In this paper the various cost factors associated with production and disposal of the final waste form are discussed and combined to develop life-cycle costs associated with several waste stabilization options. Cost factors used in this paper are based on a series of treatment system studies in which cost and mass balance analyses were performed for several mixed low-level waste treatment systems and various waste stabilization methods including vitrification, grout, phosphate bonded ceramic and polymer. Major cost elements include waste form production, final waste form volume, unit disposal cost, and system availability. Production of grout costs less than the production of a vitrified waste form if each treatment process has equal operating time (availability) each year; however, because of the lower volume of a high temperature slag, certification and handling costs and disposal costs of the final waste form are less. Both the total treatment cost and life cycle costs are higher for a system producing grout than for a system producing high temperature slag, assuming equal system availability. The treatment costs decrease with increasing availability regardless of the waste form produced. If the availability of a system producing grout is sufficiently greater than a system producing slag, then the cost of treatment for the grout system will be less than the cost for the slag system, and the life cycle cost (including disposal) may be less depending on the unit disposal cost. Treatment and disposal costs will determine the return on investment in improved system availability

  5. Molded, wafer level optics for long wave infra-red applications

    Science.gov (United States)

    Franks, John

    2016-05-01

    For many years, the Thermal Imaging market has been driven by the high volume consumer market. The first signs of this came with the launch of night vision systems for cars, first by Cadillac and Honda and then, more successfully by BMW, Daimler and Audi. For the first time, simple thermal imaging systems were being manufactured at the rate of more than 10,000 units a year. This step change in volumes enabled a step change in system costs, with thermal imaging moving into the consumer's price range. Today we see that the consumer awareness and the consumer market continues to increase with the launch of a number of consumer focused smart phone add-ons. This has brought a further step change in system costs, with the possibility to turn your mobile phone into a thermal imager for under $250. As the detector technology has matured, the pixel pitches have dropped from 50μm in 2002 to 12 μm or even 10μm in today's detectors. This dramatic shrinkage in size has had an equally dramatic effect on the optics required to produce the image on the detector. A moderate field of view that would have required a focal length of 40mm in 2002 now requires a focal length of 8mm. For wide field of view applications and small detector formats, focal lengths in the range 1mm to 5mm are becoming common. For lenses, the quantity manufactured, quality and costs will require a new approach to high volume Infra-Red (IR) manufacturing to meet customer expectations. This, taken with the SwaP-C requirements and the emerging requirement for very small lenses driven by the new detectors, suggests that wafer scale optics are part of the solution. Umicore can now present initial results from an intensive research and development program to mold and coat wafer level optics, using its chalcogenide glass, GASIR®.

  6. Wafer-level packaging with compression-controlled seal ring bonding

    Science.gov (United States)

    Farino, Anthony J

    2013-11-05

    A device may be provided in a sealed package by aligning a seal ring provided on a first surface of a first semiconductor wafer in opposing relationship with a seal ring that is provided on a second surface of a second semiconductor wafer and surrounds a portion of the second wafer that contains the device. Forcible movement of the first and second wafer surfaces toward one another compresses the first and second seal rings against one another. A physical barrier against the movement, other than the first and second seal rings, is provided between the first and second wafer surfaces.

  7. ParkinsonNet: A Low-Cost Health Care Innovation With A Systems Approach From The Netherlands.

    Science.gov (United States)

    Bloem, Bas R; Rompen, Lonneke; Vries, Nienke M de; Klink, Ab; Munneke, Marten; Jeurissen, Patrick

    2017-11-01

    ParkinsonNet, a low-cost innovation to optimize care for patients with Parkinson disease, was developed in 2004 as a network of physical therapists in several regions in the Netherlands. Since that time, the network has achieved full national reach, with 70 regional networks and around 3,000 specifically trained professionals from 12 disciplines. Key elements include the empowerment of professionals who are highly trained and specialized in Parkinson disease, the empowerment of patients by education and consultation, and the empowerment of integrated multidisciplinary teams to better address and manage the disease. Studies have found that the ParkinsonNet approach leads to outcomes that are at least as good as, if not better than, outcomes from usual care. One study found a 50 percent reduction in hip fractures and fewer inpatient admissions. Other studies suggest that ParkinsonNet leads to modest but important cost savings (at least US$439 per patient annually). These cost savings outweigh the costs of building and maintaining the network. Because of ParkinsonNet's success, the program has now spread to several other countries and serves as a model of a successful and scalable frugal innovation.

  8. Transaction Costs For Innovations Diffusion

    Directory of Open Access Journals (Sweden)

    Ilya A. Romanov

    2012-10-01

    Full Text Available The article deals with the analysis of transaction costs of the innovations distribution. The factors, affecting the innovations diffusion in accordance with the clusters, relations, dynamics of the distribution are disclosed. Transaction costs as a result of bounded rationality of economic entities are detected. The inevitability of transaction costs as an objective phenomenon is shown. Their dependence on the quality of economic information and information uncertainty is indicated. Correlative approach for the analysis of these costs is applied. The article justifies that the reduction of transaction costs increases the efficiency of innovations.

  9. High-κ Al{sub 2}O{sub 3} material in low temperature wafer-level bonding for 3D integration application

    Energy Technology Data Exchange (ETDEWEB)

    Fan, J., E-mail: fanji@hust.edu.cn; Tu, L. C. [MOE Key Laboratory of Fundamental Physical Quantities Measurement, School of Physics, Huazhong University of Science and Technology, Wuhan 430074 (China); Tan, C. S. [School of Electrical and Electronics Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2014-03-15

    This work systematically investigated a high-κ Al{sub 2}O{sub 3} material for low temperature wafer-level bonding for potential applications in 3D microsystems. A clean Si wafer with an Al{sub 2}O{sub 3} layer thickness of 50 nm was applied as our experimental approach. Bonding was initiated in a clean room ambient after surface activation, followed by annealing under inert ambient conditions at 300 °C for 3 h. The investigation consisted of three parts: a mechanical support study using the four-point bending method, hermeticity measurements using the helium bomb test, and thermal conductivity analysis for potential heterogeneous bonding. Compared with samples bonded using a conventional oxide bonding material (SiO{sub 2}), a higher interfacial adhesion energy (∼11.93 J/m{sup 2}) and a lower helium leak rate (∼6.84 × 10{sup −10} atm.cm{sup 3}/sec) were detected for samples bonded using Al{sub 2}O{sub 3}. More importantly, due to the excellent thermal conductivity performance of Al{sub 2}O{sub 3}, this technology can be used in heterogeneous direct bonding, which has potential applications for enhancing the performance of Si photonic integrated devices.

  10. Fabrication of High Aspect Ratio Through-Wafer Vias in CMOS Wafers for 3-D Packaging Applications

    DEFF Research Database (Denmark)

    Rasmussen, Frank Engel; Frech, J.; Heschel, M.

    2003-01-01

    A process for fabrication of through-wafer vias in CMOS wafers is presented. The process presented offers simple and well controlled fabrication of through-wafer vias using DRIE formation of wafer through-holes, low temperature deposition of through-hole insulation, doubled sided sputtering of Cr...

  11. Wafer-level radiometric performance testing of uncooled microbolometer arrays

    Science.gov (United States)

    Dufour, Denis G.; Topart, Patrice; Tremblay, Bruno; Julien, Christian; Martin, Louis; Vachon, Carl

    2014-03-01

    A turn-key semi-automated test system was constructed to perform on-wafer testing of microbolometer arrays. The system allows for testing of several performance characteristics of ROIC-fabricated microbolometer arrays including NETD, SiTF, ROIC functionality, noise and matrix operability, both before and after microbolometer fabrication. The system accepts wafers up to 8 inches in diameter and performs automated wafer die mapping using a microscope camera. Once wafer mapping is completed, a custom-designed quick insertion 8-12 μm AR-coated Germanium viewport is placed and the chamber is pumped down to below 10-5 Torr, allowing for the evaluation of package-level focal plane array (FPA) performance. The probe card is electrically connected to an INO IRXCAM camera core, a versatile system that can be adapted to many types of ROICs using custom-built interface printed circuit boards (PCBs). We currently have the capability for testing 384x288, 35 μm pixel size and 160x120, 52 μm pixel size FPAs. For accurate NETD measurements, the system is designed to provide an F/1 view of two rail-mounted blackbodies seen through the Germanium window by the die under test. A master control computer automates the alignment of the probe card to the dies, the positioning of the blackbodies, FPA image frame acquisition using IRXCAM, as well as data analysis and storage. Radiometric measurement precision has been validated by packaging dies measured by the automated probing system and re-measuring the SiTF and Noise using INO's pre-existing benchtop system.

  12. Wafer-level micro-optics: trends in manufacturing, testing, packaging, and applications

    Science.gov (United States)

    Voelkel, Reinhard; Gong, Li; Rieck, Juergen; Zheng, Alan

    2012-11-01

    Micro-optics is an indispensable key enabling technology (KET) for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the last decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks (supercomputer, ROADM), bringing high-speed internet to our homes (FTTH). Even our modern smart phones contain a variety of micro-optical elements. For example, LED flashlight shaping elements, the secondary camera, and ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by semiconductor industry. Thousands of components are fabricated in parallel on a wafer. We report on the state of the art in wafer-based manufacturing, testing, packaging and present examples and applications for micro-optical components and systems.

  13. Reticle variation influence on manufacturing line and wafer device performance

    Science.gov (United States)

    Nistler, John L.; Spurlock, Kyle

    1994-01-01

    Cost effective manufacturing of devices at 0.5, 0.35 and 0.25μm geometries will be highly dependent on a companys' ability to obtain an economic return on investment. The high capital investment in equipment and facilities, not to mention the related chemical and wafer costs, for producing 200mm silicon wafers requires aspects of wafer processing to be tightly controlled. Reduction in errors and enhanced yield management requires early correction or avoidance of reticle problems. It is becoming increasingly important to recognize and track all pertinent factors impacting both the technical and financial viability of a wafer manufacturing fabrication area. Reticle related effects on wafer manufacturing can be costly and affect the total quality perceived by the device customer.

  14. Thin film solar modules: the low cost, high throughput and versatile alternative to Si wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hegedus, S. [Delaware Univ., Inst. of Energy Conversion, Newark, DE (United States)

    2006-07-01

    Thin film solar cells (TFSC) have passed adolescence and are ready to make a substantial contribution to the world's electricity generation. They can have advantages over c-Si solar modules in ease of large area, lower cost manufacturing and in several types of applications. Factors which limit TFSC module performance relative to champion cell performance are discussed along with the importance of increased throughput and yield. The consensus of several studies is that all TFSC can achieve costs below 1 $/W if manufactured at sufficiently large scale >100 MW using parallel lines of cloned equipment with high material utilization and spray-on encapsulants. There is significant new commercial interest in TFSC from small investors and large corporations, validating the thin film approach. Unique characteristics are discussed which give TFSC an advantage over c-Si in two specific markets: small rural solar home systems and building integrated photovoltaic installations. TFSC have outperformed c-Si in annual energy production (kWhrs/kW), have demonstrated outdoor durability comparable to c-Si and are being used in MW scale installations worldwide. The merits of the thin film approach cannot be judged on the basis of efficiency alone but must also account for module performance and potential for low cost. TFSC advocates should promote their unique virtues compared to c-Si: lower cost, higher kWhr/kW output, higher battery charging current, attractive visual appearance, flexible substrates, long-term stability comparable to c-Si, and multiple pathways for deposition with room for innovation and evolutionary improvement. There is a huge market for TFSC even at today's efficiency if costs can be reduced. A brief window of opportunity exists for TFSC over the next few years due the Si shortage. The demonstrated capabilities and advantages of TFSC must be proclaimed more persistently to funding decision-makers and customers without minimizing the remaining

  15. Size of silicon strip sensor from 6 inch wafer (right) compared to that from a 4 inch wafer (left).

    CERN Multimedia

    Honma, Alan

    1999-01-01

    Silicon strip sensors made from 6 inch wafers will allow for much larger surface area coverage at a reduced cost per unit surface area. A prototype sensor of size 8cm x 11cm made by Hamamatsu from a 6 inch wafer is shown next to a traditional 6cm x 6cm sensor from a 4 inch wafer.

  16. Innovative High-Performance Deposition Technology for Low-Cost Manufacturing of OLED Lighting

    Energy Technology Data Exchange (ETDEWEB)

    Scott, David; Hamer, John

    2017-06-30

    In this project, OLEDWorks developed and demonstrated the innovative high-performance deposition technology required to deliver dramatic reductions in the cost of manufacturing OLED lighting in production equipment. The current high manufacturing cost of OLED lighting is the most urgent barrier to its market acceptance. The new deposition technology delivers solutions to the two largest parts of the manufacturing cost problem – the expense per area of good product for organic materials and for the capital cost and depreciation of the equipment. Organic materials cost is the largest expense item in the bill of materials and is predicted to remain so through 2020. The high-performance deposition technology developed in this project, also known as the next generation source (NGS), increases material usage efficiency from 25% found in current Gen2 deposition technology to 60%. This improvement alone results in a reduction of approximately $25/m2 of good product in organic materials costs, independent of production volumes. Additionally, this innovative deposition technology reduces the total depreciation cost from the estimated value of approximately $780/m2 of good product for state-of-the-art G2 lines (at capacity, 5-year straight line depreciation) to $170/m2 of good product from the OLEDWorks production line.

  17. Wafer-level chip-scale packaging analog and power semiconductor applications

    CERN Document Server

    Qu, Shichun

    2015-01-01

    This book presents a state-of-art and in-depth overview in analog and power WLCSP design, material characterization, reliability, and modeling. Recent advances in analog and power electronic WLCSP packaging are presented based on the development of analog technology and power device integration. The book covers in detail how advances in semiconductor content, analog and power advanced WLCSP design, assembly, materials, and reliability have co-enabled significant advances in fan-in and fan-out with redistributed layer (RDL) of analog and power device capability during recent years. Along with new analog and power WLCSP development, the role of modeling is a key to assure successful package design. An overview of the analog and power WLCSP modeling and typical thermal, electrical, and stress modeling methodologies is also provided. This book also: ·         Covers the development of wafer-level power discrete packaging with regular wafer-level design concepts and directly bumping technology ·    �...

  18. Low-temperature wafer-level gold thermocompression bonding: modeling of flatness deviations and associated process optimization for high yield and tough bonds

    Science.gov (United States)

    Stamoulis, Konstantinos; Tsau, Christine H.; Spearing, S. Mark

    2005-01-01

    Wafer-level, thermocompression bonding is a promising technique for MEMS packaging. The quality of the bond is critically dependent on the interaction between flatness deviations, the gold film properties and the process parameters and tooling used to achieve the bonds. The effect of flatness deviations on the resulting bond is investigated in the current work. The strain energy release rate associated with the elastic deformation required to overcome wafer bow is calculated. A contact yield criterion is used to examine the pressure and temperature conditions required to flatten surface roughness asperities in order to achieve bonding over the full apparent area. The results are compared to experimental data of bond yield and toughness obtained from four-point bend delamination testing and microscopic observations of the fractured surfaces. Conclusions from the modeling and experiments indicate that wafer bow has negligible effect on determining the variability of bond quality and that the well-bonded area is increased with increasing bonding pressure. The enhanced understanding of the underlying deformation mechanisms allows for a better controlled trade-off between the bonding pressure and temperature.

  19. COST EFFECTIVE VOC EMISSION CONTROL STARTEGIES FOR MILITARY, AEROSPACE,AND INDUSTRIAL PAINT SPRAY BOOTH OPERATIONS: COMBINING IMPROVED VENTILATION SYSTEMS WITH INNOVATIVE, LOW COST EMISSION CONTROL TECHNOLOGIES

    Science.gov (United States)

    The paper describes a full-scale demonstration program in which several paint booths were modified for recirculation ventilation; the booth exhaust streams are vented to an innovative volatile organic compound (VOC) emission control system having extremely low operating costs. ...

  20. MEMS packaging with etching and thinning of lid wafer to form lids and expose device wafer bond pads

    Science.gov (United States)

    Chanchani, Rajen; Nordquist, Christopher; Olsson, Roy H; Peterson, Tracy C; Shul, Randy J; Ahlers, Catalina; Plut, Thomas A; Patrizi, Gary A

    2013-12-03

    In wafer-level packaging of microelectromechanical (MEMS) devices a lid wafer is bonded to a MEMS wafer in a predermined aligned relationship. Portions of the lid wafer are removed to separate the lid wafer into lid portions that respectively correspond in alignment with MEMS devices on the MEMS wafer, and to expose areas of the MEMS wafer that respectively contain sets of bond pads respectively coupled to the MEMS devices.

  1. An innovative approach to solid Low Level Radioactive Waste processing and disposal

    International Nuclear Information System (INIS)

    Pancake, D.C. Jr.; Sodaro, M.A.

    1994-01-01

    This paper will focus on a new system of Low Level Radioactive Waste (LLW) accumulation, processing and packaging, as-well as the implementation of a Laboratory-wide training program used to introduce new waste accumulation containers to all of the on-site radioactive waste generators, and to train them on the requirements of this innovative waste characterization and documentation program

  2. Sliver Solar Cells: High-Efficiency, Low-Cost PV Technology

    Directory of Open Access Journals (Sweden)

    Evan Franklin

    2007-01-01

    Full Text Available Sliver cells are thin, single-crystal silicon solar cells fabricated using standard fabrication technology. Sliver modules, composed of several thousand individual Sliver cells, can be efficient, low-cost, bifacial, transparent, flexible, shadow tolerant, and lightweight. Compared with current PV technology, mature Sliver technology will need 10% of the pure silicon and fewer than 5% of the wafer starts per MW of factory output. This paper deals with two distinct challenges related to Sliver cell and Sliver module production: providing a mature and robust Sliver cell fabrication method which produces a high yield of highly efficient Sliver cells, and which is suitable for transfer to industry; and, handling, electrically interconnecting, and encapsulating billions of sliver cells at low cost. Sliver cells with efficiencies of 20% have been fabricated at ANU using a reliable, optimised processing sequence, while low-cost encapsulation methods have been demonstrated using a submodule technique.

  3. Wafer-Level Packaging Method for RF MEMS Applications Using Pre-Patterned BCB Polymer

    OpenAIRE

    Zhuhao Gong; Yulong Zhang; Xin Guo; Zewen Liu

    2018-01-01

    A radio-frequency micro-electro-mechanical system (RF MEMS) wafer-level packaging (WLP) method using pre-patterned benzo-cyclo-butene (BCB) polymers with a high-resistivity silicon cap is proposed to achieve high bonding quality and excellent RF performance. In this process, the BCB polymer was pre-defined to form the sealing ring and bonding layer by the spin-coating and patterning of photosensitive BCB before the cavity formation. During anisotropic wet etching of the silicon wafer to gener...

  4. The Evolution of Wafer Bonding Moving from the back-end further to the front-end

    Institute of Scientific and Technical Information of China (English)

    Thomas Glinsner; Peter Hangweier

    2009-01-01

    @@ 1 Introduction As the nanoscale era progresses, innovative new materials and processes continue to be developed and implemented as a means of keeping the industry on the path of Moore's Law. Wafer bonding - literally, the temporary or permanent joining of two wafers or substrates using a suitable combination of process technologies, chemicals and adhesives - is one such innovation.

  5. Evaluation of Low-Cost, Centimeter-Level Accuracy OEM GNSS Receivers

    Science.gov (United States)

    2018-02-02

    This report discusses the results of a study to quantify the performance of low-cost, centimeter-level accurate Global Navigation Satellite Systems (GNSS) receivers that have appeared on the market in the last few years. Centimeter-level accuracy is ...

  6. Self-adaptive phosphor coating technology for wafer-level scale chip packaging

    International Nuclear Information System (INIS)

    Zhou Linsong; Rao Haibo; Wang Wei; Wan Xianlong; Liao Junyuan; Wang Xuemei; Zhou Da; Lei Qiaolin

    2013-01-01

    A new self-adaptive phosphor coating technology has been successfully developed, which adopted a slurry method combined with a self-exposure process. A phosphor suspension in the water-soluble photoresist was applied and exposed to LED blue light itself and developed to form a conformal phosphor coating with self-adaptability to the angular distribution of intensity of blue light and better-performing spatial color uniformity. The self-adaptive phosphor coating technology had been successfully adopted in the wafer surface to realize a wafer-level scale phosphor conformal coating. The first-stage experiments show satisfying results and give an adequate demonstration of the flexibility of self-adaptive coating technology on application of WLSCP. (semiconductor devices)

  7. Graphitized silicon carbide microbeams: wafer-level, self-aligned graphene on silicon wafers

    International Nuclear Information System (INIS)

    Cunning, Benjamin V; Ahmed, Mohsin; Mishra, Neeraj; Kermany, Atieh Ranjbar; Iacopi, Francesca; Wood, Barry

    2014-01-01

    Currently proven methods that are used to obtain devices with high-quality graphene on silicon wafers involve the transfer of graphene flakes from a growth substrate, resulting in fundamental limitations for large-scale device fabrication. Moreover, the complex three-dimensional structures of interest for microelectromechanical and nanoelectromechanical systems are hardly compatible with such transfer processes. Here, we introduce a methodology for obtaining thousands of microbeams, made of graphitized silicon carbide on silicon, through a site-selective and wafer-scale approach. A Ni-Cu alloy catalyst mediates a self-aligned graphitization on prepatterned SiC microstructures at a temperature that is compatible with silicon technologies. The graphene nanocoating leads to a dramatically enhanced electrical conductivity, which elevates this approach to an ideal method for the replacement of conductive metal films in silicon carbide-based MEMS and NEMS devices. (paper)

  8. Improvements to the solar cell efficiency and production yields of low-lifetime wafers with effective phosphorus gettering

    International Nuclear Information System (INIS)

    Lu, Jiunn-Chenn; Chen, Ping-Nan; Chen, Chih-Min; Wu, Chung-Han

    2013-01-01

    Highlights: • Variable-temperature gettering improves efficiencies when the wafer quality is poor. • High-quality wafers need not be used for variable-temperature gettering. • The proposed gettering method is based on an existing diffusion process. • It has a potential interest for hot-spot prevention. -- Abstract: This research focuses on the improvement of solar cell efficiencies in low-lifetime wafers by implementing an appropriate gettering method of the diffusion process. The study also considers a reduction in the value of the reverse current at −12 V, an important electrical parameter related to the hot-spot heating of solar cells and modules, to improve the product's quality during commercial mass production. A practical solar cell production case study is examined to illustrate the use of the proposed method. The results of this case study indicate that variable-temperature gettering significantly improves solar cell efficiencies by 0.14% compared to constant-temperature methods when the wafer quality is poor. Moreover, this study finds that variable-temperature gettering raises production yields of low quality wafers by more than 30% by restraining the measurement value of the reverse current at −12 V during solar cell manufacturing

  9. Wafer-level hermetic vacuum packaging by bonding with a copper-tin thin film sealing ring

    Science.gov (United States)

    Akashi, Teruhisa; Funabashi, Hirofumi; Takagi, Hideki; Omura, Yoshiteru; Hata, Yoshiyuki

    2018-04-01

    A wafer-level hermetic vacuum packaging technology intended for use with MEMS devices was developed based on a copper-tin (CuSn) thin film sealing ring. To allow hermetic packaging, the shear strength of the CuSn thin film bond was improved by optimizing the pretreatment conditions. As a result, an average shear strength of 72.3 MPa was obtained and a cavity that had been hermetically sealed using wafer-level packaging (WLP) maintained its vacuum for 1.84 years. The total pressures in the cavities and the partial pressures of residual gases were directly determined with an ultra-low outgassing residual gas analyzer (RGA) system. Hermeticity was evaluated based on helium leak rates, which were calculated from helium pressures determined with the RGA system. The resulting data showed that a vacuum cavity following 1.84 years storage had a total pressure of 83.1 Pa, contained argon as the main residual gas and exhibited a helium leak rate as low as 1.67  ×  10-17 Pa · m3 s-1, corresponding to an air leak rate of 6.19  ×  10-18 Pa · m3 s-1. The RGA data demonstrate that WLP using a CuSn thin film sealing ring permits ultra-high hermeticity in conjunction with long-term vacuum packaging that is applicable to MEMS devices.

  10. Development of Innovative Technology to Provide Low-Cost Surface Atmospheric Observations

    Science.gov (United States)

    Kucera, Paul; Steinson, Martin

    2016-04-01

    Accurate and reliable real-time monitoring and dissemination of observations of surface weather conditions is critical for a variety of societal applications. Applications that provide local and regional information about temperature, precipitation, moisture, and winds, for example, are important for agriculture, water resource monitoring, health, and monitoring of hazard weather conditions. In many regions in Africa (and other global locations), surface weather stations are sparsely located and/or of poor quality. Existing stations have often been sited incorrectly, not well-maintained, and have limited communications established at the site for real-time monitoring. The US National Weather Service (NWS) International Activities Office (IAO) in partnership with University Corporation for Atmospheric Research (UCAR)/National Center for Atmospheric Research (NCAR) and funded by the United States Agency for International Development (USAID) Office of Foreign Disaster Assistance (OFDA) has started an initiative to develop and deploy low-cost weather instrumentation in sparsely observed regions of the world. The goal is to provide observations for environmental monitoring, and early warning alert systems that can be deployed at weather services in developing countries. Instrumentation is being designed using innovative new technologies such as 3D printers, Raspberry Pi computing systems, and wireless communications. The initial effort is focused on designing a surface network using GIS-based tools, deploying an initial network in Zambia, and providing training to Zambia Meteorological Department (ZMD) staff. The presentation will provide an overview of the project concepts, design of the low cost instrumentation, and initial experiences deploying a surface network deployment in Zambia.

  11. Impact Of Health Care Delivery System Innovations On Total Cost Of Care.

    Science.gov (United States)

    Smith, Kevin W; Bir, Anupa; Freeman, Nikki L B; Koethe, Benjamin C; Cohen, Julia; Day, Timothy J

    2017-03-01

    Using delivery system innovations to advance health care reform continues to be of widespread interest. However, it is difficult to generalize about the success of specific types of innovations, since they have been examined in only a few studies. To gain a broader perspective, we analyzed the results of forty-three ambulatory care programs funded by the first round of the Center for Medicare and Medicaid Innovation's Health Care Innovations Awards. The innovations' impacts on total cost of care were estimated by independent evaluators using multivariable difference-in-differences models. Through the first two years, most of the innovations did not show a significant effect on total cost of care. Using meta-regression, we assessed the effects on costs of five common components of these innovations. Innovations that used health information technology or community health workers achieved the greatest cost savings. Savings were also relatively large in programs that targeted clinically fragile patients-clinically complex populations at risk for disease progression. While the magnitude of these effects was often substantial, none achieved conventional levels of significance in our analyses. Meta-analyses of a larger number of delivery system innovations are needed to more clearly establish their potential for patient care cost savings. Project HOPE—The People-to-People Health Foundation, Inc.

  12. Waste Management Facilities cost information for mixed low-level waste. Revision 1

    International Nuclear Information System (INIS)

    Shropshire, D.; Sherick, M.; Biadgi, C.

    1995-06-01

    This report contains preconceptual designs and planning level life-cycle cost estimates for managing mixed low-level waste. The report's information on treatment, storage, and disposal modules can be integrated to develop total life-cycle costs for various waste management options. A procedure to guide the US Department of Energy and its contractor personnel in the use of cost estimation data is also summarized in this report

  13. Standard semiconductor packaging for high-reliability low-cost MEMS applications

    Science.gov (United States)

    Harney, Kieran P.

    2005-01-01

    Microelectronic packaging technology has evolved over the years in response to the needs of IC technology. The fundamental purpose of the package is to provide protection for the silicon chip and to provide electrical connection to the circuit board. Major change has been witnessed in packaging and today wafer level packaging technology has further revolutionized the industry. MEMS (Micro Electro Mechanical Systems) technology has created new challenges for packaging that do not exist in standard ICs. However, the fundamental objective of MEMS packaging is the same as traditional ICs, the low cost and reliable presentation of the MEMS chip to the next level interconnect. Inertial MEMS is one of the best examples of the successful commercialization of MEMS technology. The adoption of MEMS accelerometers for automotive airbag applications has created a high volume market that demands the highest reliability at low cost. The suppliers to these markets have responded by exploiting standard semiconductor packaging infrastructures. However, there are special packaging needs for MEMS that cannot be ignored. New applications for inertial MEMS devices are emerging in the consumer space that adds the imperative of small size to the need for reliability and low cost. These trends are not unique to MEMS accelerometers. For any MEMS technology to be successful the packaging must provide the basic reliability and interconnection functions, adding the least possible cost to the product. This paper will discuss the evolution of MEMS packaging in the accelerometer industry and identify the main issues that needed to be addressed to enable the successful commercialization of the technology in the automotive and consumer markets.

  14. Waste Management Facilities cost information for mixed low-level waste. Revision 1

    Energy Technology Data Exchange (ETDEWEB)

    Shropshire, D.; Sherick, M.; Biadgi, C.

    1995-06-01

    This report contains preconceptual designs and planning level life-cycle cost estimates for managing mixed low-level waste. The report`s information on treatment, storage, and disposal modules can be integrated to develop total life-cycle costs for various waste management options. A procedure to guide the US Department of Energy and its contractor personnel in the use of cost estimation data is also summarized in this report.

  15. Towards the next generation 23% efficient n-type cells with low cost manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Yelundur, Vijay [Suniva Inc., Norcross, GA (United States)

    2017-04-19

    Suniva, Inc., in collaboration with the University Center for Excellence in Photovoltaics (UCEP) at the Georgia Institute of Technology (GIT) proposed this comprehensive three year program to enable the development of an advanced high performance product that will help the US regain its competitive edge in PV. This project was designed to overcome cost and efficiency barriers through advances in PV science, technology innovation, low-cost manufacturing and full production of ~22.5% efficient n-type Si cells in Norcross, GA. At the heart of the project is the desire to complement the technology being developed concurrently under the Solarmat and ARPAe initiatives to develop a differentiated product superior in both performance and cost effectiveness to the competing alternatives available on the market, and push towards achieving SunShot objectives while ensuring a sustainable business model based on US manufacturing. A significant reduction of the costs in modules produced today will need to combine reductions in wafer costs, cell processing costs as well as module fabrication costs while delivering a product that is not only more efficient under test conditions but also increases the energy yield in outdoor operations. This project will result in a differentiated high performance product and technology that is consistent with sustaining PV manufacturing in the US for a longer term and further highlights the need for continued support for developing the next generation concepts that can keep US manufacturing thriving to support the growing demand for PV in the US and consistent with the US government’s mandates for energy independence.

  16. Technological innovations for a sustainable business model in the semiconductor industry

    Science.gov (United States)

    Levinson, Harry J.

    2014-09-01

    Increasing costs of wafer processing, particularly for lithographic processes, have made it increasingly difficult to achieve simultaneous reductions in cost-per-function and area per device. Multiple patterning techniques have made possible the fabrication of circuit layouts below the resolution limit of single optical exposures but have led to significant increases in the costs of patterning. Innovative techniques, such as self-aligned double patterning (SADP) have enabled good device performance when using less expensive patterning equipment. Other innovations have directly reduced the cost of manufacturing. A number of technical challenges must be overcome to enable a return to single-exposure patterning using short wavelength optical techniques, such as EUV patterning.

  17. Wafer-level hermetic thermo-compression bonding using electroplated gold sealing frame planarized by fly-cutting

    Science.gov (United States)

    Farisi, Muhammad Salman Al; Hirano, Hideki; Frömel, Jörg; Tanaka, Shuji

    2017-01-01

    In this paper, a novel wafer-level hermetic packaging technology for heterogeneous device integration is presented. Hermetic sealing is achieved by low-temperature thermo-compression bonding using electroplated Au micro-sealing frame planarized by single-point diamond fly-cutting. The proposed technology has significant advantages compared to other established processes in terms of integration of micro-structured wafer, vacuum encapsulation and electrical interconnection, which can be achieved at the same time. Furthermore, the technology is also achievable for a bonding frame width as narrow as 30 μm, giving it an advantage from a geometry perspective, and bonding temperatures as low as 300 °C, making it advantageous for temperature-sensitive devices. Outgassing in vacuum sealed cavities is studied and a cavity pressure below 500 Pa is achieved by introducing annealing steps prior to bonding. The pressure of the sealed cavity is measured by zero-balance method utilizing diaphragm-structured bonding test devices. The leak rate into the packages is determined by long-term sealed cavity pressure measurement for 1500 h to be less than 2.0× {{10}-14} Pa m3s-1. In addition, the bonding shear strength is also evaluated to be higher than 100 MPa.

  18. An optical MEMS accelerometer fabricated using double-sided deep reactive ion etching on silicon-on-insulator wafer

    Science.gov (United States)

    Teo, Adrian J. T.; Li, Holden; Tan, Say Hwa; Yoon, Yong-Jin

    2017-06-01

    Optical MEMS devices provide fast detection, electromagnetic resilience and high sensitivity. Using this technology, an optical gratings based accelerometer design concept was developed for seismic motion detection purposes that provides miniaturization, high manufacturability, low costs and high sensitivity. Detailed in-house fabrication procedures of a double-sided deep reactive ion etching (DRIE) on a silicon-on-insulator (SOI) wafer for a micro opto electro mechanical system (MOEMS) device are presented and discussed. Experimental results obtained show that the conceptual device successfully captured motion similar to a commercial accelerometer with an average sensitivity of 13.6 mV G-1, and a highest recorded sensitivity of 44.1 mV G-1. A noise level of 13.5 mV was detected due to experimental setup limitations. This is the first MOEMS accelerometer developed using double-sided DRIE on SOI wafer for the application of seismic motion detection, and is a breakthrough technology platform to open up options for lower cost MOEMS devices.

  19. An optical MEMS accelerometer fabricated using double-sided deep reactive ion etching on silicon-on-insulator wafer

    International Nuclear Information System (INIS)

    Teo, Adrian J T; Li, Holden; Yoon, Yong-Jin; Tan, Say Hwa

    2017-01-01

    Optical MEMS devices provide fast detection, electromagnetic resilience and high sensitivity. Using this technology, an optical gratings based accelerometer design concept was developed for seismic motion detection purposes that provides miniaturization, high manufacturability, low costs and high sensitivity. Detailed in-house fabrication procedures of a double-sided deep reactive ion etching (DRIE) on a silicon-on-insulator (SOI) wafer for a micro opto electro mechanical system (MOEMS) device are presented and discussed. Experimental results obtained show that the conceptual device successfully captured motion similar to a commercial accelerometer with an average sensitivity of 13.6 mV G −1 , and a highest recorded sensitivity of 44.1 mV G −1 . A noise level of 13.5 mV was detected due to experimental setup limitations. This is the first MOEMS accelerometer developed using double-sided DRIE on SOI wafer for the application of seismic motion detection, and is a breakthrough technology platform to open up options for lower cost MOEMS devices. (technical note)

  20. New, mechanically textured high-efficiency solar cells of low-cost silicon foil material. Final report; Neuartige, mechanisch texturierte Hochleistungssolarzellen aus kostenguenstigem Siliziumfolienmaterial. Abschlussbericht

    Energy Technology Data Exchange (ETDEWEB)

    Bucher, E.; Fath, P.; Boueke, A.; Gerhards, C.; Huster, F.; Kuehn, R.; Hahn, G.; Terheiden, B.

    2001-07-01

    The project investigated the efficiency increase of solar cells made of multicrystalline silicon. Since 1992, Constance University has been working on a texturing process based on fast rotating profile tools. The technology is a low-cost grinding technology and will enhance the efficiency of multicrystalline Si solar cell processes in industrial applications. Combined with innovative cell concepts (semi-transparent POWER solar cells, rolling pressure metallization, innovative cell connection), the process has considerable technology transfer and marketing potential. The project intended a systematic improvement of the results achieved so far on the basis of new ideas and full exploitation of the available technological potential in the field of wafer, foil and thin film processes. [German] Zu Beginn des Vorhabens zeichnete sich weltweit der Trend ab, zunehmend multikristallines Silizium, blockgegossenes sowie foliengezogenes, in der Photovoltaik einzusetzen. Daraus ergab sich die Fragestellung der Steigerung des Solarzellenwirkungswirkungsgrades insbesondere auf diesen Materialien. Zwei wesentliche Aspekte sind dabei zu beruecksichtigen: eine effiziente Oberflaechentextur und eine angepasste Prozessoptimierung inklusive Volumenpasssivierung. Bei dem an der Universitaet Konstanz seit 1992 in der Laborentwicklung befindlichen Texturierungsverfahren auf Basis schnellrotierenden Profilwerkzeuge handelte es sich um eine vielseitig verwendbare Technologie, die zum einen als reines mechanisches Schleifverfahren kostenguenstig erscheint und zum anderen zu Wirkungsgradsteigerungen bei industrienahen multikristallinen Silizium-Solarzellenprozessen fuehrt. In Verbindung mit innovativen Zellkonzepten (semitransparente POWER-Solarzellen, Rolldruckmetallisierung, innovative Zellverschaltung) verfuegt dieses Verfahren ueber ein erhebliches Technologietransfer- und Marktpotential. Das vorliegende Vorhaben verfolgte eine systematische Verbesserung der bereits erzielten Ergebnisse

  1. Towards ultra-thin plasmonic silicon wafer solar cells with minimized efficiency loss.

    Science.gov (United States)

    Zhang, Yinan; Stokes, Nicholas; Jia, Baohua; Fan, Shanhui; Gu, Min

    2014-05-13

    The cost-effectiveness of market-dominating silicon wafer solar cells plays a key role in determining the competiveness of solar energy with other exhaustible energy sources. Reducing the silicon wafer thickness at a minimized efficiency loss represents a mainstream trend in increasing the cost-effectiveness of wafer-based solar cells. In this paper we demonstrate that, using the advanced light trapping strategy with a properly designed nanoparticle architecture, the wafer thickness can be dramatically reduced to only around 1/10 of the current thickness (180 μm) without any solar cell efficiency loss at 18.2%. Nanoparticle integrated ultra-thin solar cells with only 3% of the current wafer thickness can potentially achieve 15.3% efficiency combining the absorption enhancement with the benefit of thinner wafer induced open circuit voltage increase. This represents a 97% material saving with only 15% relative efficiency loss. These results demonstrate the feasibility and prospect of achieving high-efficiency ultra-thin silicon wafer cells with plasmonic light trapping.

  2. Design and development of wafer-level near-infrared micro-camera

    Science.gov (United States)

    Zeller, John W.; Rouse, Caitlin; Efstathiadis, Harry; Haldar, Pradeep; Dhar, Nibir K.; Lewis, Jay S.; Wijewarnasuriya, Priyalal; Puri, Yash R.; Sood, Ashok K.

    2015-08-01

    SiGe offers a low-cost alternative to conventional infrared sensor material systems such as InGaAs, InSb, and HgCdTe for developing near-infrared (NIR) photodetector devices that do not require cooling and can offer high bandwidths and responsivities. As a result of the significant difference in thermal expansion coefficients between germanium and silicon, tensile strain incorporated into Ge epitaxial layers deposited on Si utilizing specialized growth processes can extend the operational range of detection to 1600 nm and longer wavelengths. We have fabricated SiGe based PIN detector devices on 300 mm diameter Si wafers in order to take advantage of high throughput, large-area complementary metal-oxide semiconductor (CMOS) technology. This device fabrication process involves low temperature epitaxial deposition of Ge to form a thin p+ seed/buffer layer, followed by higher temperature deposition of a thicker Ge intrinsic layer. An n+-Ge layer formed by ion implantation of phosphorus, passivating oxide cap, and then top copper contacts complete the PIN photodetector design. Various techniques including transmission electron microscopy (TEM) and secondary ion mass spectrometry (SIMS) have been employed to characterize the material and structural properties of the epitaxial growth and fabricated detector devices. In addition, electrical characterization was performed to compare the I-V dark current vs. photocurrent response as well as the time and wavelength varying photoresponse properties of the fabricated devices, results of which are likewise presented.

  3. Admissibility of building cost subsidy in the power grid above the low voltage level

    International Nuclear Information System (INIS)

    Foerster, Sven

    2015-01-01

    Electricity networks are essential to the provision of electrical power to businesses and individuals. In particular for manufacturing businesses a connection to the grid above the low-voltage level is often useful. Network operators demand a subsidy for the new connection and for the change to a higher network level under the auspices of construction cost. The power network market above the low-voltage level is a natural monopoly. This leaves consumers looking for a connection to the power grid with no possibility to select among different network operators. Construction cost subsidies are not regulated by law above the low voltage level. The lack of legal regulation and the natural monopoly above the low-voltage level affect the balance of power between network operators and system users. The lawfulness of the construction cost subsidies, the prerequisites for their demand and a review of the calculation models (Leistungspreismodell, 2-Ebenen-Modell) as well as a proposal for a reform of this system form the subject of this work.

  4. Start-up Costs, Taxes and Innovative Entrepreneurship

    NARCIS (Netherlands)

    P. Darnihamedani (Pourya); J.H. Block (Jörn); S.J.A. Hessels (Jolanda); A. Simonyan (Aram)

    2015-01-01

    markdownabstract__Abstract__ Prior research suggests that start-up costs and taxes negatively influence entry into entrepreneurship. Yet, no distinction is made regarding the type of entrepreneurship, particularly innovative versus non-innovative entrepreneurship. Start-up costs, being one-off

  5. Laser wafering for silicon solar

    International Nuclear Information System (INIS)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-01-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W p (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs (∼20%), embodied energy, and green-house gas GHG emissions (∼50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 (micro)m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  6. Laser wafering for silicon solar.

    Energy Technology Data Exchange (ETDEWEB)

    Friedmann, Thomas Aquinas; Sweatt, William C.; Jared, Bradley Howell

    2011-03-01

    Current technology cuts solar Si wafers by a wire saw process, resulting in 50% 'kerf' loss when machining silicon from a boule or brick into a wafer. We want to develop a kerf-free laser wafering technology that promises to eliminate such wasteful wire saw processes and achieve up to a ten-fold decrease in the g/W{sub p} (grams/peak watt) polysilicon usage from the starting polysilicon material. Compared to today's technology, this will also reduce costs ({approx}20%), embodied energy, and green-house gas GHG emissions ({approx}50%). We will use short pulse laser illumination sharply focused by a solid immersion lens to produce subsurface damage in silicon such that wafers can be mechanically cleaved from a boule or brick. For this concept to succeed, we will need to develop optics, lasers, cleaving, and high throughput processing technologies capable of producing wafers with thicknesses < 50 {micro}m with high throughput (< 10 sec./wafer). Wafer thickness scaling is the 'Moore's Law' of silicon solar. Our concept will allow solar manufacturers to skip entire generations of scaling and achieve grid parity with commercial electricity rates. Yet, this idea is largely untested and a simple demonstration is needed to provide credibility for a larger scale research and development program. The purpose of this project is to lay the groundwork to demonstrate the feasibility of laser wafering. First, to design and procure on optic train suitable for producing subsurface damage in silicon with the required damage and stress profile to promote lateral cleavage of silicon. Second, to use an existing laser to produce subsurface damage in silicon, and third, to characterize the damage using scanning electron microscopy and confocal Raman spectroscopy mapping.

  7. Comparison of costs for alternative mixed low-level waste treatment systems

    International Nuclear Information System (INIS)

    Schwinkendorf, W.E.; Harvego, L.; Cooley, C.R.; Biagi, C.

    1996-01-01

    Total life cycle costs (TLCCs), including disposal costs, of thermal, nonthermal and enhanced nonthermal systems were evaluated to guide future research and development programs for the treatment of mixed low-level waste (MLLW) consisting of RCRA hazardous and low-level radioactive wastes. In these studies, nonthermal systems are defined as those systems that process waste at temperatures less than 350 C. Preconceptual designs and costs were developed for thirty systems with a capacity (2,927 lbs/hr) to treat the DOE MLLW stored inventor y(approximately 236 million pounds) in 20 years in a single, centralized facility. A limited comparison of the studies' results is presented in this paper. Sensitivity of treatment costs with respect to treatment capacity, number of treatment facilities, and system availability were also determined. The major cost element is operations and maintenance (O and M), which is 50 to 60% of the TLCC for both thermal and nonthermal systems. Energy costs constitute a small fraction (< 1%) of the TLCCs. Equipment cost is only 3 to 5% of the treatment cost. Evaluation of subsystem costs demonstrate that receiving and preparation is the highest cost subsystem at about 25 to 30% of the TLCC for both thermal and nonthermal systems. These studies found no cost incentives to use nonthermal or hybrid (combined nonthermal treatment with stabilization by vitrification) systems in place of thermal systems. However, there may be other incentives including fewer air emissions and less local objection to a treatment facility. Building multiple treatment facilities to treat the same total mass of waste as a single facility would increase the total treatment cost significantly, and improved system availability decreases unit treatment costs by 17% to 30%

  8. CMOS-MEMS Test-Key for Extracting Wafer-Level Mechanical Properties

    Directory of Open Access Journals (Sweden)

    Pei-Zen Chang

    2012-12-01

    Full Text Available This paper develops the technologies of mechanical characterization of CMOS-MEMS devices, and presents a robust algorithm for extracting mechanical properties, such as Young’s modulus, and mean stress, through the external electrical circuit behavior of the micro test-key. An approximate analytical solution for the pull-in voltage of bridge-type test-key subjected to electrostatic load and initial stress is derived based on Euler’s beam model and the minimum energy method. Then one can use the aforesaid closed form solution of the pull-in voltage to extract the Young’s modulus and mean stress of the test structures. The test cases include the test-key fabricated by a TSMC 0.18 μm standard CMOS process, and the experimental results refer to Osterberg’s work on the pull-in voltage of single crystal silicone microbridges. The extracted material properties calculated by the present algorithm are valid. Besides, this paper also analyzes the robustness of this algorithm regarding the dimension effects of test-keys. This mechanical properties extracting method is expected to be applicable to the wafer-level testing in micro-device manufacture and compatible with the wafer-level testing in IC industry since the test process is non-destructive.

  9. Low-temperature magnetotransport in Si/SiGe heterostructures on 300 mm Si wafers

    Science.gov (United States)

    Scappucci, Giordano; Yeoh, L.; Sabbagh, D.; Sammak, A.; Boter, J.; Droulers, G.; Kalhor, N.; Brousse, D.; Veldhorst, M.; Vandersypen, L. M. K.; Thomas, N.; Roberts, J.; Pillarisetty, R.; Amin, P.; George, H. C.; Singh, K. J.; Clarke, J. S.

    Undoped Si/SiGe heterostructures are a promising material stack for the development of spin qubits in silicon. To deploy a qubit into high volume manufacturing in a quantum computer requires stringent control over substrate uniformity and quality. Electron mobility and valley splitting are two key electrical metrics of substrate quality relevant for qubits. Here we present low-temperature magnetotransport measurements of strained Si quantum wells with mobilities in excess of 100000 cm2/Vs fabricated on 300 mm wafers within the framework of advanced semiconductor manufacturing. These results are benchmarked against the results obtained in Si quantum wells deposited on 100 mm Si wafers in an academic research environment. To ensure rapid progress in quantum wells quality we have implemented fast feedback loops from materials growth, to heterostructure FET fabrication, and low temperature characterisation. On this topic we will present recent progress in developing a cryogenic platform for high-throughput magnetotransport measurements.

  10. Microinsurance: innovations in low-cost health insurance.

    Science.gov (United States)

    Dror, David M; Radermacher, Ralf; Khadilkar, Shrikant B; Schout, Petra; Hay, François-Xavier; Singh, Arbind; Koren, Ruth

    2009-01-01

    Microinsurance--low-cost health insurance based on a community, cooperative, or mutual and self-help arrangements-can provide financial protection for poor households and improve access to health care. However, low benefit caps and a low share of premiums paid as benefits--both designed to keep these arrangements in business--perversely limited these schemes' ability to extend coverage, offer financial protection, and retain members. We studied three schemes in India, two of which are member-operated and one a commercial scheme, using household surveys of insured and uninsured households and interviews with managers. All three enrolled poor households and raised their use of hospital services, as intended. Financial exposure was greatest, and protection was least, in the commercial scheme, which imposed the lowest caps on benefits and where income was the lowest.

  11. Polymer-based 2D/3D wafer level heterogeneous integration for SSL module

    NARCIS (Netherlands)

    Yuan, C.; Wei, J.; Ye, H.; Koh, S.; Harianto, S.; Nieuwenhof, M.A. van den; Zhang, G.Q.

    2012-01-01

    This paper demonstrates a heterogeneous integration of solid state lighting (SSL) module, including light source (LED) and driver/control components. Such integration has been realized by the polymer-based reconfigured wafer level package technologies and such structure has been prototyped and

  12. Development of low-cost silicon crystal growth techniques for terrestrial photovoltaic solar energy conversion

    Science.gov (United States)

    Zoutendyk, J. A.

    1976-01-01

    Because of the growing need for new sources of electrical energy, photovoltaic solar energy conversion is being developed. Photovoltaic devices are now being produced mainly from silicon wafers obtained from the slicing and polishing of cylindrically shaped single crystal ingots. Inherently high-cost processes now being used must either be eliminated or modified to provide low-cost crystalline silicon. Basic to this pursuit is the development of new or modified methods of crystal growth and, if necessary, crystal cutting. If silicon could be grown in a form requiring no cutting, a significant cost saving would potentially be realized. Therefore, several techniques for growth in the form of ribbons or sheets are being explored. In addition, novel techniques for low-cost ingot growth and cutting are under investigation.

  13. Innovating Without Information Constraints: Organizations, Communities, and Innovation When Information Costs Approach Zero

    OpenAIRE

    Elizabeth J. Altman; Frank Nagle; Michael L. Tushman

    2013-01-01

    Innovation traditionally takes place within an organization's boundaries and with selected partners. This Chandlerian approach is rooted in transaction costs, organizational boundaries, and information challenges. Information processing, storage, and communication costs have been an important constraint on innovation and a reason why innovation takes place inside the organization. However, exponential technological progress is dramatically decreasing information constraints, and in many conte...

  14. Sputtered Encapsulation as Wafer Level Packaging for Isolatable MEMS Devices: A Technique Demonstrated on a Capacitive Accelerometer

    Directory of Open Access Journals (Sweden)

    Azrul Azlan Hamzah

    2008-11-01

    Full Text Available This paper discusses sputtered silicon encapsulation as a wafer level packaging approach for isolatable MEMS devices. Devices such as accelerometers, RF switches, inductors, and filters that do not require interaction with the surroundings to function, could thus be fully encapsulated at the wafer level after fabrication. A MEMSTech 50g capacitive accelerometer was used to demonstrate a sputtered encapsulation technique. Encapsulation with a very uniform surface profile was achieved using spin-on glass (SOG as a sacrificial layer, SU-8 as base layer, RF sputtered silicon as main structural layer, eutectic gold-silicon as seal layer, and liquid crystal polymer (LCP as outer encapsulant layer. SEM inspection and capacitance test indicated that the movable elements were released after encapsulation. Nanoindentation test confirmed that the encapsulated device is sufficiently robust to withstand a transfer molding process. Thus, an encapsulation technique that is robust, CMOS compatible, and economical has been successfully developed for packaging isolatable MEMS devices at the wafer level.

  15. 77 FR 64361 - Report on Waste Burial Charges: Changes in Decommissioning Waste Disposal Costs at Low-Level...

    Science.gov (United States)

    2012-10-19

    ... Decommissioning Waste Disposal Costs at Low-Level Waste Burial Facilities AGENCY: Nuclear Regulatory Commission... 15, ``Report on Waste Burial Charges: Changes in Decommissioning Waste Disposal Costs at Low-Level... for low-level waste. DATES: Submit comments by November 15, 2012. Comments received after this date...

  16. Life-Cycle Cost Study for a Low-Level Radioactive Waste Disposal Facility in Texas

    International Nuclear Information System (INIS)

    Rogers, B.C.; Walter, P.L.; Baird, R.D.

    1999-01-01

    This report documents the life-cycle cost estimates for a proposed low-level radioactive waste disposal facility near Sierra Blanca, Texas. The work was requested by the Texas Low-Level Radioactive Waste Disposal Authority and performed by the National Low-Level Waste Management Program with the assistance of Rogers and Associates Engineering Corporation

  17. Interim report: Waste management facilities cost information for mixed low-level waste

    International Nuclear Information System (INIS)

    Feizollahi, F.; Shropshire, D.

    1994-03-01

    This report contains preconceptual designs and planning level life-cycle cost estimates for treating alpha and nonalpha mixed low-level radioactive waste. This report contains information on twenty-seven treatment, storage, and disposal modules that can be integrated to develop total life cycle costs for various waste management options. A procedure to guide the US Department of Energy and its contractor personnel in the use of estimating data is also summarized in this report

  18. Clean solutions to the incoming wafer quality impact on lithography process yield limits in a dynamic copper/low-k research and development environment

    Science.gov (United States)

    Lysaght, Patrick S.; Ybarra, Israel; Sax, Harry; Gupta, Gaurav; West, Michael; Doros, Theodore G.; Beach, James V.; Mello, Jim

    2000-06-01

    particle/residual contamination, (2) wafer flatness, and (3) control of contaminant materials such as copper (Cu). Data associated with the SpCE process, optimized for flatness improvement, particle removal, and Cu contamination control is presented in this paper, as it relates to excessive consumption of the usable depth of focus (UDOF) and comprehensive yield enhancement in photolithography. Additionally, data illustrating a highly effective means of eliminating copper from the wafer backside, bevel/edge, and frontside edge exclusion zone (0.5 mm - 3 mm), is presented. The data, obtained within the framework of standard and experimental copper/low-k device production at SEMATECH, quantifies the benefits of implementing the SEZ SpCE clean operation. Furthermore, this data confirms the feasibility of utilizing existing (non-copper) process equipment in conjunction with the development of copper applications by verifying the reliability and cost effectiveness of SpCE functionality.

  19. Accelerated GaAs growth through MOVPE for low-cost PV applications

    Science.gov (United States)

    Ubukata, Akinori; Sodabanlu, Hassanet; Watanabe, Kentaroh; Koseki, Shuichi; Yano, Yoshiki; Tabuchi, Toshiya; Sugaya, Takeyoshi; Matsumoto, Koh; Nakano, Yoshiaki; Sugiyama, Masakazu

    2018-05-01

    The high growth rate of epitaxial GaAs was investigated using a novel horizontal metalorganic vapor phase epitaxy (MOVPE) reactor, from the point of view of realizing low-cost photovoltaic (PV) solar cells. The GaAs growth rate exhibited an approximately linear relationship with the amount of trimethylgalium (TMGa) supplied, up to a rate of 90 μm/h. The distribution of growth rate was observed for a two-inch wafer, along the flow direction, and the normalized profile of the distribution was found to be independent of the precursor input, from 20 to 70 μm/h. These tendencies indicated that significant parasitic prereaction did not occur in the gaseous phase, for this range of growth rate. GaAs p-n single-junction solar cells were successfully fabricated at growth rates of 20, 60, and 80 μm/h. The conversion efficiency of the cell grown at 80 μm/h was comparable to that of the 20 μm/h cell, indicating the good quality and properties of GaAs. The epitaxial growth exhibited good uniformity, as evidenced by the uniformity of the cell performance across the wafer, from the center to the edge. The result indicated the potential of high-throughput MOVPE for low-cost production, not only for PV devices but also for other semiconductor applications.

  20. A novel kerf-free wafering process combining stress-induced spalling and low energy hydrogen implantation

    Energy Technology Data Exchange (ETDEWEB)

    Pingault, Timothee; Pokam-Kuisseu, Pauline Sylvia; Ntsoenzok, Esidor [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Blondeau, Jean-Philippe [CEMTHI - CNRS, Site Cyclotron, 3 A rue de la Ferollerie, 45071 Orleans (France); Universite d' Orleans, Chateau de la Source, 45100 Orleans (France); Ulyashin, Alexander [SINTEF, Forskningsveien 1, 0314 Oslo (Norway); Labrim, Hicham; Belhorma, Bouchra [CNESTEN, B.P. 1382 R.P., 10001 Rabat (Morocco)

    2016-12-15

    In this work, we studied the potential use of low-energy hydrogen implantation as a guide for the stress-induced cleavage. Low-energy, high fluence hydrogen implantation in silicon leads, in the right stiffening conditions, to the detachment of a thin layer, around a few hundreds nm thick, of monocrystalline silicon. We implanted monocrystalline silicon wafers with low-energy hydrogen, and then glued them on a cheap metal layer. Upon cooling down, the stress induced by the stressor layers (hardened glue and metal) leads to the detachment of a thin silicon layer, which thickness is determined by the implantation energy. We were then able to clearly demonstrate that, as expected, hydrogen oversaturation layer is very efficient to guide the stress. Using such process, thin silicon layers of around 710 nm-thick were successfully detached from low-energy implanted silicon wafers. Such layers can be used for the growth of very good quality monocrystalline silicon of around 50 μm-thick or less. (copyright 2016 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  1. Level of understanding of innovation among the Malaysian executives

    Science.gov (United States)

    Abidin, Norkisme Zainal; Suradi, Nur Riza Mohd; Shahabuddin, Faridatulazna; Mustafa, Zainol; Ismail, Wan Rosmanira

    2014-06-01

    Innovation is among the most frequently used word in the business world today. While many businessman and executives agree that innovation is needed to sustain their long term business success, many struggle to understand the concept of innovation. This study aims to measure the understanding level of innovation among the Malaysian executives using a survey questionnaire. Questions regarding innovation were posted to the respondents and they were requested to answer either it was True or False. Each respondent was given scores for their correct answers. The score of the right answers were then categorized into low, moderate and high understanding level. Results of the survey revealed that the understanding level of innovation among the Malaysian Executives is still at moderate level thus leading to the failure of many initiatives introduced by the organization or the government.

  2. Modeling the wafer temperature profile in a multiwafer LPCVD furnace

    Energy Technology Data Exchange (ETDEWEB)

    Badgwell, T.A. [Rice Univ., Houston, TX (United States). Dept. of Chemical Engineering; Trachtenberg, I.; Edgar, T.F. [Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering

    1994-01-01

    A mathematical model has been developed to predict wafer temperatures within a hot-wall multiwafer low pressure chemical vapor deposition (LPCVD) reactor. The model predicts both axial (wafer-to-wafer) and radial (across-wafer) temperature profiles. Model predictions compare favorably with in situ wafer temperature measurements described in an earlier paper. Measured axial and radial temperature nonuniformities are explained in terms of radiative heat-transfer effects. A simulation study demonstrates how changes in the outer tube temperature profile and reactor geometry affect wafer temperatures. Reactor design changes which could improve the wafer temperature profile are discussed.

  3. Innovative approach for low-cost quick-access small payload missions

    Science.gov (United States)

    Friis, Jan W., Jr.

    2000-11-01

    A significant part of the burgeoning commercial space industry is placing an unprecedented number of satellites into low earth orbit for a variety of new applications and services. By some estimates the commercial space industry now exceeds that of government space activities. Yet the two markets remain largely separate, with each deploying dedicated satellites and infrastructure for their respective missions. One commercial space firm, Final Analysis, has created a new program wherein either government, scientific or new technology payloads can be integrated on a commercial spacecraft on commercial satellites for a variety of mission scenarios at a fraction of the cost of a dedicated mission. NASA has recognized the advantage of this approach, and has awarded the Quick Ride program to provide frequent, low cost flight opportunities for small independent payloads aboard the Final Analysis constellation, and investigators are rapidly developing science programs that conform to the proposed payload accommodations envelope. Missions that were not feasible using dedicated launches are now receiving approval under the lower cost Quick Ride approach. Final Analysis has dedicated ten out of its thirty-eight satellites in support of the Quick Ride efforts. The benefit of this type of space access extend beyond NASA science programs. Commercial space firms can now gain valuable flight heritage for new technology and satellite product offerings. Further, emerging international space programs can now place a payload in orbit enabling the country to allocate its resources against the payload and mission requirements rather htan increased launch costs of a dedicated spacecraft. Finally, the low cost nature provides University-based research educational opportunities previously out of the reach of most space-related budgets. This paper will describe the motivation, benefits, technical features, and program costs of the Final Analysis secondary payload program. Payloads can be

  4. Analysis and modeling of wafer-level process variability in 28 nm FD-SOI using split C-V measurements

    Science.gov (United States)

    Pradeep, Krishna; Poiroux, Thierry; Scheer, Patrick; Juge, André; Gouget, Gilles; Ghibaudo, Gérard

    2018-07-01

    This work details the analysis of wafer level global process variability in 28 nm FD-SOI using split C-V measurements. The proposed approach initially evaluates the native on wafer process variability using efficient extraction methods on split C-V measurements. The on-wafer threshold voltage (VT) variability is first studied and modeled using a simple analytical model. Then, a statistical model based on the Leti-UTSOI compact model is proposed to describe the total C-V variability in different bias conditions. This statistical model is finally used to study the contribution of each process parameter to the total C-V variability.

  5. Investigation of Surface Pre-Treatment Methods for Wafer-Level Cu-Cu Thermo-Compression Bonding

    Directory of Open Access Journals (Sweden)

    Koki Tanaka

    2016-12-01

    Full Text Available To increase the yield of the wafer-level Cu-Cu thermo-compression bonding method, certain surface pre-treatment methods for Cu are studied which can be exposed to the atmosphere before bonding. To inhibit re-oxidation under atmospheric conditions, the reduced pure Cu surface is treated by H2/Ar plasma, NH3 plasma and thiol solution, respectively, and is covered by Cu hydride, Cu nitride and a self-assembled monolayer (SAM accordingly. A pair of the treated wafers is then bonded by the thermo-compression bonding method, and evaluated by the tensile test. Results show that the bond strengths of the wafers treated by NH3 plasma and SAM are not sufficient due to the remaining surface protection layers such as Cu nitride and SAMs resulting from the pre-treatment. In contrast, the H2/Ar plasma–treated wafer showed the same strength as the one with formic acid vapor treatment, even when exposed to the atmosphere for 30 min. In the thermal desorption spectroscopy (TDS measurement of the H2/Ar plasma–treated Cu sample, the total number of the detected H2 was 3.1 times more than the citric acid–treated one. Results of the TDS measurement indicate that the modified Cu surface is terminated by chemisorbed hydrogen atoms, which leads to high bonding strength.

  6. A low cost, printed microwave based level sensor with integrated oscillator readout circuitry

    KAUST Repository

    Karimi, Muhammad Akram; Arsalan, Muhammad; Shamim, Atif

    2017-01-01

    This paper presents an extremely low cost, tube conformable, printed T-resonator based microwave level sensor, whose resonance frequency shifts by changing the level of fluids inside the tube. Printed T-resonator forms the frequency selective

  7. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  8. Off-line wafer level reliability control: unique measurement method to monitor the lifetime indicator of gate oxide validated within bipolar/CMOS/DMOS technology

    Science.gov (United States)

    Gagnard, Xavier; Bonnaud, Olivier

    2000-08-01

    We have recently published a paper on a new rapid method for the determination of the lifetime of the gate oxide involved in a Bipolar/CMOS/DMOS technology (BCD). Because this previous method was based on a current measurement with gate voltage as a parameter needing several stress voltages, it was applied only by lot sampling. Thus, we tried to find an indicator in order to monitor the gate oxide lifetime during the wafer level parametric test and involving only one measurement of the device on each wafer test cell. Using the Weibull law and Crook model, combined with our recent model, we have developed a new test method needing only one electrical measurement of MOS capacitor to monitor the quality of the gate oxide. Based also on a current measurement, the parameter is the lifetime indicator of the gate oxide. From the analysis of several wafers, we gave evidence of the possibility to detect a low performance wafer, which corresponds to the infantile failure on the Weibull plot. In order to insert this new method in the BCD parametric program, a parametric flowchart was established. This type of measurement is an important challenges, because the actual measurements, breakdown charge, Qbd, and breakdown electric field, Ebd, at parametric level and Ebd and interface states density, Dit during the process cannot guarantee the gate oxide lifetime all along fabrication process. This indicator measurement is the only one, which predicts the lifetime decrease.

  9. Large-aperture focusing of x rays with micropore optics using dry etching of silicon wafers.

    Science.gov (United States)

    Ezoe, Yuichiro; Moriyama, Teppei; Ogawa, Tomohiro; Kakiuchi, Takuya; Mitsuishi, Ikuyuki; Mitsuda, Kazuhisa; Aoki, Tatsuhiko; Morishita, Kohei; Nakajima, Kazuo

    2012-03-01

    Large-aperture focusing of Al K(α) 1.49 keV x-ray photons using micropore optics made from a dry-etched 4 in. (100 mm) silicon wafer is demonstrated. Sidewalls of the micropores are smoothed with high-temperature annealing to work as x-ray mirrors. The wafer is bent to a spherical shape to collect parallel x rays into a focus. Our result supports that this new type of optics allows for the manufacturing of ultralight-weight and high-performance x-ray imaging optics with large apertures at low cost. © 2012 Optical Society of America

  10. Wafer-Level Patterned and Aligned Polymer Nanowire/Micro- and Nanotube Arrays on any Substrate

    KAUST Repository

    Morber, Jenny Ruth

    2009-05-25

    A study was conducted to fabricate wafer-level patterned and aligned polymer nanowire (PNW), micro- and nanotube arrays (PNT), which were created by exposing the polymer material to plasma etching. The approach for producing wafer-level aligned PNWs involved a one-step inductively coupled plasma (ICP) reactive ion etching process. The polymer nanowire array was fabricated in an ICP reactive ion milling chamber with a pressure of 10mTorr. Argon (Ar), O 2, and CF4 gases were released into the chamber as etchants at flow rates of 15 sccm, 10 sccm, and 40 sccm. Inert gasses, such as Ar-form positive ions were incorporated to serve as a physical component to assist in the material degradation process. One power source (400 W) was used to generate dense plasma from the input gases, while another power source applied a voltage of approximately 600V to accelerate the plasma toward the substrate.

  11. Single wafer rapid thermal multiprocessing

    International Nuclear Information System (INIS)

    Saraswat, K.C.; Moslehi, M.M.; Grossman, D.D.; Wood, S.; Wright, P.; Booth, L.

    1989-01-01

    Future success in microelectronics will demand rapid innovation, rapid product introduction and ability to react to a change in technological and business climate quickly. These technological advances in integrated electronics will require development of flexible manufacturing technology for VLSI systems. However, the current approach of establishing factories for mass manufacturing of chips at a cost of more than 200 million dollars is detrimental to flexible manufacturing. The authors propose concepts of a micro factory which may be characterized by more economical small scale production, higher flexibility to accommodate many products on several processes, and faster turnaround and learning. In-situ multiprocessing equipment where several process steps can be done in sequence may be a key ingredient in this approach. For this environment to be flexible, the equipment must have ability to change processing environment, requiring extensive in-situ measurements and real time control. This paper describes the development of a novel single wafer rapid thermal multiprocessing (RTM) reactor for next generation flexible VLSI manufacturing. This reactor will combine lamp heating, remote microwave plasma and photo processing in a single cold-wall chamber, with applications for multilayer in-situ growth and deposition of dielectrics, semiconductors and metals

  12. Future cost savings from engineering innovations

    International Nuclear Information System (INIS)

    Roemer, R.E.; Foster, D.C.; Jacobs, S.B.

    1987-01-01

    Nuclear power plant design and operating experience in the 1970s and 1980s continues to provide feedback to the technology base. The lessons learned in these two decades, coupled with engineering innovation, will lead to improvements and cost-reductions in the plants of the 1990s. Two types of innovations related to piping are described: snubber reduction and pipe rupture elimination. A brief account of the industry experience is given for each, followed by an account of the technical methodology involved. A discussion of expected benefits, including cost savings of millions of dollars (U.S.), is provided. (author)

  13. Cost-benefit analysis for management of low-level radioactive waste

    International Nuclear Information System (INIS)

    Jacobs, D.G.

    1979-01-01

    There are several types of cost-benefit analyses that can be used in evaluating a technical activity such as waste management. A direct comparison can be made of the benefits to be gained versus the costs to be accrued. If the balance is favorable the activity is considered to be acceptable. In many cases, however, a number of alternatives may be available requiring a comparative cost-benefit analysis so that the most favorable option is chosen. After the basic option is chosen, a further analysis is required in which additional control technologies can be considered to further reduce specific types of impact; this represents a differential cost-benefit analysis or, perhaps more properly, a study of cost-effectiveness. Also, because of the wide variety of parameters that go into a cost-benefit analysis and the range of value judgements that may be applied by different interest groups, it is likely that each additional increment of technology will have a slightly different balance point. Factors and impacts that need to be considered in management of low-level wastes will be discussed and a simplified example will be used to demonstrate the difficulties that may be encountered

  14. Cost-benefit analysis for management of low-level radioactive waste

    International Nuclear Information System (INIS)

    Jacobs, D.G.

    1977-01-01

    There are several types of cost-benefit analyses that can be used in evaluating a technical activity such as waste management. A direct comparison can be made of the benefits to be gained versus the costs to be accrued. If the balance is favorable, the activity is considered to be acceptable. In many cases, however, a number of alternatives may be available requiring a comparative cost-benefit analysis so that the most favorable option is chosen. After the basic option is chosen, a further analysis is required in which additional control technologies can be considered to further reduce specific types of impact; this represents a differential cost-benefit analysis or, perhaps more properly, a study of cost-effectiveness. Also, because of the wide variety of parameters that go into a cost-benefit analysis and the range of value judgements that may be applied by different interest groups, it is likely that each additional increment of technology will have a slightly different balance point. Factors and impacts that need to be considered in management of low-level wastes will be discussed and a simplified example will be used to demonstrate the difficulties that may be encountered

  15. Flexible and semi-transparent thermoelectric energy harvesters from low cost bulk silicon (100)

    KAUST Repository

    Sevilla, Galo T.

    2013-07-09

    Flexible and semi-transparent high performance thermoelectric energy harvesters are fabricated on low cost bulk mono-crystalline silicon (100) wafers. The released silicon is only 3.6% as thick as bulk silicon reducing the thermal loss significantly and generating nearly 30% more output power than unpeeled harvesters. This generic batch processing is a pragmatic way of transforming traditional silicon circuitry for extremely deformable high-performance integrated electronics. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Flexible and semi-transparent thermoelectric energy harvesters from low cost bulk silicon (100)

    KAUST Repository

    Sevilla, Galo T.; Inayat, Salman Bin; Rojas, Jhonathan Prieto; Hussain, Aftab M.; Hussain, Muhammad Mustafa

    2013-01-01

    Flexible and semi-transparent high performance thermoelectric energy harvesters are fabricated on low cost bulk mono-crystalline silicon (100) wafers. The released silicon is only 3.6% as thick as bulk silicon reducing the thermal loss significantly and generating nearly 30% more output power than unpeeled harvesters. This generic batch processing is a pragmatic way of transforming traditional silicon circuitry for extremely deformable high-performance integrated electronics. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  17. Minimizing the cost of subsea developments through technological innovation

    International Nuclear Information System (INIS)

    Eyre, G.; Loth, B.

    1994-01-01

    The paper summarizes the results of an extensive study carried out for the UK Government. This assessed the cost and economic impact of technological innovation on subsea and floating developments in the UKCS. The study covered, innovations that could be applied to subsea developments to significantly reduce cost, including multiwell completions, composite pipelines, compartmented pipelines, pipeline specification breaking and autonomous control systems. Cost and economic models were used to assess the economic impact of technological innovation on marginal field developments. The results of these assessments were drawn up as a series of ranking lists designed to assist manufacturers and suppliers in establishing priorities for research and development funding. The study also explored the potential UKCS and World market for innovative subsea technologies and quantified the research and development required to bring key innovations into commercial use

  18. Palladium-based on-wafer electroluminescence studies of GaN-based LED structures

    Energy Technology Data Exchange (ETDEWEB)

    Salcianu, C.O.; Thrush, E.J.; Humphreys, C.J. [Department of Materials Science and Metallurgy, University of Cambridge, Pembroke Street, Cambridge CB2 3QZ (United Kingdom); Plumb, R.G. [Centre for Photonic Systems, Department of Engineering, University of Cambridge, Cambridge CB3 0FD (United Kingdom); Boyd, A.R.; Rockenfeller, O.; Schmitz, D.; Heuken, M. [AIXTRON AG, Kackertstr. 15-17, 52072 Aachen (Germany)

    2008-07-01

    Electroluminescence (EL) testing of Light Emitting Diode (LED) structures is usually done at the chip level. Assessing the optical and electrical properties of LED structures at the wafer scale prior to their processing would improve the cost effectiveness of producing LED-lamps. A non-destructive method for studying the luminescence properties of the structure at the wafer-scale is photoluminescence (PL). However, the relationship between the on-wafer PL data and the final device EL can be less than straightforward (Y. H Aliyu et al., Meas. Sci. Technol. 8, 437 (1997)) as the two techniques employ different carrier injection mechanisms. This paper provides an overview of some different techniques in which palladium is used as a contact in order to obtain on-wafer electroluminescence information which could be used to screen wafers prior to processing into final devices. Quick mapping of the electrical and optical characteristics was performed using either palladium needle electrodes directly, or using the latter in conjunction with evaporated palladium contacts to inject both electrons and holes into the active region via the p-type capping layer of the structure. For comparison, indium was also used to make contact to the n-layer so that electrons could be directly injected into that layer. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. Nonuniformities of electrical resistivity in undoped 6H-SiC wafers

    International Nuclear Information System (INIS)

    Li, Q.; Polyakov, A.Y.; Skowronski, M.; Sanchez, E.K.; Loboda, M.J.; Fanton, M.A.; Bogart, T.; Gamble, R.D.

    2005-01-01

    Chemical elemental analysis, temperature-dependent Hall measurements, deep-level transient spectroscopy, and contactless resistivity mapping were performed on undoped semi-insulating (SI) and lightly nitrogen-doped conducting 6H-SiC crystals grown by physical vapor transport (PVT). Resistivity maps of commercial semi-insulating SiC wafers revealed resistivity variations across the wafers between one and two orders of magnitude. Two major types of variations were identified. First is the U-shape distribution with low resistivity in the center and high in the periphery of the wafer. The second type had an inverted U-shape distribution. Secondary-ion-mass spectrometry measurements of the distribution of nitrogen concentration along the growth axis and across the wafers sliced from different locations of lightly nitrogen-doped 6H-SiC boules were conducted. The measured nitrogen concentration gradually decreased along the growth direction and from the center to the periphery of the wafers. This change gives rise to the U-like distribution of resistivity in wafers of undoped SI-SiC. The concentrations of deep electron traps exhibited similar dependence. Compensation of nitrogen donors by these traps can result in the inverted U-like distribution of resistivity. Possible reasons for the observed nonuniformities include formation of a (0001) facet in PVT growth coupled with orientation-dependent nitrogen incorporation, systematic changes of the gas phase composition, and increase of the deposition temperature during boule growth

  20. Innovation, Cooperation, and the Perceived Benefits and Costs of Sustainable Agriculture Practices

    Directory of Open Access Journals (Sweden)

    Mark Lubell

    2011-12-01

    Full Text Available A central goal of most sustainable agriculture programs is to encourage growers to adopt practices that jointly provide economic, environmental, and social benefits. Using surveys of outreach professionals and wine grape growers, we quantify the perceived costs and benefits of sustainable viticulture practices recommended by sustainability outreach and certification programs. We argue that the mix of environmental benefits, economic benefits, and economic costs determine whether or not a particular practice involves decisions about innovation or cooperation. Decision making is also affected by the overall level of knowledge regarding different practices, and we show that knowledge gaps are an increasing function of cost and a decreasing function of benefits. How different practices are related to innovation and cooperation has important implications for the design of sustainability outreach programs. Cooperation, innovation, and knowledge gaps are issues that are likely to be relevant for the resilience and sustainability of many different types of social-ecological systems.

  1. All-in-One Wafer-Level Solution for MMIC Automatic Testing

    Directory of Open Access Journals (Sweden)

    Xu Ding

    2018-04-01

    Full Text Available In this paper, we present an all-in-one wafer-level solution for MMIC (monolithic microwave integrated circuit automatic testing. The OSL (open short load two tier de-embedding, the calibration verification model, the accurate PAE (power added efficiency testing, and the optimized vector cold source NF (noise figure measurement techniques are integrated in this solution to improve the measurement accuracy. A dual-core topology formed by an IPC (industrial personal computer and a VNA (vector network analyzer, and an automatic test software based on a three-level driver architecture, are applied to enhance the test efficiency. The benefit from this solution is that all the data of a MMIC can be achieved in only one contact, which shows state-of-the-art accuracy and efficiency.

  2. Estimation of the conditioning and storage costs of low- and intermediate-level solid radioactive wastes

    International Nuclear Information System (INIS)

    Lo Moro, A.; Panciatici, G.

    1977-01-01

    The conditioning and storage costs of low- and intermediate-level solid radioactive wastes are analyzed. The cost of direct labour is assumed as the reference cost for their computation and the storage cost is considered as resulting from the contract cost ''una tantum'' and from the leasing cost. As an example, the cost trends are reported, relevant to the solution adopted at CAMEN (conditioning in concrete containers and storage on concrete open-air bed)

  3. Peptide and protein loading into porous silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Prestidge, C.A.; Barnes, T.J.; Mierczynska-Vasilev, A.; Kempson, I.; Peddie, F. [Ian Wark Research Institute, University of South Australia, Mawson Lakes (Australia); Barnett, C. [Medica Ltd, Malvern, Worcestershire, UK WR14 3SZ (United Kingdom)

    2008-02-15

    The influence of peptide/protein size and hydrophobicity on the physical and chemical aspects of loading within porous silicon (pSi) wafer samples has been determined using Atomic Force Microscopy (AFM) and Time-of-Flight Secondary Ion Mass Spectroscopy (ToF-SIMS). Both Gramicidin A (a small hydrophobic peptide) and Papain (a larger hydrophilic protein) were observed (ToF-SIMS) to penetrate across the entire pSi layer, even at low loading levels. AFM surface imaging of pSi wafers during peptide/protein loading showed that surface roughness increased with Papain loading, but decreased with Gramicidin A loading. For Papain, the loading methodology was also found to influence loading efficiency. These differences indicate more pronounced surface adsorption of Papain. (copyright 2008 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  4. Fabrication and Characterization of Capacitive Micromachined Ultrasonic Transducers with Low-Temperature Wafer Direct Bonding

    Directory of Open Access Journals (Sweden)

    Xiaoqing Wang

    2016-12-01

    Full Text Available This paper presents a fabrication method of capacitive micromachined ultrasonic transducers (CMUTs by wafer direct bonding, which utilizes both the wet chemical and O2plasma activation processes to decrease the bonding temperature to 400 °C. Two key surface properties, the contact angle and surface roughness, are studied in relation to the activation processes, respectively. By optimizing the surface activation parameters, a surface roughness of 0.274 nm and a contact angle of 0° are achieved. The infrared images and static deflection of devices are assessed to prove the good bonding effect. CMUTs having silicon membranes with a radius of 60 μm and a thickness of 2 μm are fabricated. Device properties have been characterized by electrical and acoustic measurements to verify their functionality and thus to validate this low-temperature process. A resonant frequency of 2.06 MHz is obtained by the frequency response measurements. The electrical insertion loss and acoustic signal have been evaluated. This study demonstrates that the CMUT devices can be fabricated by low-temperature wafer direct bonding, which makes it possible to integrate them directly on top of integrated circuit (IC substrates.

  5. US and Russian innovative technologies to process low-level liquid radioactive wastes: The Murmansk initiative

    International Nuclear Information System (INIS)

    Dyer, R.S.; Duffey, R.B.; Penzin, R.; Sorlie, A.

    1996-01-01

    This paper documents the status of the technical design for the upgrade and expansion to the existing Low-level Liquid Radioactive Waste (LLLRW) treatment facility in Murmansk, the Russian Federation. This facility, owned by the Ministry of Transportation and operated by the Russian company RTP Atomflot in Murmansk, Russia, has been used by the Murmansk Shipping Company (MSCo) to process low-level liquid radioactive waste generated by the operation of its civilian icebreaker fleet. The purpose of the new design is to enable Russia to permanently cease the disposal at sea of LLLRW in the Arctic, and to treat liquid waste and high saline solutions from both the Civil and North Navy Fleet operations and decommissioning activities. Innovative treatments are to be used in the plant which are discussed in this paper

  6. Ratio methods for cost-effective field sampling of commercial radioactive low-level wastes

    International Nuclear Information System (INIS)

    Eberhardt, L.L.; Simmons, M.A.; Thomas, J.M.

    1985-07-01

    In many field studies to determine the quantities of radioactivity at commercial low-level radioactive waste sites, preliminary appraisals are made with field radiation detectors, or other relatively inaccurate devices. More accurate determinations are subsequently made with procedures requiring chemical separations or other expensive analyses. Costs of these laboratory determinations are often large, so that adequate sampling may not be achieved due to budget limitations. In this report, we propose double sampling as a way to combine the expensive and inexpensive aproaches to substantially reduce overall costs. The underlying theory was developed for human and agricultural surveys, and is partially based on assumptions that are not appropriate for commercial low-level waste sites. Consequently, extensive computer simulations were conducted to determine whether the results can be applied in circumstances of importance to the Nuclear Regulatory Commission. This report gives the simulation details, and concludes that the principal equations are appropriate for most studies at commercial low-level waste sites. A few points require further research, using actual commercial low-level radioactive waste site data. The final section of the report provides some guidance (via an example) for the field use of double sampling. Details of the simulation programs are available from the authors. Major findings are listed in the Executive Summary. 9 refs., 9 figs., 30 tabs

  7. Improved process control, lowered costs and reduced risks through the use of non-destructive mobility and sheet carrier density measurements on GaAs and GaN wafers

    Science.gov (United States)

    Nguyen, D.; Hogan, K.; Blew, A.; Cordes, M.

    2004-12-01

    Improved process control, lowered costs and reduced risks can be realized through the use of non-destructive mobility and sheet charge density measurements during the fabrication of GaAs and GaN wafers. The results from this microwave-based technique are shown to agree with destructive van der Pauw Hall testing results to within ±5%. In addition, it has the ability to map wafer uniformity and provide separated 2DEG data for thick cap or multi-layered structures. As a result, this technique provides an efficient and cost-effective alternative to current process control metrology methods, while providing the user with important process control data.

  8. Low-Weight, Durable, and Low-Cost Metal Rubber Sensor System for Ultra Long Duration Scientific Balloons, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NanoSonic proposes to develop an innovative, low-cost, ultra low mass density, and non-intrusive sensor system for ultra long duration balloons (ULDB) that will...

  9. Full Wafer Redistribution and Wafer Embedding as Key Technologies for a Multi-Scale Neuromorphic Hardware Cluster

    OpenAIRE

    Zoschke, Kai; Güttler, Maurice; Böttcher, Lars; Grübl, Andreas; Husmann, Dan; Schemmel, Johannes; Meier, Karlheinz; Ehrmann, Oswin

    2018-01-01

    Together with the Kirchhoff-Institute for Physics(KIP) the Fraunhofer IZM has developed a full wafer redistribution and embedding technology as base for a large-scale neuromorphic hardware system. The paper will give an overview of the neuromorphic computing platform at the KIP and the associated hardware requirements which drove the described technological developments. In the first phase of the project standard redistribution technologies from wafer level packaging were adapted to enable a ...

  10. Wafer level hermetic packaging based on Cu-Sn isothermal solidification technology

    International Nuclear Information System (INIS)

    Cao Yuhan; Luo Le

    2009-01-01

    A novel wafer level bonding method based on Cu-Sn isothermal solidification technology is established. A multi-layer sealing ring and the bonding processing are designed, and the amount of solder and the bonding parameters are optimized based on both theoretical and experimental results. Verification shows that oxidation of the solder layer, voids and the scalloped-edge appearance of the Cu 6 Sn 5 phase are successfully avoided. An average shear strength of 19.5 MPa and an excellent leak rate of around 1.9 x 10 -9 atm cc/s are possible, meeting the demands of MIL-STD-883E. (semiconductor technology)

  11. Operating cost guidelines for benchmarking DOE thermal treatment systems for low-level mixed waste

    International Nuclear Information System (INIS)

    Salmon, R.; Loghry, S.L.; Hermes, W.H.

    1994-11-01

    This report presents guidelines for estimating operating costs for use in benchmarking US Department of Energy (DOE) low-level mixed waste thermal treatment systems. The guidelines are based on operating cost experience at the DOE Toxic Substances Control Act (TSCA) mixed waste incinerator at the K-25 Site at Oak Ridge. In presenting these guidelines, it should be made clear at the outset that it is not the intention of this report to present operating cost estimates for new technologies, but only guidelines for estimating such costs

  12. Low sidelobe level low-cost earth station antennas for the 12 GHz broadcasting satellite service

    Science.gov (United States)

    Collin, R. E.; Gabel, L. R.

    1979-01-01

    An experimental investigation of the performance of 1.22 m and 1.83 m diameter paraboloid antennas with an f/D ratio of 0.38 and using a feed developed by Kumar is reported. It is found that sidelobes below 30 dB can be obtained only if the paraboloids are relatively free of surface errors. A theoretical analysis of clam shell distortion shows that this is a limiting factor in achieving low sidelobe levels with many commercially available low cost paraboloids. The use of absorbing pads and small reflecting plates for sidelobe reduction is also considered.

  13. Low-cost fabrication technologies for nanostructures: state-of-the-art and potential

    International Nuclear Information System (INIS)

    Santos, A; Deen, M J; Marsal, L F

    2015-01-01

    In the last decade, some low-cost nanofabrication technologies used in several disciplines of nanotechnology have demonstrated promising results in terms of versatility and scalability for producing innovative nanostructures. While conventional nanofabrication technologies such as photolithography are and will be an important part of nanofabrication, some low-cost nanofabrication technologies have demonstrated outstanding capabilities for large-scale production, providing high throughputs with acceptable resolution and broad versatility. Some of these nanotechnological approaches are reviewed in this article, providing information about the fundamentals, limitations and potential future developments towards nanofabrication processes capable of producing a broad range of nanostructures. Furthermore, in many cases, these low-cost nanofabrication approaches can be combined with traditional nanofabrication technologies. This combination is considered a promising way of generating innovative nanostructures suitable for a broad range of applications such as in opto-electronics, nano-electronics, photonics, sensing, biotechnology or medicine. (topical review)

  14. Low-cost fabrication technologies for nanostructures: state-of-the-art and potential

    Science.gov (United States)

    Santos, A.; Deen, M. J.; Marsal, L. F.

    2015-01-01

    In the last decade, some low-cost nanofabrication technologies used in several disciplines of nanotechnology have demonstrated promising results in terms of versatility and scalability for producing innovative nanostructures. While conventional nanofabrication technologies such as photolithography are and will be an important part of nanofabrication, some low-cost nanofabrication technologies have demonstrated outstanding capabilities for large-scale production, providing high throughputs with acceptable resolution and broad versatility. Some of these nanotechnological approaches are reviewed in this article, providing information about the fundamentals, limitations and potential future developments towards nanofabrication processes capable of producing a broad range of nanostructures. Furthermore, in many cases, these low-cost nanofabrication approaches can be combined with traditional nanofabrication technologies. This combination is considered a promising way of generating innovative nanostructures suitable for a broad range of applications such as in opto-electronics, nano-electronics, photonics, sensing, biotechnology or medicine.

  15. The challenges encountered in the integration of an early test wafer surface scanning inspection system into a 450mm manufacturing line

    Science.gov (United States)

    Lee, Jeffrey; McGarvey, Steve

    2013-04-01

    The introduction of early test wafer (ETW) 450mm Surface Scanning Inspection Systems (SSIS) into Si manufacturing has brought with it numerous technical, commercial, and logistical challenges on the path to rapid recipe development and subsequent qualification of other 450mm wafer processing equipment. This paper will explore the feasibility of eliminating the Polystyrene Latex Sphere deposition process step and the subsequent creation of SSIS recipes based upon the theoretical optical properties of both the SSIS and the process film stack(s). The process of Polystyrene Latex Sphere deposition for SSIS recipe generation and development is generally accepted on the previous technology nodes for 150/200/300mm wafers. PSL is deposited with a commercially available deposition system onto a non-patterned bare Si or non-patterned filmed Si wafer. After deposition of multiple PSL spots, located in different positions on a wafer, the wafer is inspected on a SSIS and a response curve is generated. The response curve is based on the the light scattering intensity of the NIST certified PSL that was deposited on the wafer. As the initial 450mm Si wafer manufacturing began, there were no inspection systems with sub-90nm sensitivities available for defect and haze level verification. The introduction of a 450mm sub-30nm inspection system into the manufacturing line generated instant challenges. Whereas the 450mm wafers were relatively defect free at 90nm, at 40nm the wafers contained several hundred thousand defects. When PSL was deposited onto wafers with these kinds of defect levels, PSL with signals less than the sub-90nm defects were difficult to extract. As the defectivity level of the wafers from the Si suppliers rapidly improves the challenges of SSIS recipe creation with high defectivity decreases while at the same time the cost of PSL deposition increases. The current cost per wafer is fifteen thousand dollars for a 450mm PSL deposition service. When viewed from the

  16. Wafer-scale micro-optics fabrication

    Science.gov (United States)

    Voelkel, Reinhard

    2012-07-01

    Micro-optics is an indispensable key enabling technology for many products and applications today. Probably the most prestigious examples are the diffractive light shaping elements used in high-end DUV lithography steppers. Highly-efficient refractive and diffractive micro-optical elements are used for precise beam and pupil shaping. Micro-optics had a major impact on the reduction of aberrations and diffraction effects in projection lithography, allowing a resolution enhancement from 250 nm to 45 nm within the past decade. Micro-optics also plays a decisive role in medical devices (endoscopes, ophthalmology), in all laser-based devices and fiber communication networks, bringing high-speed internet to our homes. Even our modern smart phones contain a variety of micro-optical elements. For example, LED flash light shaping elements, the secondary camera, ambient light and proximity sensors. Wherever light is involved, micro-optics offers the chance to further miniaturize a device, to improve its performance, or to reduce manufacturing and packaging costs. Wafer-scale micro-optics fabrication is based on technology established by the semiconductor industry. Thousands of components are fabricated in parallel on a wafer. This review paper recapitulates major steps and inventions in wafer-scale micro-optics technology. The state-of-the-art of fabrication, testing and packaging technology is summarized.

  17. In vitro and in vivo evaluation of a sublingual fentanyl wafer formulation

    Directory of Open Access Journals (Sweden)

    Lim SCB

    2013-04-01

    Full Text Available Stephen CB Lim,1,3 Michael J Paech,2 Bruce Sunderland,3 Yandi Liu3 1Pharmacy Department, Armadale Health Service, Armadale, 2School of Medicine and Pharmacology, University of Western Australia, and Department of Anaesthesia and Pain Medicine, King Edward Memorial Hospital for Women, Subiaco, 3School of Pharmacy, Curtin Health Innovation Research Institute, Curtin University, Perth, WA, Australia Background: The objective of this study was to prepare a novel fentanyl wafer formulation by a freeze-drying method, and to evaluate its in vitro and in vivo release characteristics, including its bioavailability via the sublingual route. Methods: The wafer formulation was prepared by freeze-drying an aqueous dispersion of fentanyl containing sodium carboxymethylcellulose and amylogum as matrix formers. Uniformity of weight, friability, and dissolution testing of the fentanyl wafer was achieved using standard methods, and the residual moisture content was measured. The fentanyl wafer was also examined using scanning electron microscopy and x-ray diffraction. The absolute bioavailability of the fentanyl wafer was evaluated in 11 opioid-naïve adult female patients using a randomized crossover design. Results: In vitro release showed that almost 90% of the fentanyl dissolved in one minute. In vivo, the first detectable plasma fentanyl concentration was observed after 3.5 minutes and the peak plasma concentration between 61.5 and 67 minutes. The median absolute bioavailability was 53.0%. Conclusion: These results indicate that this wafer has potential as an alternative sublingual fentanyl formulation. Keywords: absolute bioavailability, fentanyl wafer, in vitro dissolution, in vivo study, pharmacokinetics, sublingual

  18. THE INNOVATION ACTIVITY OF INDUSTRIAL ENTERPRISES IN UKRAINE: STATE AND TRENDS

    Directory of Open Access Journals (Sweden)

    O. Miroshnychenko

    2013-09-01

    Full Text Available The article includes analytical results of innovation activity of Ukrainian industrial enterprises. The enterprise innovation activity level, the level of innovations implementation into the Ukrainian industry has been researched. The dynamics of new innovation products and new technological products put into service, share of the innovation product sold in industrial output has been analysed. The level of the use of different types of innovation by industrial enterprises (marketing, organizational, process and product innovation has been determined. The main sources of finance for innovation activities have been considered. The dividing of innovation activity costs in industrial sector has been considered. The main problems of innovative development of the Ukrainian industry have been determined. The activity of industrial enterprises in Ukraine is characterized by a low level of innovation activity, an insufficient volume of realized innovative products, a low financing of innovation activity. The suggestions, which shall promote the effectiveness of innovation activity of Ukrainian industrial enterprises, have been put forward.

  19. Investing in innovation: trade-offs in the costs and cost-efficiency of school feeding using community-based kitchens in Bangladesh.

    Science.gov (United States)

    Gelli, Aulo; Suwa, Yuko

    2014-09-01

    School feeding programs have been a key response to the recent food and economic crises and function to some degree in nearly every country in the world. However, school feeding programs are complex and exhibit different, context-specific models or configurations. To examine the trade-offs, including the costs and cost-efficiency, of an innovative cluster kitchen implementation model in Bangladesh using a standardized framework. A supply chain framework based on international standards was used to provide benchmarks for meaningful comparisons across models. Implementation processes specific to the program in Bangladesh were mapped against this reference to provide a basis for standardized performance measures. Qualitative and quantitative data on key metrics were collected retrospectively using semistructured questionnaires following an ingredients approach, including both financial and economic costs. Costs were standardized to a 200-feeding-day year and 700 kcal daily. The cluster kitchen model had similarities with the semidecentralized model and outsourced models in the literature, the main differences involving implementation scale, scale of purchasing volumes, and frequency of purchasing. Two important features stand out in terms of implementation: the nutritional quality of meals and the level of community involvement. The standardized full cost per child per year was US$110. Despite the nutritious content of the meals, the overall cost-efficiency in cost per nutrient output was lower than the benchmark for centralized programs, due mainly to support and start-up costs. Cluster kitchens provide an example of an innovative implementation model, combining an emphasis on quality meal delivery with strong community engagement. However, the standardized costs-per child were above the average benchmarks for both low-and middle-income countries. In contrast to the existing benchmark data from mature, centralized models, the main cost drivers of the program were

  20. P/N InP solar cells on Ge wafers

    Science.gov (United States)

    Wojtczuk, Steven; Vernon, Stanley; Burke, Edward A.

    1994-01-01

    Indium phosphide (InP) P-on-N one-sun solar cells were epitaxially grown using a metalorganic chemical vapor deposition process on germanium (Ge) wafers. The motivation for this work is to replace expensive InP wafers, which are fragile and must be thick and therefore heavy, with less expensive Ge wafers, which are stronger, allowing use of thinner, lighter weight wafers. An intermediate InxGs1-xP grading layer starting as In(0.49)Ga(0.51) at the GaAs-coated Ge wafer surface and ending as InP at the top of the grading layer (backside of the InP cell) was used to attempt to bend some of the threading dislocations generated by lattice-mismatch between the Ge wafer and InP cell so they would be harmlessly confined in this grading layer. The best InP/Ge cell was independently measured by NASA-Lewis with a one-sun 25 C AMO efficiently measured by NASA-Lewis with a one-circuit photocurrent 22.6 mA/sq cm. We believe this is the first published report of an InP cell grown on a Ge wafer. Why get excited over a 9 percent InP/Ge cell? If we look at the cell weight and efficiency, a 9 percent InP cell on an 8 mil Ge wafer has about the same cell power density, 118 W/kg (BOL), as the best InP cell ever made, a 19 percent InP cell on an 18 mil InP wafer, because of the lighter Ge wafer weight. As cell panel materials become lighter, the cell weight becomes more important, and the advantage of lightweight cells to the panel power density becomes more important. In addition, although InP/Ge cells have a low beginning-of-life (BOL) efficiency due to dislocation defects, the InP/Ge cells are very radiation hard (end-of-life power similar to beginning-of-life). We have irradiated an InP/Ge cell with alpha particles to an equivalent fluence of 1.6 x 10(exp 16) 1 MeV electrons/sq cm and the efficiency is still 83 percent of its BOL value. At this fluence level, the power output of these InP/Ge cells matches the GaAs/Ge cell data tabulated in the JPL handbook. Data are presented

  1. Life cycle costs for disposal and assured isolation of low-level radioactive waste in Connecticut

    International Nuclear Information System (INIS)

    Chau, B.; Sutherland, A.A.; Baird, R.D.

    1998-03-01

    This document presents life cycle costs for a low-level radioactive disposal facility and a comparable assured isolation facility. Cost projections were based on general plans and assumptions, including volume projections and operating life, provided by the Connecticut Hazardous Waste Management Service, for a facility designed to meet the State's needs. Life cycle costs include the costs of pre-construction activities, construction, operations, closure, and post-closure institutional control. In order to provide a better basis for understanding the relative magnitude of near-term costs and future costs, the results of present value analysis of ut-year costs are provided

  2. Progress in N-type Si Solar Cell and Module Technology for High Efficiency and Low Cost

    Energy Technology Data Exchange (ETDEWEB)

    Song, Dengyuan; Xiong, Jingfeng; Hu, Zhiyan; Li, Gaofei; Wang, Hongfang; An, Haijiao; Yu, Bo; Grenko, Brian; Borden, Kevin; Sauer, Kenneth; Cui, Jianhua; Wang, Haitao [Yingli Green Energy Holding Co., LTD, 071051 Boading (China); Roessler, T. [Yingli Green Energy Europe GmbH, Heimeranstr. 37, 80339 Munich (Germany); Bultman, J. [ECN Solar Energy, P.O. Box 1, NL-1755 ZG Petten (Netherlands); Vlooswijk, A.H.G.; Venema, P.R. [Tempress Systems BV, Radeweg 31, 8171 Vaassen (Netherlands)

    2012-06-15

    A novel high efficiency solar cell and module technology, named PANDA, using crystalline n-type CZ Si wafers has moved into large-scale production at Yingli. The first commercial sales of the PANDA modules commenced in mid 2010. Up to 600MW of mass production capacity from crystal-Si growth, wafer slicing, cell processing and module assembly have been implemented by the end of 2011. The PANDA technology was developed specifically for high efficiency and low cost. In contrast to the existing n-type Si solar cell manufacturing methods in mass production, this new technology is largely compatible with a traditional p-type Si solar cell production line by conventional diffusion, SiNx coating and screen-printing technology. With optimizing all technologies, Yingli's PANDA solar cells on semi-square 6-inch n-type CZ wafers (cell size 239cm{sup 2}) have been improved to currently have an average efficiency on commercial production lines exceeding 19.0% and up to 20.0% in pilot production. The PANDA modules have been produced and were certified according to UL1703, IEC 61215 and IEC 61730 standards. Nearly two years of full production on scale-up lines show that the PANDA modules have a high efficiency and power density, superior high temperature performance, near zero initial light induced degradation, and excellent efficiency at low irradiance.

  3. Through-glass copper via using the glass reflow and seedless electroplating processes for wafer-level RF MEMS packaging

    International Nuclear Information System (INIS)

    Lee, Ju-Yong; Lee, Sung-Woo; Lee, Seung-Ki; Park, Jae-Hyoung

    2013-01-01

    We present a novel method for the fabrication of void-free copper-filled through-glass-vias (TGVs), and their application to the wafer-level radio frequency microelectromechanical systems (RF MEMS) packaging scheme. By using the glass reflow process with a patterned silicon mold, a vertical TGV with smooth sidewall and fine pitch could be achieved. Bottom-up void-free filling of the TGV is successfully demonstrated through the seedless copper electroplating process. In addition, the proposed process allows wafer-level packaging with glass cap encapsulation using the anodic bonding process, since the reflowed glass interposer is only formed in the device area surrounded with silicon substrate. A simple coplanar waveguide (CPW) line was employed as the packaged device to evaluate the electrical characteristics and thermo-mechanical reliability of the proposed packaging structure. The fabricated packaging structure showed a low insertion loss of 0.116 dB and a high return loss of 35.537 dB at 20 GHz, which were measured through the whole electrical path, including the CPW line, TGVs and contact pads. An insertion loss lower than 0.1 dB and a return loss higher than 30 dB could be achieved at frequencies of up to 15 GHz, and the resistance of the single copper via was measured to be 36 mΩ. Furthermore, the thermo-mechanical reliability of the proposed packaging structure was also verified through thermal shock and pressure cooker test. (paper)

  4. An electret-based energy harvesting device with a wafer-level fabrication process

    DEFF Research Database (Denmark)

    Crovetto, Andrea; Wang, Fei; Hansen, Ole

    2013-01-01

    This paper presents a MEMS energy harvesting device which is able to generate power from two perpendicular ambient vibration directions. A CYTOP polymer is used both as the electret material for electrostatic transduction and as a bonding interface for low-temperature wafer bonding. The device...... is also discussed. With a final chip size of about 1 cm2, a power output of 32.5 nW is successfully harvested with an external load of 17 MΩ, when a harmonic vibration source with an RMS acceleration amplitude of 0.03 g (∼0.3 m s−2) and a resonant frequency of 179 Hz is applied. These results can...

  5. Integrated process analysis of treatment systems for mixed low level waste

    International Nuclear Information System (INIS)

    Cooley, C.R.; Schwinkendorf, W.E.; Bechtold, T.E.

    1997-10-01

    Selection of technologies to be developed for treatment of DOE's mixed low level waste (MLLW) requires knowledge and understanding of the expected costs, schedules, risks, performance, and reliability of the total engineered systems that use these technologies. Thus, an integrated process analysis program was undertaken to identify the characteristics and needs of several thermal and nonthermal systems. For purposes of comparison, all systems were conceptually designed for a single facility processing the same amount of waste at the same rate. Thirty treatment systems were evaluated ranging from standard incineration to innovative thermal systems and innovative nonthermal chemical treatment. Treating 236 million pounds of waste in 20 years through a central treatment was found to be the least costly option with total life cycle cost ranging from $2.1 billion for a metal melting system to $3.9 billion for a nonthermal acid digestion system. Little cost difference exists among nonthermal systems or among thermal systems. Significant cost savings could be achieved by working towards maximum on line treatment time per year; vitrifying the final waste residue; decreasing front end characterization segregation and sizing requirements; using contaminated soil as the vitrifying agent; and delisting the final vitrified waste form from Resource Conservation and Recovery Act (RCRA) Land Disposal Restriction (LDR) requirements

  6. Stress and phase changes in a low-thermal-expansion Al-3at.%Ge alloy film on oxidized silicon wafers

    International Nuclear Information System (INIS)

    Tu, K.N.; Rodbell, K.P.; Herd, S.R.; Mikalsen, D.J.

    1993-01-01

    The alloy of Al-3at.%Ge has been found to have a low thermal expansion and contraction in the temperature range of room temperature to 400 C. The reason for the low thermal contraction (or expansion) is the precipitation (or dissolution) of Ge in the alloy. The Ge precipitates have a diamond structure in which each Ge atom occupies a much larger atomic volume than a Ge atom dissolved substitutionally in Al. The volume difference compensates for the effect of thermal expansion and contraction with changing temperature which in turn reduces the thermal stress due to thermal mismatch. The technique of wafer bending was used to determine the stress of the alloy film on oxidized silicon wafers upon thermal cycling; indeed, it is much lower than that of pure Al on identical wafers. The morphology of precipitation and dissolution of Ge in Al has been studied by transmission and scanning electron microscopy. It is found that the precipitation follows a discontinuous mode and occurs predominantly along grain boundaries. In dissolving the Ge precipitates into Al, voids are left behind because of the volume difference. It is proposed that this may explain the enhancement of nucleation of voids in the alloy film upon thermal cycling. (orig.)

  7. Flat-plate solar array project. Volume 3: Silicon sheet: Wafers and ribbons

    Science.gov (United States)

    Briglio, A.; Dumas, K.; Leipold, M.; Morrison, A.

    1986-01-01

    The primary objective of the Silicon Sheet Task of the Flat-Plate Solar Array (FSA) Project was the development of one or more low cost technologies for producing silicon sheet suitable for processing into cost-competitive solar cells. Silicon sheet refers to high purity crystalline silicon of size and thickness for fabrication into solar cells. Areas covered in the project were ingot growth and casting, wafering, ribbon growth, and other sheet technologies. The task made and fostered significant improvements in silicon sheet including processing of both ingot and ribbon technologies. An additional important outcome was the vastly improved understanding of the characteristics associated with high quality sheet, and the control of the parameters required for higher efficiency solar cells. Although significant sheet cost reductions were made, the technology advancements required to meet the task cost goals were not achieved.

  8. Low-cost high-quality crystalline germanium based flexible devices

    KAUST Repository

    Nassar, Joanna M.

    2014-06-16

    High performance flexible electronics promise innovative future technology for various interactive applications for the pursuit of low-cost, light-weight, and multi-functional devices. Thus, here we show a complementary metal oxide semiconductor (CMOS) compatible fabrication of flexible metal-oxide-semiconductor capacitors (MOSCAPs) with high-κ/metal gate stack, using a physical vapor deposition (PVD) cost-effective technique to obtain a high-quality Ge channel. We report outstanding bending radius ~1.25 mm and semi-transparency of 30%.

  9. Low-cost high-quality crystalline germanium based flexible devices

    KAUST Repository

    Nassar, Joanna M.; Hussain, Aftab M.; Rojas, Jhonathan Prieto; Hussain, Muhammad Mustafa

    2014-01-01

    High performance flexible electronics promise innovative future technology for various interactive applications for the pursuit of low-cost, light-weight, and multi-functional devices. Thus, here we show a complementary metal oxide semiconductor (CMOS) compatible fabrication of flexible metal-oxide-semiconductor capacitors (MOSCAPs) with high-κ/metal gate stack, using a physical vapor deposition (PVD) cost-effective technique to obtain a high-quality Ge channel. We report outstanding bending radius ~1.25 mm and semi-transparency of 30%.

  10. Multiproject wafers: not just for million-dollar mask sets

    Science.gov (United States)

    Morse, Richard D.

    2003-06-01

    With the advent of Reticle Enhancement Technologies (RET) such as Optical Proximity Correction (OPC) and Phase Shift Masks (PSM) required to manufacture semiconductors in the sub-wavelength era, the cost of photomask tooling has skyrocketed. On the leading edge of technology, mask set prices often exceed $1 million. This shifts an enormous burden back to designers and Electronic Design Automation (EDA) software vendors to create perfect designs at a time when the number of transistors per chip is measured in the hundreds of millions, and gigachips are on the drawing boards. Moore's Law has driven technology to incredible feats. The prime beneficiaries of the technology - memory and microprocessor (MPU) manufacturers - can continue to fit the model because wafer volumes (and chip prices in the MPU case) render tooling costs relatively insignificant. However, Application-Specific IC (ASIC) manufacturers and most foundry clients average very small wafer per reticle ratios causing a dramatic and potentially insupportable rise in the cost of manufacturing. Multi-Project wafers (MPWs) are a way to share the cost of tooling and silicon by putting more than one chip on each reticle. Lacking any unexpected breakthroughs in simulation, verification, or mask technology to reduce the cost of prototyping, more efficient use of reticle space becomes a viable and increasingly attractive choice. It is worthwhile therefore, to discuss the economics of prototyping in the sub-wavelength era and the increasing advantages of the MPW, shared-silicon approach. However, putting together a collection of different-sized chips during tapeout can be challenging and time consuming. Design compatibility, reticle field optimization, and frame generation have traditionally been the biggest worries but, with the advent of dummy-fill for planarization and RET for resolution, another layer of complexity has been added. MPW automation software is quite advanced today, but the size of the task

  11. Low-Cost, Silicon Carbide Replication Technique for LWIR Mirror Fabrication, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — SSG proposes an innovative optical manufacturing approach that will enable the low-cost fabrication of lightweighted, Long Wave Infrared (LWIR) Silicon Carbide (SiC)...

  12. Wafer plane inspection for advanced reticle defects

    Science.gov (United States)

    Nagpal, Rajesh; Ghadiali, Firoz; Kim, Jun; Huang, Tracy; Pang, Song

    2008-05-01

    Readiness of new mask defect inspection technology is one of the key enablers for insertion & transition of the next generation technology from development into production. High volume production in mask shops and wafer fabs demands a reticle inspection system with superior sensitivity complemented by a low false defect rate to ensure fast turnaround of reticle repair and defect disposition (W. Chou et al 2007). Wafer Plane Inspection (WPI) is a novel approach to mask defect inspection, complementing the high resolution inspection capabilities of the TeraScanHR defect inspection system. WPI is accomplished by using the high resolution mask images to construct a physical mask model (D. Pettibone et al 1999). This mask model is then used to create the mask image in the wafer aerial plane. A threshold model is applied to enhance the inspectability of printing defects. WPI can eliminate the mask restrictions imposed on OPC solutions by inspection tool limitations in the past. Historically, minimum image restrictions were required to avoid nuisance inspection stops and/or subsequent loss of sensitivity to defects. WPI has the potential to eliminate these limitations by moving the mask defect inspections to the wafer plane. This paper outlines Wafer Plane Inspection technology, and explores the application of this technology to advanced reticle inspection. A total of twelve representative critical layers were inspected using WPI die-to-die mode. The results from scanning these advanced reticles have shown that applying WPI with a pixel size of 90nm (WPI P90) captures all the defects of interest (DOI) with low false defect detection rates. In validating CD predictions, the delta CDs from WPI are compared against Aerial Imaging Measurement System (AIMS), where a good correlation is established between WPI and AIMSTM.

  13. Reverse innovation in maternal health.

    Science.gov (United States)

    Firoz, Tabassum; Makanga, Prestige Tatenda; Nathan, Hannah L; Payne, Beth; Magee, Laura A

    2017-09-01

    Reverse innovation, defined as the flow of ideas from low- to high-income settings, is gaining traction in healthcare. With an increasing focus on value, investing in low-cost but effective and innovative solutions can be of mutual benefit to both high- and low-income countries. Reverse innovation has a role in addressing maternal health challenges in high-income countries by harnessing these innovative solutions for vulnerable populations especially in rural and remote regions. In this paper, we present three examples of 'reverse innovation' for maternal health: a low-cost, easy-to-use blood pressure device (CRADLE), a diagnostic algorithm (mini PIERS) and accompanying mobile app (PIERS on the Move), and a novel method for mapping maternal outcomes (MOM).

  14. Low-Cost Alternative for the Measurement of Water Levels in Surface Water Streams

    Directory of Open Access Journals (Sweden)

    Luis E. PEÑA

    2017-11-01

    Full Text Available Flood risk management and water resources planning involve a deep knowledge of surface streams so that mitigation strategies and climate change adaptations can be implemented. Commercially, there is a wide range of technologies for the measurement of hydroclimatic variables; however, many of these technologies may not be affordable for institutions with limited budgets. This paper has two main objectives: 1 Present the design of an ultrasound-based water level measurement system, and 2 Propose a methodological alternative for the development of instruments, according to the needs of institutions conducting monitoring of surface waterbodies. To that end, the proposed methodology is based on selection processes defined according to the specific needs of each waterbody. The prototype was tested in real-world scale, with the potential to obtain accurate measurements. Lastly, we present the design of the ultrasound-based water level measurement instrument, which can be built at a low cost. Low-cost instruments can potentially contribute to the sustainable instrumental autonomy of environmental entities and help define measurement and data transmission standards based on the specific requirements of the monitoring.

  15. Innovative financing models for low carbon transitions: Exploring the case for revolving funds for domestic energy efficiency programmes

    International Nuclear Information System (INIS)

    Gouldson, Andy; Kerr, Niall; Millward-Hopkins, Joel; Freeman, Mark C.; Topi, Corrado; Sullivan, Rory

    2015-01-01

    The IEA has estimated that over the next four decades US$31 trillion will be required to promote energy efficiency in buildings. However, the opportunities to make such investments are often constrained, particularly in contexts of austerity. We consider the potential of revolving funds as an innovative financing mechanism that could reduce investment requirements and enhance investment impacts by recovering and reinvesting some of the savings generated by early investments. Such funds have been created in various contexts, but there has never been a formal academic evaluation of their potential to contribute to low carbon transitions. To address this, we propose a generic revolving fund model and apply it using data on the costs and benefits of domestic sector retrofit in the UK. We find that a revolving fund could reduce the costs of domestic sector retrofit in the UK by 26%, or £9 billion, whilst also making such a scheme cost-neutral, albeit with significant up-front investments that would only pay for themselves over an extended period of time. We conclude that revolving funds could enable countries with limited resources to invest more heavily and more effectively in low carbon development, even in contexts of austerity. - Highlights: • Examines the need for substantially higher levels of low carbon investment. • Explores the need for innovative financing mechanisms such as revolving funds. • Shows that revolving a fund could reduce the cost of UK retrofit by £9 billion or 26%. • Also shows that a revolving fund could make retrofit cost-neutral in the long term. • Concludes that revolving funds could dramatically increase low carbon investment.

  16. A novel patterning control strategy based on real-time fingerprint recognition and adaptive wafer level scanner optimization

    Science.gov (United States)

    Cekli, Hakki Ergun; Nije, Jelle; Ypma, Alexander; Bastani, Vahid; Sonntag, Dag; Niesing, Henk; Zhang, Linmiao; Ullah, Zakir; Subramony, Venky; Somasundaram, Ravin; Susanto, William; Matsunobu, Masazumi; Johnson, Jeff; Tabery, Cyrus; Lin, Chenxi; Zou, Yi

    2018-03-01

    In addition to lithography process and equipment induced variations, processes like etching, annealing, film deposition and planarization exhibit variations, each having their own intrinsic characteristics and leaving an effect, a `fingerprint', on the wafers. With ever tighter requirements for CD and overlay, controlling these process induced variations is both increasingly important and increasingly challenging in advanced integrated circuit (IC) manufacturing. For example, the on-product overlay (OPO) requirement for future nodes is approaching process induced variance to become extremely small. Process variance control is seen as an bottleneck to further shrink which drives the need for more sophisticated process control strategies. In this context we developed a novel `computational process control strategy' which provides the capability of proactive control of each individual wafer with aim to maximize the yield, without introducing a significant impact on metrology requirements, cycle time or productivity. The complexity of the wafer process is approached by characterizing the full wafer stack building a fingerprint library containing key patterning performance parameters like Overlay, Focus, etc. Historical wafer metrology is decomposed into dominant fingerprints using Principal Component Analysis. By associating observed fingerprints with their origin e.g. process steps, tools and variables, we can give an inline assessment of the strength and origin of the fingerprints on every wafer. Once the fingerprint library is established, a wafer specific fingerprint correction recipes can be determined based on its processing history. Data science techniques are used in real-time to ensure that the library is adaptive. To realize this concept, ASML TWINSCAN scanners play a vital role with their on-board full wafer detection and exposure correction capabilities. High density metrology data is created by the scanner for each wafer and on every layer during the

  17. Modeling of direct wafer bonding: Effect of wafer bow and etch patterns

    Science.gov (United States)

    Turner, K. T.; Spearing, S. M.

    2002-12-01

    Direct wafer bonding is an important technology for the manufacture of silicon-on-insulator substrates and microelectromechanical systems. As devices become more complex and require the bonding of multiple patterned wafers, there is a need to understand the mechanics of the bonding process. A general bonding criterion based on the competition between the strain energy accumulated in the wafers and the surface energy that is dissipated as the bond front advances is developed. The bonding criterion is used to examine the case of bonding bowed wafers. An analytical expression for the strain energy accumulation rate, which is the quantity that controls bonding, and the final curvature of a bonded stack is developed. It is demonstrated that the thickness of the wafers plays a large role and bonding success is independent of wafer diameter. The analytical results are verified through a finite element model and a general method for implementing the bonding criterion numerically is presented. The bonding criterion developed permits the effect of etched features to be assessed. Shallow etched patterns are shown to make bonding more difficult, while it is demonstrated that deep etched features can facilitate bonding. Model results and their process design implications are discussed in detail.

  18. Comparative life-cycle cost analysis for low-level mixed waste remediation alternatives

    International Nuclear Information System (INIS)

    Jackson, J.A.; White, T.P.; Kloeber, J.M.; Toland, R.J.; Cain, J.P.; Buitrago, D.Y.

    1995-03-01

    The purpose of this study is two-fold: (1) to develop a generic, life-cycle cost model for evaluating low-level, mixed waste remediation alternatives, and (2) to apply the model specifically, to estimate remediation costs for a site similar to the Fernald Environmental Management Project near Cincinnati, OH. Life-cycle costs for vitrification, cementation, and dry removal process technologies are estimated. Since vitrification is in a conceptual phase, computer simulation is used to help characterize the support infrastructure of a large scale vitrification plant. Cost estimating relationships obtained from the simulation data, previous cost estimates, available process data, engineering judgment, and expert opinion all provide input to an Excel based spreadsheet for generating cash flow streams. Crystal Ball, an Excel add-on, was used for discounting cash flows for net present value analysis. The resulting LCC data was then analyzed using multi-attribute decision analysis techniques with cost and remediation time as criteria. The analytical framework presented allows alternatives to be evaluated in the context of budgetary, social, and political considerations. In general, the longer the remediation takes, the lower the net present value of the process. This is true because of the time value of money and large percentage of the costs attributed to storage or disposal

  19. Technology Innovation

    Science.gov (United States)

    EPA produces innovative technologies and facilitates their creation in line with the Agency mission to create products such as the stormwater calculator, remote sensing, innovation clusters, and low-cost air sensors.

  20. A low-cost, high-resolution, video-rate imaging optical radar

    Energy Technology Data Exchange (ETDEWEB)

    Sackos, J.T.; Nellums, R.O.; Lebien, S.M.; Diegert, C.F. [Sandia National Labs., Albuquerque, NM (United States); Grantham, J.W.; Monson, T. [Air Force Research Lab., Eglin AFB, FL (United States)

    1998-04-01

    Sandia National Laboratories has developed a unique type of portable low-cost range imaging optical radar (laser radar or LADAR). This innovative sensor is comprised of an active floodlight scene illuminator and an image intensified CCD camera receiver. It is a solid-state device (no moving parts) that offers significant size, performance, reliability, and simplicity advantages over other types of 3-D imaging sensors. This unique flash LADAR is based on low cost, commercially available hardware, and is well suited for many government and commercial uses. This paper presents an update of Sandia`s development of the Scannerless Range Imager technology and applications, and discusses the progress that has been made in evolving the sensor into a compact, low, cost, high-resolution, video rate Laser Dynamic Range Imager.

  1. Electrical Interconnections Through CMOS Wafers

    DEFF Research Database (Denmark)

    Rasmussen, Frank Engel

    2003-01-01

    Chips with integrated vias are currently the ultimate miniaturizing solution for 3D packaging of microsystems. Previously the application of vias has almost exclusively been demonstrated within MEMS technology, and only a few of these via technologies have been CMOS compatible. This thesis...... describes the development of vias through a silicon wafer containing Complementary Metal-Oxide Semiconductor (CMOS) circuitry. Two via technologies have been developed and fabricated in blank silicon wafers; one based on KOH etching of wafer through-holes and one based on DRIE of wafer through......-holes. The most promising of these technologies --- the DRIE based process --- has been implemented in CMOS wafers containing hearing aid amplifiers. The main challenges in the development of a CMOS compatible via process depend on the chosen process for etching of wafer through-holes. In the case of KOH etching...

  2. Analysis of operating costs a Low-Level Mixed Waste Incineration Facility

    International Nuclear Information System (INIS)

    Loghry, S.L.; Salmon, R.; Hermes, W.H.

    1995-01-01

    By definition, mixed wastes contain both chemically hazardous and radioactive components. These components make the treatment and disposal of mixed wastes expensive and highly complex issues because the different regulations which pertain to the two classes of contaminants frequently conflict. One method to dispose of low-level mixed wastes (LLMWs) is by incineration, which volatizes and destroys the organic (and other) hazardous contaminants and also greatly reduces the waste volume. The US Department of Energy currently incinerates liquid LLMW in its Toxic Substances Control Act (TSCA) Incinerator, located at the K-25 Site in Oak Ridge, Tennessee. This incinerator has been fully permitted since 1991 and to date has treated approximately 7 x 10 6 kg of liquid LLMW. This paper presents an analysis of the budgeted operating costs by category (e.g., maintenance, plant operations, sampling and analysis, and utilities) for fiscal year 1994 based on actual operating experience (i.e., a ''bottoms-up'' budget). These costs provide benchmarking guidelines which could be used in comparing incinerator operating costs with those of other technologies designed to dispose of liquid LLMW. A discussion of the current upgrade status and future activities are included in this paper. Capital costs are not addressed

  3. Development of low-cost high-performance multispectral camera system at Banpil

    Science.gov (United States)

    Oduor, Patrick; Mizuno, Genki; Olah, Robert; Dutta, Achyut K.

    2014-05-01

    Banpil Photonics (Banpil) has developed a low-cost high-performance multispectral camera system for Visible to Short- Wave Infrared (VIS-SWIR) imaging for the most demanding high-sensitivity and high-speed military, commercial and industrial applications. The 640x512 pixel InGaAs uncooled camera system is designed to provide a compact, smallform factor to within a cubic inch, high sensitivity needing less than 100 electrons, high dynamic range exceeding 190 dB, high-frame rates greater than 1000 frames per second (FPS) at full resolution, and low power consumption below 1W. This is practically all the feature benefits highly desirable in military imaging applications to expand deployment to every warfighter, while also maintaining a low-cost structure demanded for scaling into commercial markets. This paper describes Banpil's development of the camera system including the features of the image sensor with an innovation integrating advanced digital electronics functionality, which has made the confluence of high-performance capabilities on the same imaging platform practical at low cost. It discusses the strategies employed including innovations of the key components (e.g. focal plane array (FPA) and Read-Out Integrated Circuitry (ROIC)) within our control while maintaining a fabless model, and strategic collaboration with partners to attain additional cost reductions on optics, electronics, and packaging. We highlight the challenges and potential opportunities for further cost reductions to achieve a goal of a sub-$1000 uncooled high-performance camera system. Finally, a brief overview of emerging military, commercial and industrial applications that will benefit from this high performance imaging system and their forecast cost structure is presented.

  4. Science Innovation

    Science.gov (United States)

    EPA provides innovative research activities that help transform the protection of human health and the environment with high-risk, high-reward Pathfinder Innovation Projects, the P3 student competition, and low-cost air monitoring.

  5. Microemulsion-Based Mucoadhesive Buccal Wafers: Wafer Formation, In Vitro Release, and Ex Vivo Evaluation.

    Science.gov (United States)

    Pham, Minh Nguyet; Van Vo, Toi; Tran, Van-Thanh; Tran, Phuong Ha-Lien; Tran, Thao Truong-Dinh

    2017-10-01

    Microemulsion has the potentials to enhance dissolution as well as facilitate absorption and permeation of poorly water-soluble drugs through biological membranes. However, its application to govern a controlled release buccal delivery for local treatment has not been discovered. The aim of this study is to develop microemulsion-based mucoadhesive wafers for buccal delivery based on an incorporation of the microemulsion with mucoadhesive agents and mannitol. Ratio of oil to surfactant to water in the microemulsion significantly impacted quality of the wafers. Furthermore, the combination of carbopol and mannitol played a key role in forming the desired buccal wafers. The addition of an extra 50% of water to the formulation was suitable for wafer formation by freeze-drying, which affected the appearance and distribution of carbopol in the wafers. The amount of carbopol was critical for the enhancement of mucoadhesive properties and the sustained drug release patterns. Release study presented a significant improvement of the drug release profile following sustained release for 6 h. Ex vivo mucoadhesive studies provided decisive evidence to the increased retention time of wafers along with the increased carbopol content. The success of this study indicates an encouraging strategy to formulate a controlled drug delivery system by incorporating microemulsions into mucoadhesive wafers.

  6. The BOS-X approach: achieving drastic cost reduction in CPV through holistic power plant level innovation

    Science.gov (United States)

    Plesniak, A.; Garboushian, V.

    2012-10-01

    In 2011, the Amonix Advanced Technology Group was awarded DOE SunShot funding in the amount of 4.5M to design a new Balance of System (BOS) architecture utilizing Amonix MegaModules™ focused on reaching the SunShot goal of 0.06-$0.08/kWhr LCOE. The project proposal presented a comprehensive re-evaluation of the cost components of a utility scale CPV plant and identified critical areas of focus where innovation is needed to achieve cost reduction. As the world's premier manufacturer and most experienced installer of CPV power plants, Amonix is uniquely qualified to lead a rethinking of BOS architecture for CPV. The presentation will focus on the structure of the BOS-X approach, which looks for the next wave of cost reduction in CPV through evaluation of non-module subsystems and the interaction between subsystems during the lifecycle of a solar power plant. Innovation around nonmodule components is minimal to date because CPV companies are just now getting enough practice through completion of large projects to create ideas and tests on how to improve baseline designs and processes. As CPV companies increase their installed capacity, they can utilize an approach similar to the methodology of BOS-X to increase the competitiveness of their product. Through partnership with DOE, this holistic approach is expected to define a path for CPV well aligned with the goals of the SunShot Initiative.

  7. Water Level Loggers as a Low-Cost Tool for Monitoring of Stormwater Control Measures

    Directory of Open Access Journals (Sweden)

    Laura Toran

    2016-08-01

    Full Text Available Stormwater control measures (SCMs are a key component of watershed health in urbanized areas. SCMs are used to increase infiltration and reduce discharge to streams or storm sewer systems during rain events. Monitoring is important for the evaluation of design and causes of failure in SCMs. However, the expense of monitoring means it is not always included in stormwater control planning. This study shows how low-cost water level loggers can be used to answer certain questions about SCM performance. Five case studies are presented that use water level loggers to evaluate the overflow of basins, compare a traditional stormpipe trench with an infiltration trench, monitor timing of blue roof storage, show the effects of retrofitting a basin, and provide long term performance data. Water level loggers can be used to answer questions about the timing and location of stormwater overflows, which helps to evaluate the effectiveness of SCMs. More expensive monitoring and modeling can be used as a follow up if needed to more thoroughly assess a site. Nonetheless, low-cost monitoring can be a first step in identifying sites that need improvement or additional monitoring.

  8. Array Automated Assembly Task Low Cost Silicon Solar Array Project. Phase 2. Annual technical report, September 20, 1977-December 31, 1978

    Energy Technology Data Exchange (ETDEWEB)

    Rhee, Sang S.; Jones, Gregory T.; Allison, Kimberly L.

    1978-01-01

    This program was conducted to develop and demonstrate those solar cells and module process steps which have the technological readiness or capability to achieve the 1986 LSA goals. Results are reported. Seventeen process groups were investigated. Very promising results were achieved. A laserscribe computer program was developed. It demonstrated that silicon solar cells could be trimmed and holed by laser without causing mechanical defects (i.e., microcracks) nor any major degradation in solar cell electrical performance. The silicon wafer surface preparation task demonstrated a low-cost, high throughput texturizing process readily adaptable to automation. Performance verification tests of a laser scanning system showed a limited capability to detect hidden cracks or defects in solar cells. A general review of currently available thick film printing equipment provided the indication that state-of-the-art technology can adequately transform the capability of current printing machines to the elevated rate of 7200 wafers per hour. The LFE System 8000 silicon nitride plasma deposition system with the inclusion of minor equipment modifications was shown to be consistent with the 1986 LSA pricing goals. The performance verification test of the silicon nitride A.R. coating process provided the result that texturized, A.R. coated solar cells display a 14.1% improvement in electrical performance over identical solar cells without an A.R. coating. A new electroless nickel plating system was installed and demonstrated a low-cost, high throughput process readily adaptable to automation. A multiple wafer dipping method was investigated and operational parameters defined. A flux removal method consisting of a three stage D.I. water cascade rinse system with ultrasonic agitator was found to be very promising. Also, a SAMICS cost analysis was performed. (WHK)

  9. Study of Si wafer surfaces irradiated by gas cluster ion beams

    International Nuclear Information System (INIS)

    Isogai, H.; Toyoda, E.; Senda, T.; Izunome, K.; Kashima, K.; Toyoda, N.; Yamada, I.

    2007-01-01

    The surface structures of Si (1 0 0) wafers subjected to gas cluster ion beam (GCIB) irradiation have been analyzed by cross-sectional transmission electron microscopy (XTEM) and atomic force microscopy (AFM). GCIB irradiation is a promising technique for both precise surface etching and planarization of Si wafers. However, it is very important to understand the crystalline structure of Si wafers after GCIB irradiation. An Ar-GCIB used for the physically sputtering of Si atoms and a SF 6 -GCIB used for the chemical etching of the Si surface are also analyzed. The GCIB irradiation increases the surface roughness of the wafers, and amorphous Si layers are formed on the wafer surface. However, when the Si wafers are annealed in hydrogen at a high temperature after the GCIB irradiation, the surface roughness decreases to the same level as that before the irradiation. Moreover, the amorphous Si layers disappear completely

  10. High throughput batch wafer handler for 100 to 200 mm wafers

    International Nuclear Information System (INIS)

    Rathmell, R.D.; Raatz, J.E.; Becker, B.L.; Kitchen, R.L.; Luck, T.R.; Decker, J.H.

    1989-01-01

    A new batch processing end station for ion implantation has been developed for wafers of 100 to 200 mm diameter. It usilizes a spinning disk with clampless wafer support. All wafer transport is done with backside handling and is carried out in vacuum. This end station incorporates a new dose control scheme which is able to monitor the incident particle current independently of the charge state of the ions. This technique prevents errors which may be caused by charge exchange between the beam and residual gas. The design and features of this system will be reviewed and the performance to date will be presented. (orig.)

  11. Utilizing an Adaptive Grey Model for Short-Term Time Series Forecasting: A Case Study of Wafer-Level Packaging

    Directory of Open Access Journals (Sweden)

    Che-Jung Chang

    2013-01-01

    Full Text Available The wafer-level packaging process is an important technology used in semiconductor manufacturing, and how to effectively control this manufacturing system is thus an important issue for packaging firms. One way to aid in this process is to use a forecasting tool. However, the number of observations collected in the early stages of this process is usually too few to use with traditional forecasting techniques, and thus inaccurate results are obtained. One potential solution to this problem is the use of grey system theory, with its feature of small dataset modeling. This study thus uses the AGM(1,1 grey model to solve the problem of forecasting in the pilot run stage of the packaging process. The experimental results show that the grey approach is an appropriate and effective forecasting tool for use with small datasets and that it can be applied to improve the wafer-level packaging process.

  12. Reducing the substrate dependent scanner leveling effect in low-k1 contact printing

    Science.gov (United States)

    Chang, C. S.; Tseng, C. F.; Huang, C. H.; Yang, Elvis; Yang, T. H.; Chen, K. C.

    2015-03-01

    As the scaling down of design rule for high-density memory device, the small depth of focus (DoF) budget may be deteriorated by focus leveling errors, which arises in unpredicted reflectivity from multilayer structures on the topographic wafer. The leveling sensors of ASML scanner use near infrared (NIR) range wavelength which can penetrate through most of films using in semiconductor fabrication such as photo-resist, bottom anti reflective coating (BARC) and dielectric materials. Consequently, the reflected light from underlying substructures would disturb leveling sensors from accurate leveling. The different pattern densities and layout characteristics between array and periphery of a memory chip are expected to result in different leveling signals. Furthermore, the process dependent variations between wafer central and edge areas are also considered to yield different leveling performances during wafer exposure. In this study, lower blind contact immunity was observed for peripheral contacts comparing to the array contacts especially around wafer edge region. In order to overcome this problem, a series of investigations have been carried out. The wafer edge leveling optimization through circuit dependent focus edge clearance (CDFEC) option doesn't get improvement. Air gauge improved process leveling (AGILE) function of ASML immersion scanner doesn't show improved result either. The ILD uniformity improvement and step height treatments around wafer edge such as edge exclusion of film deposition and bevel etching are also ineffective to mitigate the blind contact problem of peripheral patterns. Altering the etch hard-mask stack is finally found to be an effective approach to alleviate the issue. For instance, through either containing high temperature deposition advanced patterning film (APF) in the hard-mask or inserting higher opaque film such as amorphous Si in between the hard-mask stack.

  13. Low-level waste management

    International Nuclear Information System (INIS)

    Levin, G.B.

    1980-01-01

    An overview of the current situation in the United States and a look to the future of low-level waste management are presented. Current problems and challenges are discussed, such as: the need of additional disposal sites in the future; risks and costs involved in transport of low-level wastes; reduction of low-level waste volume through smelting, incineration, and storage for wastes containing nuclides with short half lives; development of a national policy for the management of low-level waste, and its implementation through a sensible system of regulations. Establishing a success with low-level waste management should provide the momentum and public confidence needed to continue on and to resolve the technical and politically more difficult low-level waste problems

  14. Cost Considerations and Financing Mechanisms for the Disposal of Low and Intermediate Level Radioactive Waste

    International Nuclear Information System (INIS)

    2007-06-01

    The overall objective of this publication is to provide Member States who are currently planning or preparing new near surface repositories for low and intermediate level radioactive waste (LILW), guidance on cost considerations and funding mechanisms for the repositories' entire life cycle. The report focuses on both technical and non-technical factors affecting repository costs. It considers the major cost elements that comprise a cost evaluation for a disposal facility for LILW and identifies those factors which may result in major uncertainties in an overall cost estimate. In particular, the report lists the basic disposal options and summarizes the legal basis and infrastructure requirements for establishing an effective financing system. It further includes the cost estimation methodology, considers the major cost categories and discusses factors to be considered when planning the financing mechanism, and describes relevant financing schemes

  15. Propagation of resist heating mask error to wafer level

    Science.gov (United States)

    Babin, S. V.; Karklin, Linard

    2006-10-01

    As technology is approaching 45 nm and below the IC industry is experiencing a severe product yield hit due to rapidly shrinking process windows and unavoidable manufacturing process variations. Current EDA tools are unable by their nature to deliver optimized and process-centered designs that call for 'post design' localized layout optimization DFM tools. To evaluate the impact of different manufacturing process variations on final product it is important to trace and evaluate all errors through design to manufacturing flow. Photo mask is one of the critical parts of this flow, and special attention should be paid to photo mask manufacturing process and especially to mask tight CD control. Electron beam lithography (EBL) is a major technique which is used for fabrication of high-end photo masks. During the writing process, resist heating is one of the sources for mask CD variations. Electron energy is released in the mask body mainly as heat, leading to significant temperature fluctuations in local areas. The temperature fluctuations cause changes in resist sensitivity, which in turn leads to CD variations. These CD variations depend on mask writing speed, order of exposure, pattern density and its distribution. Recent measurements revealed up to 45 nm CD variation on the mask when using ZEP resist. The resist heating problem with CAR resists is significantly smaller compared to other types of resists. This is partially due to higher resist sensitivity and the lower exposure dose required. However, there is no data yet showing CD errors on the wafer induced by CAR resist heating on the mask. This effect can be amplified by high MEEF values and should be carefully evaluated at 45nm and below technology nodes where tight CD control is required. In this paper, we simulated CD variation on the mask due to resist heating; then a mask pattern with the heating error was transferred onto the wafer. So, a CD error on the wafer was evaluated subject to only one term of the

  16. Water saving in IC wafer washing process; IC wafer senjo deno sessui taisaku

    Energy Technology Data Exchange (ETDEWEB)

    Harada, H. [Mitsubishi Corp., Tokyo (Japan); Araki, M.; Nakazawa, T.

    1997-11-30

    This paper reports features of a wafer washing technology, a new IC wafer washing process, its pure water saving effect, and a `QC washing` which has pure water saving effect in the wafer washing. Wafer washing processes generally include the SC1 process (using ammonia + hydrogen peroxide aqueous solution) purposed for removing contamination due to ultrafine particles, the SC2 process (using hydrochloric acid + hydrogen peroxide aqueous solution) purposed for removing contamination due to heavy metals, the piranha washing process (using hot sulfuric acid + hydrogen peroxide aqueous solution) purposed for removing contamination due to organic matters, and the DHF (using dilute hydrofluoric acid) purposed for removing natural oxide films. Natural oxide films are now remained as surface protection films, by which surface contamination has been reduced remarkably. A high-temperature washing chemical circulating and filtering technology developed in Japan has brought about a reform in wafer washing processes having been used previously. Spin washing is used as a water saving measure, in which washing chemicals or pure water are sprayed onto one each of wafers which is spin-rotated, allowing washing and rinsing to be made with small amount of washing chemicals and pure water. The QC washing is a method to replace tank interior with pure was as quick as possible in order to increase the rinsing effect. 7 refs., 5 figs.

  17. Concept of innovation in low-income market

    Directory of Open Access Journals (Sweden)

    Vitor Koki da Costa Nogami

    2018-01-01

    Full Text Available Purpose – Investigate the concept and the adoption of innovation in the low-income market. Design/methodology/approach – Four different studies were conducted. First, a Delphi study with 126 Marketing and Innovation professors from graduate programs. Second, interviews with 13 professionals, technical assistance professionals and retail managers. Third, two focus groups with low-income consumers. Fourth, survey with 390 respondents. Findings – The results indicate that innovations in the low-income markets are mainly characterized by adaptations and adjustments in products, with emphasis on incremental innovations, not radical. In addition, the adoption of innovation in this context is characterized as late, not initial. Originality/value – In terms of theoretical contributions, the present study reveals how the concept of innovation is built in the low-income market. From a perspective collectively constructed with information from different market agents (industry, retail and consumers, research findings become robust to understanding a phenomenon. Moreover, in addition to different market agents, different methods of data collection and analysis were also used, further enriching the results. This collective contribution, based theoretically on a literature of social construction, interviewing different market agents and using different methodological approaches, synergistically potentiated the development of this paper

  18. Wafer-scale pixelated detector system

    Science.gov (United States)

    Fahim, Farah; Deptuch, Grzegorz; Zimmerman, Tom

    2017-10-17

    A large area, gapless, detection system comprises at least one sensor; an interposer operably connected to the at least one sensor; and at least one application specific integrated circuit operably connected to the sensor via the interposer wherein the detection system provides high dynamic range while maintaining small pixel area and low power dissipation. Thereby the invention provides methods and systems for a wafer-scale gapless and seamless detector systems with small pixels, which have both high dynamic range and low power dissipation.

  19. Designing a Low-Cost Multifunctional Infant Incubator.

    Science.gov (United States)

    Tran, Kevin; Gibson, Aaron; Wong, Don; Tilahun, Dagmawi; Selock, Nicholas; Good, Theresa; Ram, Geetha; Tolosa, Leah; Tolosa, Michael; Kostov, Yordan; Woo, Hyung Chul; Frizzell, Michael; Fulda, Victor; Gopinath, Ramya; Prasad, J Shashidhara; Sudarshan, Hanumappa; Venkatesan, Arunkumar; Kumar, V Sashi; Shylaja, N; Rao, Govind

    2014-06-01

    Every year, an unacceptably large number of infant deaths occur in developing nations, with premature birth and asphyxia being two of the leading causes. A well-regulated thermal environment is critical for neonatal survival. Advanced incubators currently exist, but they are far too expensive to meet the needs of developing nations. We are developing a thermodynamically advanced low-cost incubator suitable for operation in a low-resource environment. Our design features three innovations: (1) a disposable baby chamber to reduce infant mortality due to nosocomial infections, (2) a passive cooling mechanism using low-cost heat pipes and evaporative cooling from locally found clay pots, and (3) insulated panels and a thermal bank consisting of water that effectively preserve and store heat. We developed a prototype incubator and visited and presented our design to our partnership hospital site in Mysore, India. After obtaining feedback, we have determined realistic, nontrivial design requirements and constraints in order to develop a new prototype incubator for clinical trials in hospitals in India. © 2014 Society for Laboratory Automation and Screening.

  20. Wafer size effect on material removal rate in copper CMP process

    Energy Technology Data Exchange (ETDEWEB)

    Yuh, Minjong; Jang, Soocheon; Park, Inho; Jeong, Haedo [Pusan National University, Busan (Korea, Republic of)

    2017-06-15

    The semiconductor industry has employed the Chemical mechanical planarization (CMP) to enable surface topography control. Copper has been used to build interconnects because of its low-resistivity and high-electromigration. In this study, the effect of wafer size on the Material removal rate (MRR) in copper CMP process was investigated. CMP experiments were conducted using copper blanket wafers with diameter of 100, 150, 200 and 300 mm, while temperature and friction force were measured by infrared and piezoelectric sen-sors. The MRR increases with an increase in wafer size under the same process conditions. The wafer size increased the sliding distance of pad, resulting in an increase in the process temperature. This increased the process temperature, accelerating the chemical etching rate and the dynamic etch rate. The sliding distance of the pad was proportional to the square of the wafer radius; it may be used to predict CMP results and design a CMP machine.

  1. Comparison of SUREPAK life cycle costs to other methods of low-level radioactive waste management

    International Nuclear Information System (INIS)

    Winston, S.J.; Little, C.C.

    1985-01-01

    Comparisons of costs of low-level radioactive waste management techniques invariably degenerate into parochial arguments over differences in commercial objectives. The purpose of this paper is to establish a common basis for comparing technologies and then to examine the result as a complete cycle instead of a snapshot view taken at an arbitrary point in the progression. One objective is to portray cost sensitivity in terms of the options available for waste management. A second, perhaps less obvious, point is the definition of cost factors hidden from the short-term view. The final objective is to show the cumulative effects of costs externally imposed without reference to the technology employed (e.g., legislated surcharges based on arbitrary parameters)

  2. Low-level radioactive waste treatment technology. Low-level radioactive waste management handbook series

    International Nuclear Information System (INIS)

    1984-07-01

    Each generator of low-level radioactive waste must consider three sequential questions: (1) can the waste in its as-generated form be packaged and shipped to a disposal facility; (2) will the packaged waste be acceptable for disposal; and (3) if so, is it cost effective to dispose of the waste in its as-generated form. These questions are aimed at determining if the waste form, physical and chemical characteristics, and radionuclide content collectively are suitable for shipment and disposal in a cost-effective manner. If not, the waste management procedures will involve processing operations in addition to collection, segregation, packaging, shipment, and disposal. This handbook addresses methods of treating and conditioning low-level radioactive waste for shipment and disposal. A framework is provided for selection of cost-effective waste-processing options for generic categories of low-level radioactive waste. The handbook is intended as a decision-making guide that identifies types of information required to evaluate options, methods of evaluation, and limitations associated with selection of any of the processing options

  3. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  4. Low-temperature wafer direct bonding of silicon and quartz glass by a two-step wet chemical surface cleaning

    Science.gov (United States)

    Wang, Chenxi; Xu, Jikai; Zeng, Xiaorun; Tian, Yanhong; Wang, Chunqing; Suga, Tadatomo

    2018-02-01

    We demonstrate a facile bonding process for combining silicon and quartz glass wafers by a two-step wet chemical surface cleaning. After a post-annealing at 200 °C, strong bonding interfaces with no defects or microcracks were obtained. On the basis of the detailed surface and bonding interface characterizations, the bonding mechanism was explored and discussed. The amino groups terminated on the cleaned surfaces might contribute to the bonding strength enhancement during the annealing. This cost-effective bonding process has great potentials for silicon- and glass-based heterogeneous integrations without requiring a vacuum system.

  5. Fabrication of Ge-on-insulator wafers by Smart-CutTM with thermal management for undamaged donor Ge wafers

    Science.gov (United States)

    Kim, Munho; Cho, Sang June; Jayeshbhai Dave, Yash; Mi, Hongyi; Mikael, Solomon; Seo, Jung-Hun; Yoon, Jung U.; Ma, Zhenqiang

    2018-01-01

    Newly engineered substrates consisting of semiconductor-on-insulator are gaining much attention as starting materials for the subsequent transfer of semiconductor nanomembranes via selective etching of the insulating layer. Germanium-on-insulator (GeOI) substrates are critically important because of the versatile applications of Ge nanomembranes (Ge NMs) toward electronic and optoelectronic devices. Among various fabrication techniques, the Smart-CutTM technique is more attractive than other methods because a high temperature annealing process can be avoided. Another advantage of Smart-CutTM is the reusability of the donor Ge wafer. However, it is very difficult to realize an undamaged Ge wafer because there exists a large mismatch in the coefficient of thermal expansion among the layers. Although an undamaged donor Ge wafer is a prerequisite for its reuse, research related to this issue has not yet been reported. Here we report the fabrication of 4-inch GeOI substrates using the direct wafer bonding and Smart-CutTM process with a low thermal budget. In addition, a thermo-mechanical simulation of GeOI was performed by COMSOL to analyze induced thermal stress in each layer of GeOI. Crack-free donor Ge wafers were obtained by annealing at 250 °C for 10 h. Raman spectroscopy and x-ray diffraction (XRD) indicated similarly favorable crystalline quality of the Ge layer in GeOI compared to that of bulk Ge. In addition, Ge p-n diodes using transferred Ge NM indicate a clear rectifying behavior with an on and off current ratio of 500 at ±1 V. This demonstration offers great promise for high performance transferrable Ge NM-based device applications.

  6. A wafer mapping technique for residual stress in surface micromachined films

    International Nuclear Information System (INIS)

    Schiavone, G; Murray, J; Smith, S; Walton, A J; Desmulliez, M P Y; Mount, A R

    2016-01-01

    The design of MEMS devices employing movable structures is crucially dependant on the mechanical behaviour of the deposited materials. It is therefore important to be able to fully characterize the micromachined films and predict with confidence the mechanical properties of patterned structures. This paper presents a characterization technique that enables the residual stress in MEMS films to be mapped at the wafer level by using microstructures released by surface micromachining. These dedicated MEMS test structures and the associated measurement techniques are used to extract localized information on the strain and Young’s modulus of the film under investigation. The residual stress is then determined by numerically coupling this data with a finite element analysis of the structure. This paper illustrates the measurement routine and demonstrates it with a case study using electrochemically deposited alloys of nickel and iron, particularly prone to develop high levels of residual stress. The results show that the technique enables wafer mapping of film non-uniformities and identifies wafer-to-wafer differences. A comparison between the results obtained from the mapping technique and conventional wafer bow measurements highlights the benefits of using a procedure tailored to films that are non-uniform, patterned and surface-micromachined, as opposed to simple standard stress extraction methods. The presented technique reveals detailed information that is generally unexplored when using conventional stress extraction methods such as wafer bow measurements. (paper)

  7. The Brazilian sugarcane innovation system

    International Nuclear Information System (INIS)

    Tosi Furtado, Andre; Gaya Scandiffio, Mirna Ivonne; Barbosa Cortez, Luis Augusto

    2011-01-01

    Ethanol has recently been of great interest worldwide because it is a viable economic alternative to petroleum products and it is a renewable source of energy that mitigates the emission of greenhouse gases. Brazilian bioethanol from sugarcane is the most successful case at the world level because of its low cost and low level of greenhouse gas emissions. Brazil's success with sugarcane cannot be understood as based solely on a natural comparative advantage, but as a result of efforts that culminated in a positive trajectory of technological learning, relying mostly on incremental innovations. The purpose of this article is to analyze the key aspects of the innovation system built around the Brazilian sugarcane industry. It is based on the national innovation systems approach according to which innovation results from the interaction of different institutional actors. Institutional arrangements are analyzed as the basis for the innovative process, in particular R and D and the innovation policies and strategies of the main players in the sugarcane sector, including sugar and ethanol mills, industrial goods suppliers, public and private research institutions, and governmental agencies. - Research Highlights: → The Brazilian success in bioethanol is due to the sugarcane innovation system. → Private funds for R and D became central after IAA closure. → Nowadays Brazilian innovation system is transforming to keep its leadership. → Public funds for research in the second generation bioethanol.

  8. Conceptual costing study for the long-term management of the Port Hope area low-level radioactive wastes

    International Nuclear Information System (INIS)

    1989-12-01

    Comparative conceptual cost estimates for several possible options for the long-term management of the Port Hope area low-level radioactive wastes have been developed. Five potentially applicable concepts were considered in the study: shallow land burial, using either unlined trenches, lined trenches or concrete canisters; engineered storage mounds; above-ground concrete vaults; below-ground concrete vaults; and intermediate-depth caverns using either open stopes or shrinkage mining. The objective was to develop comparative estimates. The differences in costs between concepts reflect the differences in handling methodology or costs of additional engineered barriers around the stored waste. An in situ waste volume of 805 000 m 3 , relatively favorable site conditions, a four-year disposal schedule and a consistent costing basis were assumed for each concept. Limited effort was made to optimize specific facility designs or disposal operations. The projected disposal costs vary from $68/m 3 of waste for shallow land burial in unlined trenches, to $312/m 3 of waste disposal in concrete canisters in trenches. The results of this study are reasonably consistent with previous estimates prepared for the low-level Radioactive Waste Management Office

  9. Higher-level Innovization

    DEFF Research Database (Denmark)

    Bandaru, Sunith; Tutum, Cem Celal; Deb, Kalyanmoy

    2011-01-01

    we introduce the higher-level innovization task through an application of a manufacturing process simulation for the Friction Stir Welding (FSW) process where commonalities among two different Pareto-optimal fronts are analyzed. Multiple design rules are simultaneously deciphered from each front...

  10. KEY TENDENCIES IN SCIENTIFIC AND TECHNICAL (INNOVATIVE ACTIVITIES OF RUSSIAN INDUSTRIAL COMPANIES

    Directory of Open Access Journals (Sweden)

    K. B. Dobrova

    2015-01-01

    Full Text Available The article off ers the analysis of expenditures on technological innovation in Russia and abroad. The author outlines the main reasons of the low level of technological development of Russian industrial companies. A number of organizational and economic measures to create conditions for technological development of the Russian economy are considered. In recent years, there was an essential increase in output of innovative products, works and services that coincided with the increased ratio of volumes of technological innovations to their costs. However, the expected decrease of this ratio in the coming years may cause slower growth of volumes of innovative production that requires drastic measures, especially aimed at restricting key factors impeding innovative activities. These factors include lack of own funds, high cost of innovations, lack of fi nancial support from the state, high economic risk and low innovative potential of the organization.

  11. X-ray analytics for 450-mm wafer; Roentgenanalytik fuer 450-mm-Wafer

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    2014-09-15

    The introduction of the 450-mm technology in the wafer fabrication and the further reduction of critical dimensions requires improved X-ray analysis methods. Therefor the PTB has concipated a metrology chamber for the characterization of 450-mm wafers, the crucial element of which is a multi-axis patent-pending manipulator.

  12. GeSn-on-insulator substrate formed by direct wafer bonding

    Energy Technology Data Exchange (ETDEWEB)

    Lei, Dian; Wang, Wei; Gong, Xiao, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org; Yeo, Yee-Chia, E-mail: elegong@nus.edu.sg, E-mail: yeo@ieee.org [Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117576 (Singapore); Lee, Kwang Hong; Wang, Bing [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); Bao, Shuyu [Low Energy Electronic Systems (LEES), Singapore MIT Alliance for Research and Technology (SMART), 1 CREATE Way, #10-01 CREATE Tower, Singapore 138602 (Singapore); School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore); Tan, Chuan Seng [School of Electrical and Electronic Engineering, Nanyang Technological University, 50 Nanyang Avenue, Singapore 639798 (Singapore)

    2016-07-11

    GeSn-on-insulator (GeSnOI) on Silicon (Si) substrate was realized using direct wafer bonding technique. This process involves the growth of Ge{sub 1-x}Sn{sub x} layer on a first Si (001) substrate (donor wafer) followed by the deposition of SiO{sub 2} on Ge{sub 1-x}Sn{sub x}, the bonding of the donor wafer to a second Si (001) substrate (handle wafer), and removal of the Si donor wafer. The GeSnOI material quality is investigated using high-resolution transmission electron microscopy, high-resolution X-ray diffraction (HRXRD), atomic-force microscopy, Raman spectroscopy, and spectroscopic ellipsometry. The Ge{sub 1-x}Sn{sub x} layer on GeSnOI substrate has a surface roughness of 1.90 nm, which is higher than that of the original Ge{sub 1-x}Sn{sub x} epilayer before transfer (surface roughness is 0.528 nm). The compressive strain of the Ge{sub 1-x}Sn{sub x} film in the GeSnOI is as low as 0.10% as confirmed using HRXRD and Raman spectroscopy.

  13. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  14. Low temperature sacrificial wafer bonding for planarization after very deep etching

    NARCIS (Netherlands)

    Spiering, V.L.; Spiering, V.L.; Berenschot, Johan W.; Elwenspoek, Michael Curt; Fluitman, J.H.J.

    1994-01-01

    A new technique, at temperatures of 150°C or 450°C, that provides planarization after a very deep etching step in silicon is presented. Resist spinning and layer patterning as well as realization of bridges or cantilevers across deep holes becomes possible. The sacrificial wafer bonding technique

  15. Preliminary estimate of the costs involved in the implantation of a low and medium level radioactive waste repository in Brazil

    International Nuclear Information System (INIS)

    Branco, Otavio E.A.; Carvalho Filho, Carlos A.; Ferreira, Vinicius V.M.; Alves, Paulo R.R.

    2009-01-01

    One relevant subject in the decision making process linked to the implantation of a low and intermediate level waste (LILW) repository in Brazil is regarding to the project expected costs. It is important to estimate in a solid way the total and partial costs expected, considering each one of the enterprise implantation phases. This work shows an initial estimative of these costs, based on reports and papers that evaluate the implantation, operation, closure and post closure costs of radioactive LILW waste repositories. In the development of this research only the costs regarding to near surface repositories, or similar ones, were considered. The total cost was estimated as approximately 115 million dollars, considering the whole project lifetime as 300 years. Considering the repository start-up costs (site selection, licensing, project and construction), the total value is estimated as 48 million dollars (1600 dollars/m 3 ). It is important to emphasize that some cares should be taken when costs obtained from the acquired experience by another countries in the repositories development are analyzed. As example, the costs for disposal 1 m 3 of low and medium level radioactive waste vary significantly from one country to another, even when repositories with similar projects are compared. Also the total costs of construction and licensing are significantly higher when compared those ones from 'conventional' facilities with similar technological characteristics. Finally, although about a dozen low and medium level radioactive waste repository are operating in Europe, new projects should be faced, as the international practice demonstrate, as original developments. (author)

  16. [Analysis of medical cost of atlantoaxial disorders in patients receiving innovated treatment technologies].

    Science.gov (United States)

    Wu, Yunxia; Liu, Zhongjun

    2016-01-19

    To explore the effects of innovated technologies and products on improving outcomes and decreasing medical costs by analyzing a total and subtotal medical costs of patients with atlantoaxial disorders. The medical costs of 1 489 patients with atlantoaxial disorders from Peking University Third Hospital from 2005 to 2014, who received innovated technologies and products treatment were retrospectively analyzed and compared.Descriptive analysis and ANOVA were used for statistical analysis, and SPSS 19.0 was used to analyze data. From 2005 to 2014, under the situation of a general increase in medical cost by 327%, the total medical costs were stable for patients who used innovated technologies and products for treatment, fluctuating from 20 851 in 2005 to 20 878 in 2014; however, the cases of operation increased year by year, from 88 in 2005 to 163 in 2014; the average length of stay decreased from 21 in 2005 to 10 in 2014; the total cases of transfusion were 22 from 2005 to 2014; the safety, stability and feasibility of the innovated technologies and products were illustrated through the decrease of average length of stay, the reduction of bleeding and the significance of outcomes. It is illustrated that the innovated technologies and products not only decrease patients' suffering and medical costs but also are safe, stable and feasible.

  17. Magnetron target designs to improve wafer edge trench filling in ionized metal physical vapor deposition

    International Nuclear Information System (INIS)

    Lu Junqing; Yoon, Jae-Hong; Shin, Keesam; Park, Bong-Gyu; Yang Lin

    2006-01-01

    Severe asymmetry of the metal deposits on the trench sidewalls occurs near the wafer edge during low pressure ionized metal physical vapor deposition of Cu seed layer for microprocessor interconnects. To investigate this process and mitigate the asymmetry, an analytical view factor model based on the analogy between metal sputtering and diffuse thermal radiation was constructed. The model was validated based on the agreement between the model predictions and the reported experimental values for the asymmetric metal deposition at trench sidewalls near the wafer edge for a 200 mm wafer. This model could predict the thickness of the metal deposits across the wafer, the symmetry of the deposits on the trench sidewalls at any wafer location, and the angular distributions of the metal fluxes arriving at any wafer location. The model predictions for the 300 mm wafer indicate that as the target-to-wafer distance is shortened, the deposit thickness increases and the asymmetry decreases, however the overall uniformity decreases. Up to reasonable limits, increasing the target size and the sputtering intensity for the outer target portion significantly improves the uniformity across the wafer and the symmetry on the trench sidewalls near the wafer edge

  18. Admissibility of building cost subsidy in the power grid above the low voltage level; Zulaessigkeit von Baukostenzuschuessen im Stromnetz oberhalb der Niederspannungsebene

    Energy Technology Data Exchange (ETDEWEB)

    Foerster, Sven [Kanzlei Norton Rose Fulbright LLP, Muenchen(Germany)

    2015-07-01

    Electricity networks are essential to the provision of electrical power to businesses and individuals. In particular for manufacturing businesses a connection to the grid above the low-voltage level is often useful. Network operators demand a subsidy for the new connection and for the change to a higher network level under the auspices of construction cost. The power network market above the low-voltage level is a natural monopoly. This leaves consumers looking for a connection to the power grid with no possibility to select among different network operators. Construction cost subsidies are not regulated by law above the low voltage level. The lack of legal regulation and the natural monopoly above the low-voltage level affect the balance of power between network operators and system users. The lawfulness of the construction cost subsidies, the prerequisites for their demand and a review of the calculation models (Leistungspreismodell, 2-Ebenen-Modell) as well as a proposal for a reform of this system form the subject of this work.

  19. Carbon dioxide capture using resin-wafer electrodeionization

    Science.gov (United States)

    Lin, YuPo J.; Snyder, Seth W.; Trachtenberg, Michael S.; Cowan, Robert M.; Datta, Saurav

    2015-09-08

    The present invention provides a resin-wafer electrodeionization (RW-EDI) apparatus including cathode and anode electrodes separated by a plurality of porous solid ion exchange resin wafers, which when in use are filled with an aqueous fluid. The apparatus includes one or more wafers comprising a basic ion exchange medium, and preferably includes one or more wafers comprising an acidic ion exchange medium. The wafers are separated from one another by ion exchange membranes. The fluid within the acidic and/or basic ion exchange wafers preferably includes, or is in contact with, a carbonic anhydrase (CA) enzyme to facilitate conversion of bicarbonate ion to carbon dioxide within the acidic medium. A pH suitable for exchange of CO.sub.2 is electrochemically maintained within the basic and acidic ion exchange wafers by applying an electric potential across the cathode and anode.

  20. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  1. Validation of an effective, low cost, Free/open access 3D-printed stethoscope

    Science.gov (United States)

    Pavlosky, Alexander; Glauche, Jennifer; Chambers, Spencer; Al-Alawi, Mahmoud; Yanev, Kliment

    2018-01-01

    The modern acoustic stethoscope is a useful clinical tool used to detect subtle, pathological changes in cardiac, pulmonary and vascular sounds. Currently, brand-name stethoscopes are expensive despite limited innovations in design or fabrication in recent decades. Consequently, the high cost of high quality, brand name models serves as a barrier to clinicians practicing in various settings, especially in low- and middle-income countries. In this publication, we describe the design and validation of a low-cost open-access (Free/Libre) 3D-printed stethoscope which is comparable to the Littmann Cardiology III for use in low-access clinics. PMID:29538426

  2. Methane production using resin-wafer electrodeionization

    Science.gov (United States)

    Snyder, Seth W; Lin, YuPo; Urgun-Demirtas, Meltem

    2014-03-25

    The present invention provides an efficient method for creating natural gas including the anaerobic digestion of biomass to form biogas, and the electrodeionization of biogas to form natural gas and carbon dioxide using a resin-wafer deionization (RW-EDI) system. The method may be further modified to include a wastewater treatment system and can include a chemical conditioning/dewatering system after the anaerobic digestion system. The RW-EDI system, which includes a cathode and an anode, can either comprise at least one pair of wafers, each a basic and acidic wafer, or at least one wafer comprising of a basic portion and an acidic portion. A final embodiment of the RW-EDI system can include only one basic wafer for creating natural gas.

  3. An innovative design of small low head hydropower units for low cost decentralized production

    International Nuclear Information System (INIS)

    Holmen, E.; Dennehy, T.

    1991-01-01

    Design allowing turbine operation at heads as low as 1m and operating at a rotational speed of 500 RPM at a flow of 2.6m 3 /s and a runner diameter of 700 mm. This eliminates the need for a gear box and helps in achieving efficiency of 60% in the case of a 21 kW installation at a 1m head site and 85% with a 69 kW 3.2m head site. Present turbine designs for such low head sites are very expensive to produce and have a low efficiency. The design uses an all plastic waterway, guide vane assembly and reinforced plastic runner blades. There will be a short pay-back period, for example 4.5 years in the case of a 21 kW unit and 2.0 years in case of the 69 kW unit. These payback periods assume a cost per kW of 0.00 ECU. Design is attractive for decentralized production. 3 figs

  4. Low-Cost Superconducting Wire for Wind Generators: High Performance, Low Cost Superconducting Wires and Coils for High Power Wind Generators

    Energy Technology Data Exchange (ETDEWEB)

    None

    2012-01-01

    REACT Project: The University of Houston will develop a low-cost, high-current superconducting wire that could be used in high-power wind generators. Superconducting wire currently transports 600 times more electric current than a similarly sized copper wire, but is significantly more expensive. The University of Houston’s innovation is based on engineering nanoscale defects in the superconducting film. This could quadruple the current relative to today’s superconducting wires, supporting the same amount of current using 25% of the material. This would make wind generators lighter, more powerful and more efficient. The design could result in a several-fold reduction in wire costs and enable their commercial viability of high-power wind generators for use in offshore applications.

  5. "Low -Technology”: A Forgotten Sector in Innovation Policy

    Directory of Open Access Journals (Sweden)

    Hartmut Hirsch-Kreinsen

    2008-09-01

    Full Text Available Abstract In the discussions on research and technology policy focuses mainly on the dynamic innovation of new technologies. Long term growth, competitive advantages on the world market and employment effects are primarily perceived in new high-tech products. According to this perspective the question as to the future of industrial sectors that produce mature and conventional standard products is not raised at all. Such industrial sectors are, following well-known OECD categories, regarded as "low-tech". However, there are a number of convincing examples of sectors and companies that have been successfully inno-vating low tech products in “high-tech countries” of the European Union.The paper focuses on low-tech companies analysing their innovative capabilities and developmental perspectives. The argumentation is based on results of a recently finished research project on the developmental perspectives of low-tech industries funded by the European Commission (project title: „Policy and Innovation in Low-tech Indus-tries in Europe – PILOT“.

  6. Increasing reticle inspection efficiency and reducing wafer print-checks using automated defect classification and simulation

    Science.gov (United States)

    Ryu, Sung Jae; Lim, Sung Taek; Vacca, Anthony; Fiekowsky, Peter; Fiekowsky, Dan

    2013-09-01

    IC fabs inspect critical masks on a regular basis to ensure high wafer yields. These requalification inspections are costly for many reasons including the capital equipment, system maintenance, and labor costs. In addition, masks typically remain in the "requal" phase for extended, non-productive periods of time. The overall "requal" cycle time in which reticles remain non-productive is challenging to control. Shipping schedules can slip when wafer lots are put on hold until the master critical layer reticle is returned to production. Unfortunately, substituting backup critical layer reticles can significantly reduce an otherwise tightly controlled process window adversely affecting wafer yields. One major requal cycle time component is the disposition process of mask inspections containing hundreds of defects. Not only is precious non-productive time extended by reviewing hundreds of potentially yield-limiting detections, each additional classification increases the risk of manual review techniques accidentally passing real yield limiting defects. Even assuming all defects of interest are flagged by operators, how can any person's judgment be confident regarding lithographic impact of such defects? The time reticles spend away from scanners combined with potential yield loss due to lithographic uncertainty presents significant cycle time loss and increased production costs. Fortunately, a software program has been developed which automates defect classification with simulated printability measurement greatly reducing requal cycle time and improving overall disposition accuracy. This product, called ADAS (Auto Defect Analysis System), has been tested in both engineering and high-volume production environments with very successful results. In this paper, data is presented supporting significant reduction for costly wafer print checks, improved inspection area productivity, and minimized risk of misclassified yield limiting defects.

  7. Industrial cost effective n-pasha solar cells with >20% efficiency

    Energy Technology Data Exchange (ETDEWEB)

    Romijn, I.G.; Van Aken, B.; Anker, J.; Barton, P.; Gutjahr, A.; Komatsu, Y.; Koppes, M.; Kossen, E.J.; Lamers, M.; Saynova, D.S.; Tool, C.J.J.; Zhang, Y. [ECN Solar Energy, P.O. Box 1, NL-1755 ZG Petten (Netherlands); Venema, P.R.; Vlooswijk, A.H.G. [Tempress Systems BV, Radeweg 31, 8171 MD Vaassen (Netherlands); Schmitt, C.; Kuehnlein, H.; Bay, N. [RENA GmbH, Hans-Bunte-Strasse 19, D-79108, Freiburg im Breisgau (Germany); Koenig, M.; Stassen, A.F. [Heraeus Precious Metals GmbH and Co. KG, Heraeusstrasse 12-14, D-63450, Hanau (Germany)

    2013-10-15

    The n-Pasha cell is a bifacial solar cell concept with average efficiencies between 19.8% and 20% and is optimized to enable high efficiencies with narrow distribution on wafers from the complete n-type ingots (2 to 10 {omega}-cm). This reduces the yield losses from a wafer point of view, which is important since the wafer costs make up the largest part ({approx}40%) of the total module costs for n-Pasha modules. The module fabrication itself adds up to {approx}35% of the module costs/Wp costs, which leaves {approx}25% of the costs/Wp for the cell production. We found that the costs/Wp for the 20% n-Pasha cell and module process are very similar to those of a 19% p-type cell, assuming similar wafer and module manufacturing costs. In the paper the successful implementation of a reduction of >60% in BBr{sub 3} consumption, and a reduction of >50% in Ag consumption are described, while keeping the n-Pasha cell efficiency at the same level. According to our calculations, the achieved reduction of the Ag and BBr{sub 3} consumption will lower the costs/Wp for n-Pasha modules below that of p-type. The majority of the efficiency losses in the n-Pasha cell are due to recombination in the diffused layers and below the contact regions. By tuning both the emitter and BSF profile, an efficiency gain of 0.4% absolute has been obtained. Based on the simulations and experimental results, the path towards further optimization and efficiencies approaching 21% is shown.

  8. Mechanical Properties of Photovoltaic Silicon in Relation to Wafer Breakage

    Science.gov (United States)

    Kulshreshtha, Prashant Kumar

    This thesis focuses on the fundamental understanding of stress-modified crack-propagation in photovoltaic (PV) silicon in relation to the critical issue of PV silicon "wafer breakage". The interactions between a propagating crack and impurities/defects/residual stresses have been evaluated for consequential fracture path in a thin PV Si wafer. To investigate the mechanism of brittle fracture in silicon, the phase transformations induced by elastic energy released at a propagating crack-tip have been evaluated by locally stressing the diamond cubic Si lattice using a rigid Berkovich nanoindenter tip (radius ≈50 nm). Unique pressure induced phase transformations and hardness variations have been then related to the distribution of precipitates (O, Cu, Fe etc.), and the local stresses in the wafer. This research demonstrates for the first time the "ductile-like fracture" in almost circular crack path that significantly deviates from its energetically favorable crystallographic [110](111) system. These large diameter (≈ 200 mm) Si wafers were sliced to less than 180 microm thickness from a Czochralski (CZ) ingot that was grown at faster than normal growth rates. The vacancy (vSi) driven precipitation of oxygen at enhanced thermal gradients in the wafer core develops large localized stresses (upto 100 MPa) which we evaluated using Raman spectral analysis. Additional micro-FTIR mapping and microscopic etch pit measurements in the wafer core have related the observed crack path deviations to the presence of concentric ring-like distributions of oxygen precipitates (OPs). To replicate these "real-world" breakage scenarios and provide better insight on crack-propagation, several new and innovative tools/devices/methods have been developed in this study. An accurate quantitative profiling of local stress, phase changes and load-carrying ability of Si lattice has been performed in the vicinity of the controlled micro-cracks created using micro-indentations to represent

  9. Low cost batch fabrication of microdevices using ultraviolet light-emitting diode photolithography technique

    Science.gov (United States)

    Lee, Neam Heng; Swamy, Varghese; Ramakrishnan, Narayanan

    2016-01-01

    Solid-state technology has enabled the use of light-emitting diodes (LEDs) in lithography systems due to their low cost, low power requirement, and higher efficiency relative to the traditional mercury lamp. Uniform irradiance distribution is essential for photolithography to ensure the critical dimension (CD) of the feature fabricated. However, light illuminated from arrays of LEDs can have nonuniform irradiance distribution, which can be a problem when using LED arrays as a source to batch-fabricate multiple devices on a large wafer piece. In this study, the irradiance distribution of an UV LED array was analyzed, and the separation distance between light source and mask optimized to obtain maximum irradiance uniformity without the use of a complex lens. Further, employing a diffuser glass enhanced the fabrication process and the CD loss was minimized to an average of 300 nm. To assess the performance of the proposed technology, batch fabrication of surface acoustic wave devices on lithium niobate substrate was carried out, and all the devices exhibited identical insertion loss of -18 dB at a resonance frequency of 39.33 MHz. The proposed low-cost UV lithography setup can be adapted in academic laboratories for research and teaching on microdevices.

  10. Scatterometry on pelliclized masks: an option for wafer fabs

    Science.gov (United States)

    Gallagher, Emily; Benson, Craig; Higuchi, Masaru; Okumoto, Yasuhiro; Kwon, Michael; Yedur, Sanjay; Li, Shifang; Lee, Sangbong; Tabet, Milad

    2007-03-01

    Optical scatterometry-based metrology is now widely used in wafer fabs for lithography, etch, and CMP applications. This acceptance of a new metrology method occurred despite the abundance of wellestablished CD-SEM and AFM methods. It was driven by the desire to make measurements faster and with a lower cost of ownership. Over the last year, scatterometry has also been introduced in advanced mask shops for mask measurements. Binary and phase shift masks have been successfully measured at all desired points during photomask production before the pellicle is mounted. There is a significant benefit to measuring masks with the pellicle in place. From the wafer fab's perspective, through-pellicle metrology would verify mask effects on the same features that are characterized on wafer. On-site mask verification would enable quality control and trouble-shooting without returning the mask to a mask house. Another potential application is monitoring changes to mask films once the mask has been delivered to the fab (haze, oxide growth, etc.). Similar opportunities apply to the mask metrologist receiving line returns from a wafer fab. The ability to make line-return measurements without risking defect introduction is clearly attractive. This paper will evaluate the feasibility of collecting scatterometry data on pelliclized masks. We explore the effects of several different pellicle types on scatterometry measurements made with broadband light in the range of 320-780 nm. The complexity introduced by the pellicles' optical behavior will be studied.

  11. Cost-effective method of manufacturing a 3D MEMS optical switch

    Science.gov (United States)

    Carr, Emily; Zhang, Ping; Keebaugh, Doug; Chau, Kelvin

    2009-02-01

    growth of data and video transport networks. All-optical switching eliminates the need for optical-electrical conversion offering the ability to switch optical signals transparently: independent of data rates, formats and wavelength. It also provides network operators much needed automation capabilities to create, monitor and protect optical light paths. To further accelerate the market penetration, it is necessary to identify a path to reduce the manufacturing cost significantly as well as enhance the overall system performance, uniformity and reliability. Currently, most MEMS optical switches are assembled through die level flip-chip bonding with either epoxies or solder bumps. This is due to the alignment accuracy requirements of the switch assembly, defect matching of individual die, and cost of the individual components. In this paper, a wafer level assembly approach is reported based on silicon fusion bonding which aims to reduce the packaging time, defect count and cost through volume production. This approach is successfully demonstrated by the integration of two 6-inch wafers: a mirror array wafer and a "snap-guard" wafer, which provides a mechanical structure on top of the micromirror to prevent electrostatic snap-down. The direct silicon-to-silicon bond eliminates the CTEmismatch and stress issues caused by non-silicon bonding agents. Results from a completed integrated switch assembly will be presented, which demonstrates the reliability and uniformity of some key parameters of this MEMS optical switch.

  12. Wafer-level vacuum packaged resonant micro-scanning mirrors for compact laser projection displays

    Science.gov (United States)

    Hofmann, Ulrich; Oldsen, Marten; Quenzer, Hans-Joachim; Janes, Joachim; Heller, Martin; Weiss, Manfred; Fakas, Georgios; Ratzmann, Lars; Marchetti, Eleonora; D'Ascoli, Francesco; Melani, Massimiliano; Bacciarelli, Luca; Volpi, Emilio; Battini, Francesco; Mostardini, Luca; Sechi, Francesco; De Marinis, Marco; Wagner, Bernd

    2008-02-01

    Scanning laser projection using resonant actuated MEMS scanning mirrors is expected to overcome the current limitation of small display size of mobile devices like cell phones, digital cameras and PDAs. Recent progress in the development of compact modulated RGB laser sources enables to set up very small laser projection systems that become attractive not only for consumer products but also for automotive applications like head-up and dash-board displays. Within the last years continuous progress was made in increasing MEMS scanner performance. However, only little is reported on how mass-produceability of these devices and stable functionality even under harsh environmental conditions can be guaranteed. Automotive application requires stable MEMS scanner operation over a wide temperature range from -40° to +85°Celsius. Therefore, hermetic packaging of electrostatically actuated MEMS scanning mirrors becomes essential to protect the sensitive device against particle contamination and condensing moisture. This paper reports on design, fabrication and test of a resonant actuated two-dimensional micro scanning mirror that is hermetically sealed on wafer level. With resonant frequencies of 30kHz and 1kHz, an achievable Theta-D-product of 13mm.deg and low dynamic deformation <20nm RMS it targets Lissajous projection with SVGA-resolution. Inevitable reflexes at the vacuum package surface can be seperated from the projection field by permanent inclination of the micromirror.

  13. InP-based photonic integrated circuit platform on SiC wafer.

    Science.gov (United States)

    Takenaka, Mitsuru; Takagi, Shinichi

    2017-11-27

    We have numerically investigated the properties of an InP-on-SiC wafer as a photonic integrated circuit (PIC) platform. By bonding a thin InP-based semiconductor on a SiC wafer, SiC can be used as waveguide cladding, a heat sink, and a support substrate simultaneously. Since the refractive index of SiC is sufficiently low, PICs can be fabricated using InP-based strip and rib waveguides with a minimum bend radius of approximately 7 μm. High-thermal-conductivity SiC underneath an InP-based waveguide core markedly improves heat dissipation, resulting in superior thermal properties of active devices such as laser diodes. The InP-on-SiC wafer has significantly smaller thermal stress than InP-on-SiO 2 /Si wafer, which prevents the thermal degradation of InP-based devices during high-temperature processes. Thus, InP on SiC provides an ideal platform for high-performance PICs.

  14. Levee Setbacks: An Innovative, Cost Effective, and Sustainable Solution for Improved Flood Risk management

    Science.gov (United States)

    2017-06-30

    ER D C/ EL S R- 17 -3 Levee Setbacks: An Innovative , Cost-Effective, and Sustainable Solution for Improved Flood Risk Management En vi...EL SR-17-3 June 2017 Levee Setbacks: An Innovative , Cost-Effective, and Sustainable Solution for Improved Flood Risk Management David L. Smith...alternative view point is necessary. ERDC/EL SR-17-3 4 Levee setbacks are a relatively recent innovation in Corps flood risk management practice

  15. Temperature Dependent Electrical Properties of PZT Wafer

    Science.gov (United States)

    Basu, T.; Sen, S.; Seal, A.; Sen, A.

    2016-04-01

    The electrical and electromechanical properties of lead zirconate titanate (PZT) wafers were investigated and compared with PZT bulk. PZT wafers were prepared by tape casting technique. The transition temperature of both the PZT forms remained the same. The transition from an asymmetric to a symmetric shape was observed for PZT wafers at higher temperature. The piezoelectric coefficient (d 33) values obtained were 560 pc/N and 234 pc/N, and the electromechanical coupling coefficient (k p) values were 0.68 and 0.49 for bulk and wafer, respectively. The reduction in polarization after fatigue was only ~3% in case of PZT bulk and ~7% for PZT wafer.

  16. Aerosol-assisted extraction of silicon nanoparticles from wafer slicing waste for lithium ion batteries.

    Science.gov (United States)

    Jang, Hee Dong; Kim, Hyekyoung; Chang, Hankwon; Kim, Jiwoong; Roh, Kee Min; Choi, Ji-Hyuk; Cho, Bong-Gyoo; Park, Eunjun; Kim, Hansu; Luo, Jiayan; Huang, Jiaxing

    2015-03-30

    A large amount of silicon debris particles are generated during the slicing of silicon ingots into thin wafers for the fabrication of integrated-circuit chips and solar cells. This results in a significant loss of valuable materials at about 40% of the mass of ingots. In addition, a hazardous silicon sludge waste is produced containing largely debris of silicon, and silicon carbide, which is a common cutting material on the slicing saw. Efforts in material recovery from the sludge and recycling have been largely directed towards converting silicon or silicon carbide into other chemicals. Here, we report an aerosol-assisted method to extract silicon nanoparticles from such sludge wastes and their use in lithium ion battery applications. Using an ultrasonic spray-drying method, silicon nanoparticles can be directly recovered from the mixture with high efficiency and high purity for making lithium ion battery anode. The work here demonstrated a relatively low cost approach to turn wafer slicing wastes into much higher value-added materials for energy applications, which also helps to increase the sustainability of semiconductor material and device manufacturing.

  17. Porous solid ion exchange wafer for immobilizing biomolecules

    Science.gov (United States)

    Arora, Michelle B.; Hestekin, Jamie A.; Lin, YuPo J.; St. Martin, Edward J.; Snyder, Seth W.

    2007-12-11

    A porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer. Also disclosed is a porous solid ion exchange wafer having a combination of a biomolecule capture-resin and an ion-exchange resin forming a charged capture resin within said wafer containing a biomolecule with a tag. A separate bioreactor is also disclosed incorporating the wafer described above.

  18. Thermal stress during RTP processes and its possible effect on the light induced degradation in Cz-Si wafers

    Science.gov (United States)

    Kouhlane, Yacine; Bouhafs, Djoudi; Khelifati, Nabil; Guenda, Abdelkader; Demagh, Nacer-Eddine; Demagh, Assia; Pfeiffer, Pierre; Mezghiche, Salah; Hetatache, Warda; Derkaoui, Fahima; Nasraoui, Chahinez; Nwadiaru, Ogechi Vivian

    2018-04-01

    In this study, the carrier lifetime variation of p-type boron-doped Czochralski silicon (Cz-Si) wafers was investigated after a direct rapid thermal processing (RTP). Two wafers were passivated by silicon nitride (SiNx:H) layers, deposited by a PECVD system on both surfaces. Then the wafers were subjected to an RTP cycle at a peak temperature of 620 °C. The first wafer was protected (PW) from the direct radiative heating of the RTP furnace by placing the wafer between two as-cut Cz-Si shield wafers during the heat processing. The second wafer was not protected (NPW) and followed the same RTP cycle procedure. The carrier lifetime τ eff was measured using the QSSPC technique before and after illumination for 5 h duration at 0.5 suns. The immediate results of the measured lifetime (τ RTP ) after the RTP process have shown a regeneration in the lifetime of the two wafers with the PW wafer exhibiting an important enhancement in τ RTP as compared to the NPW wafer. The QSSPC measurements have indicated a good stable lifetime (τ d ) and a weak degradation effect was observed in the case of the PW wafer as compared to their initial lifetime value. Interferometry technique analyses have shown an enhancement in the surface roughness for the NPW wafer as compared to the protected one. Additionally, to improve the correlation between the RTP heat radiation stress and the carrier lifetime behavior, a simulation of the thermal stress and temperature profile using the finite element method on the wafers surface at RTP peak temperature of 620 °C was performed. The results confirm the reduction of the thermal stress with less heat losses for the PW wafer. Finally, the proposed method can lead to improving the lifetime of wafers by an RTP process at minimum energy costs.

  19. 120 mm Single-crystalline perovskite and wafers: towards viable applications

    Institute of Scientific and Technical Information of China (English)

    Yucheng Liu; Bo Wang; Qingbo Wei; Fengwei Xiao; Haibo Fan; Hao Deng; Liangping Deng; Shengzhong (Frank) Liu; Xiaodong Ren; Jing Zhang; Zhou Yang; Dong Yang; Fengyang Yu; Jiankun Sun; Changming Zhao; Zhun Yao

    2017-01-01

    As the large single-crystalline silicon wafers have revolutionized many industries including electronics and solar cells,it is envisioned that the availability of large single-crystalline perovskite crystals and wafers will revolutionize its broad applications in photovoltaics,optoelectronics,lasers,photodetectors,light emitting diodes (LEDs),etc.Here we report a method to grow large single-crystalline perovskites including single-halide crystals:CH3NH3PbX3 (X=Ⅰ,Br,Cl),and dual-halide ones:CH3NH3Pb(ClxBr1-x)3 and CH3NH3Pb(BrxI1-x)3,with the largest crystal being 120 mm in length.Meanwhile,we have advanced a process to slice the large perovskite crystals into thin wafers.It is found that the wafers exhibit remarkable features:(1) its trap-state density is a million times smaller than that in the microcrystalline perovskite thin films (MPTF);(2) its carrier mobility is 410 times higher than its most popular organic counterpart P3HT;(3) its optical absorption is expanded to as high as 910 nm comparing to 797 nm for the MPTF;(4) while MPTF decomposes at 150 ℃,the wafer is stable at high temperature up to 270 ℃;(5) when exposed to high humidity (75% RH),MPTF decomposes in 5 h while the wafer shows no change for overnight;(6) its photocurrent response is 250 times higher than its MPTF counterpart.A few electronic devices have been fabricated using the crystalline wafers.Among them,the Hall test gives low carrier concentration with high mobility.The trap-state density is measured much lower than common semiconductors.Moreover,the large SC-wafer is found particularly useful for mass production of integrated circuits.By adjusting the halide composition,both the optical absorption and the light emission can be fine-tuned across the entire visible spectrum from 400 nm to 800 nm.It is envisioned that a range of visible lasers and LEDs may be developed using the dual-halide perovskites.With fewer trap states,high mobility,broader absorption,and humidity resistance,it is

  20. Tasks of institutional support to increase innovation activity of small business in the region

    Directory of Open Access Journals (Sweden)

    Igor Aleksandrovich Bayev

    2013-03-01

    Full Text Available The reasons for the low innovation activity of Russian small enterprises are presented in the article from the standpoint of institutional theory. According to the authors, today the degree of influence of the institutional environment on meso- and mini-levels, as well as informal rules for the development of small forms of entrepreneurship, including their innovative activity are underestimated. The influence of informal component of mini-level institutional environment on the implementation of formal institutions in the examples of the phenomenon of «Old Believers» Entrepreneurship and institutions of the shadow economy is shown. The authors suggest that the shadow nature existing in Russia on mini-level informal institutions to be explained not only by the low level of trust in society (including trust in authority and leadership and the differences between the national mentality, but also inadequate distribution of transaction costs of doing business (including innovation between economic agents. Features of the institutional system of Russia  as well as transaction costs of the domestic system of patenting inventions  are investigated as the key causes of the problem. Methodological principles of institutional support of small innovative businesses are presented.

  1. Graphene-Decorated Nanocomposites for Printable Electrodes in Thin Wafer Devices

    Science.gov (United States)

    Bakhshizadeh, N.; Sivoththaman, S.

    2017-12-01

    Printable electrodes that induce less stress and require lower curing temperatures compared to traditional screen-printed metal pastes are needed in thin wafer devices such as future solar cells, and in flexible electronics. The synthesis of nanocomposites by incorporating graphene nanopowders as well as silver nanowires into epoxy-based electrically conductive adhesives (ECA) is examined to improve electrical conductivity and to develop alternate printable electrode materials that induce less stress on the wafer. For the synthesized graphene and Ag nanowire-decorated ECA nanocomposites, the curing kinetics were studied by dynamic and isothermal differential scanning calorimetry measurements. Thermogravimetric analysis on ECA, ECA-AG and ECA/graphene nanopowder nanocomposites showed that the temperatures for onset of decomposition are higher than their corresponding glass transition temperature ( T g) indicating an excellent thermal resistance. Printed ECA/Ag nanowire nanocomposites showed 90% higher electrical conductivity than ECA films, whereas the ECA/graphene nanocomposites increased the conductivity by over two orders of magnitude. Scanning electron microscopy results also revealed the effect of fillers morphology on the conductivity improvement and current transfer mechanisms in nanocomposites. Residual stress analysis performed on Si wafers showed that the ECA and nanocomposite printed wafers are subjected to much lower stress compared to those printed with metallic pastes. The observed parameters of low curing temperature, good thermal resistance, reasonably high conductivity, and low residual stress in the ECA/graphene nanocomposite makes this material a promising alternative in screen-printed electrode formation in thin substrates.

  2. Science and technology of plasma activated direct wafer bonding

    Science.gov (United States)

    Roberds, Brian Edward

    This dissertation studied the kinetics of silicon direct wafer bonding with emphasis on low temperature bonding mechanisms. The project goals were to understand the topological requirements for initial bonding, develop a tensile test to measure the bond strength as a function of time and temperature and, using the kinetic information obtained, develop lower temperature methods of bonding. A reproducible surface metrology metric for bonding was best described by power spectral density derived from atomic force microscopy measurements. From the tensile strength kinetics study it was found that low annealing temperatures could be used to obtain strong bonds, but at the expense of longer annealing times. Three models were developed to describe the kinetics. A diffusion controlled model and a reaction rate controlled model were developed for the higher temperature regimes (T > 600sp°C), and an electric field assisted oxidation model was proposed for the low temperature range. An in situ oxygen plasma treatment was used to further enhance the field-controlled mechanism which resulted in dramatic increases in the low temperature bonding kinetics. Multiple internal transmission Fourier transform infrared spectroscopy (MIT-FTIR) was used to monitor species evolution at the bonded interface and a capacitance-voltage (CV) study was undertaken to investigate charge distribution and surface states resulting from plasma activation. A short, less than a minute, plasma exposure prior to contacting the wafers was found to obtain very strong bonds for hydrophobic silicon wafers at very low temperatures (100sp°C). This novel bonding method may enable new technologies involving heterogeneous material systems or bonding partially fabricated devices to become realities.

  3. An attempt to specify thermal history in CZ silicon wafers and possibilities for its modification

    International Nuclear Information System (INIS)

    Kissinger, G.; Sattler, A.; Mueller, T.; Ammon, W. von

    2007-01-01

    The term thermal history of silicon wafers represents the whole variety of process parameters of crystal growth. The aim of this contribution is an attempt to specify thermal history by one parameter that is directly correlated to the bulk microdefect density. The parameter that reflects thermal history and correlates it with nucleation of oxide precipitates is the concentration of VO 2 complexes. The VO 2 concentration in silicon wafers is too low to be measured by FTIR but it can be obtained from the loss of interstitial oxygen during a standardized thermal treatment. Based on this, the vacancy concentration frozen during crystal cooling in the ingot can be calculated. RTA treatments above 1150 deg. C create a well defined level of the VO 2 concentration in silicon wafers. This means that a well controlled modification of the thermal history is possible. We also investigated the kinetics of reduction of the as-grown excess VO 2 concentration during RTA treatments at 950 deg. C and 1050 deg. C and the effectiveness of this attempt to totally delete the thermal history

  4. Optimizing quality, service, and cost through innovation.

    Science.gov (United States)

    Walker, Kathleen; Allen, Jennifer; Andrews, Richard

    2011-01-01

    With dramatic increases in health care costs and growing concerns about the quality of health care services, nurse executives are seeking ways to transform their organizations to improve operational and financial performance while enhancing quality care and patient safety. Nurse leaders are challenged to meet new cost, quality and service imperatives, and change cannot be achieved by traditional approaches, it must occur through innovation. Imagine an organization that can mitigate a $56 million loss in revenue and claim the following successes: Increase admissions by a 8 day and a $5.5 million annualized increase by repurposing existing space. Decrease emergency department holding hours by an average of 174 hours a day, with a labor savings of $502,000 annually. Reduce overall inpatient length of stay by 0.5 day with total compensation running $4.2 million less than the budget for first quarter of 2010. Grow emergency department volume 272 visits greater than budgeted for first quarter of 2010. Complete admission assessments and diagnostics in 90 minutes. This article will address how these outcomes were achieved by transforming care delivery, creating a patient transition center, enhancing outreach referrals, and revising admission processes through collaboration and innovation.

  5. Environmental innovation and the cost of pollution abatement revisited

    Energy Technology Data Exchange (ETDEWEB)

    Brechet, Thierry [Center for Operations Research and Econometrics, Chair Lhoist Berghmans in Environmental Economics and Management, Louvain School of Management, Universite catholique de Louvain, Voie du Roman Pays 34, B-1348 Louvain-la-Neuve (Belgium); Jouvet, Pierre-Andre [EconomiX, Universite de Nanterre (France); Center for Operations Research and Econometrics, Universite catholique de Louvain (Belgium)

    2008-04-01

    It is widely assumed in the literature that environmental innovation reduces the marginal cost of pollution abatement. In this paper we show that this is not necessarily the case and we provide some unexpected outcomes. (author)

  6. Wafer bonding applications and technology

    CERN Document Server

    Gösele, Ulrich

    2004-01-01

    During the past decade direct wafer bonding has developed into a mature materials integration technology. This book presents state-of-the-art reviews of the most important applications of wafer bonding written by experts from industry and academia. The topics include bonding-based fabrication methods of silicon-on-insulator, photonic crystals, VCSELs, SiGe-based FETs, MEMS together with hybrid integration and laser lift-off. The non-specialist will learn about the basics of wafer bonding and its various application areas, while the researcher in the field will find up-to-date information about this fast-moving area, including relevant patent information.

  7. A low cost, printed microwave based level sensor with integrated oscillator readout circuitry

    KAUST Repository

    Karimi, Muhammad Akram

    2017-10-24

    This paper presents an extremely low cost, tube conformable, printed T-resonator based microwave level sensor, whose resonance frequency shifts by changing the level of fluids inside the tube. Printed T-resonator forms the frequency selective element of the tunable oscillator. Unlike typical band-pass resonators, T-resonator has a band-notch characteristics because of which it has been integrated with an unstable amplifying unit having negative resistance in the desired frequency range. Magnitude and phase of input reflection coefficient of the transistor has been optimized over the desired frequency range. Phase flattening technique has been introduced to maximize the frequency shift of the oscillator. With the help of this technique, we were able to enhance the percentage tuning of the oscillator manifolds which resulted into a level sensor with higher sensitivity. The interface level of fluids (oil and water in our case) causes a relative change in oscillation frequency by more than 50% compared to maximum frequency shift of 8% reported earlier with dielectric tunable oscillators.

  8. Influence of Wafer Edge Geometry on Removal Rate Profile in Chemical Mechanical Polishing: Wafer Edge Roll-Off and Notch

    Science.gov (United States)

    Fukuda, Akira; Fukuda, Tetsuo; Fukunaga, Akira; Tsujimura, Manabu

    2012-05-01

    In the chemical mechanical polishing (CMP) process, uniform polishing up to near the wafer edge is essential to reduce edge exclusion and improve yield. In this study, we examine the influences of inherent wafer edge geometries, i.e., wafer edge roll-off and notch, on the CMP removal rate profile. We clarify the areas in which the removal rate profile is affected by the wafer edge roll-off and the notch, as well as the intensity of their effects on the removal rate profile. In addition, we propose the use of a small notch to reduce the influence of the wafer notch and present the results of an examination by finite element method (FEM) analysis.

  9. Optimizing silver-capped silicon nanopillars to simultaneously realize macroscopic, practical-level SERS signal reproducibility and high enhancement at low costs

    DEFF Research Database (Denmark)

    Wu, Kaiyu; Rindzevicius, Tomas; Schmidt, Michael Stenbæk

    2017-01-01

    and show that the improved structures exhibit unrivalled macroscale SERS uniformities (RSD: ∼2.5% in millimeter scale, ∼7% in wafer scale) and reproducibility (RSD: ∼1.5% across 3 wafers), while at the same time exhibiting a very large average SERS enhancement factor of >108. The obtained SERS uniformity...

  10. Innovative manufacturing and materials for low cost lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Carlson, Steven [Optodot Corporation, Woburn, MA (United States)

    2015-12-29

    This project demonstrated entirely new manufacturing process options for lithium ion batteries with major potential for improved cost and performance. These new manufacturing approaches are based on the use of the new electrode-coated separators instead of the conventional electrode-coated metal current collector foils. The key enabler to making these electrode-coated separators is a new and unique all-ceramic separator with no conventional porous plastic separator present. A simple, low cost, and high speed manufacturing process of a single coating of a ceramic pigment and polymer binder onto a re-usable release film, followed by a subsequent delamination of the all-ceramic separator and any layers coated over it, such as electrodes and metal current collectors, was utilized. A suitable all-ceramic separator was developed that demonstrated the following required features needed for making electrode-coated separators: (1) no pores greater than 100 nanometer (nm) in diameter to prevent any penetration of the electrode pigments into the separator; (2) no shrinkage of the separator when heated to the high oven heats needed for drying of the electrode layer; and (3) no significant compression of the separator layer by the high pressure calendering step needed to densify the electrodes by about 30%. In addition, this nanoporous all-ceramic separator can be very thin at 8 microns thick for increased energy density, while providing all of the performance features provided by the current ceramic-coated plastic separators used in vehicle batteries: improved safety, longer cycle life, and stability to operate at voltages up to 5.0 V in order to obtain even more energy density. The thin all-ceramic separator provides a cost savings of at least 50% for the separator component and by itself meets the overall goal of this project to reduce the cell inactive component cost by at least 20%. The all-ceramic separator also enables further cost savings by its excellent heat stability

  11. Low-level waste program technical strategy

    International Nuclear Information System (INIS)

    Bledsoe, K.W.

    1994-01-01

    The Low-Level Waste Technical Strategy document describes the mechanisms which the Low-Level Waste Program Office plans to implement to achieve its mission. The mission is to manage the receipt, immobilization, packaging, storage/disposal and RCRA closure (of the site) of the low-level Hanford waste (pretreated tank wastes) in an environmentally sound, safe and cost-effective manner. The primary objective of the TWRS Low-level waste Program office is to vitrify the LLW fraction of the tank waste and dispose of it onsite

  12. Output blue light evaluation for phosphor based smart white LED wafer level packages.

    Science.gov (United States)

    Kolahdouz, Zahra; Rostamian, Ali; Kolahdouz, Mohammadreza; Ma, Teng; van Zeijl, Henk; Zhang, Kouchi

    2016-02-22

    This study presents a blue light detector for evaluating the output light of phosphor based white LED package. It is composed of a silicon stripe-shaped photodiode designed and implemented in a 2 μm BiCMOS process which can be used for wafer level integration of different passive and active devices all in just 5 lithography steps. The final device shows a high selectivity to blue light. The maximum responsivity at 480 nm is matched with the target blue LED illumination. The designed structure have better responsivity compared to simple photodiode structure due to reducing the effect of dead layer formation close to the surface because of implantation. It has also a two-fold increase in the responsivity and quantum efficiency compared to previously similar published sensors.

  13. Fabrication of an integrated ΔE-E-silicon detector by wafer bonding using cobalt disilicide

    International Nuclear Information System (INIS)

    Thungstroem, G.; Veldhuizen, E.J. van; Westerberg, L.; Norlin, L.-O.; Petersson, C.S.

    1997-01-01

    The problem concerning mechanical stability of thin self-supporting ΔE detector in a ΔE-E semiconductor detector telescope, has been solved by integrating both detectors into one unit. We show here a low-cost method to integrate the detectors by wafer bonding using cobalt disilicide. The ΔE-detector has a thickness of 6.5 μm and the E detector 290 μm with an area of 24.8 mm 2 . The system was characterized with secondary ion mass spectroscopy (SIMS), scanning electron microscopy (SEM), electrical measurement, particle measurement and two-dimensional electrical simulation. (orig.)

  14. Fabrication of an integrated {Delta}E-E-silicon detector by wafer bonding using cobalt disilicide

    Energy Technology Data Exchange (ETDEWEB)

    Thungstroem, G. [Mid-Sweden Univ., Sundsvall (Sweden). Dept. of Inf. Technol.]|[Royal Institute of Technology, Department of Electronics, Electrum 229, S-164 40 Kista (Sweden); Veldhuizen, E.J. van [Uppsala University, Department of Radiation Science, Box 535, S-751 21 Uppsala (Sweden); Westerberg, L. [Uppsala University, The Svedberg Laboratory, Box 533, S-751 21 Uppsala (Sweden); Norlin, L.-O. [Royal Institute of Technology, Department of Physics, Frescativaegen 24, S-104 05 Stockholm (Sweden); Petersson, C.S. [Royal Institute of Technology, Department of Electronics, Electrum 229, S-164 40 Kista (Sweden)

    1997-06-01

    The problem concerning mechanical stability of thin self-supporting {Delta}E detector in a {Delta}E-E semiconductor detector telescope, has been solved by integrating both detectors into one unit. We show here a low-cost method to integrate the detectors by wafer bonding using cobalt disilicide. The {Delta}E-detector has a thickness of 6.5 {mu}m and the E detector 290 {mu}m with an area of 24.8 mm{sup 2}. The system was characterized with secondary ion mass spectroscopy (SIMS), scanning electron microscopy (SEM), electrical measurement, particle measurement and two-dimensional electrical simulation. (orig.).

  15. Physical mechanisms of copper-copper wafer bonding

    International Nuclear Information System (INIS)

    Rebhan, B.; Hingerl, K.

    2015-01-01

    The study of the physical mechanisms driving Cu-Cu wafer bonding allowed for reducing the bonding temperatures below 200 °C. Metal thermo-compression Cu-Cu wafer bonding results obtained at such low temperatures are very encouraging and suggest that the process is possible even at room temperature if some boundary conditions are fulfilled. Sputtered (PVD) and electroplated Cu thin layers were investigated, and the analysis of both metallization techniques demonstrated the importance of decreasing Cu surface roughness. For an equal surface roughness, the bonding temperature of PVD Cu wafers could be even further reduced due to the favorable microstructure. Their smaller grain size enhances the length of the grain boundaries (observed on the surface prior bonding), acting as efficient mass transfer channels across the interface, and hence the grains are able to grow over the initial bonding interface. Due to the higher concentration of random high-angle grain boundaries, this effect is intensified. The model presented is explaining the microstructural changes based on atomic migration, taking into account that the reduction of the grain boundary area is the major driving force to reduce the Gibbs free energy, and predicts the subsequent microstructure evolution (grain growth) during thermal annealing

  16. Low-Cost Energy-Efficient 3-D Nano-Spikes-Based Electric Cell Lysis Chips

    KAUST Repository

    Riaz, Kashif

    2017-05-04

    Electric cell lysis (ECL) is a promising technique to be integrated with portable lab-on-a-chip without lysing agent due to its simplicity and fast processing. ECL is usually limited by the requirements of high power/voltage and costly fabrication. In this paper, we present low-cost 3-D nano-spikes-based ECL (NSP-ECL) chips for efficient cell lysis at low power consumption. Highly ordered High-Aspect-Ratio (HAR). NSP arrays with controllable dimensions were fabricated on commercial aluminum foils through scalable and electrochemical anodization and etching. The optimized multiple pulse protocols with minimized undesirable electrochemical reactions (gas and bubble generation), common on micro parallel-plate ECL chips. Due to the scalability of fabrication process, 3-D NSPs were fabricated on small chips as well as on 4-in wafers. Phase diagram was constructed by defining critical electric field to induce cell lysis and for cell lysis saturation Esat to define non-ECL and ECL regions for different pulse parameters. NSP-ECL chips have achieved excellent cell lysis efficiencies ηlysis (ca 100%) at low applied voltages (2 V), 2~3 orders of magnitude lower than that of conventional systems. The energy consumption of NSP-ECL chips was 0.5-2 mJ/mL, 3~9 orders of magnitude lower as compared with the other methods (5J/mL-540kJ/mL). [2016-0305

  17. THE FEATURES OF THE MANAGEMENT INNOVATIONS ON THE DOMESTIC ENTERPRISES

    Directory of Open Access Journals (Sweden)

    O. N. Kiseleva

    2015-01-01

    Full Text Available The problem of the use of the management innovations for the increase of the management system effectiveness of the domestic enterprises is considered in the article. The topical character of the problem of increase of competitiveness of Russian enterprises in the current economic and political environment determines the need to find solutions. The implementation of these solutions will ensure a stable position on the market of the domestic producers. The innovative activity is the main direction of the guarantee of the competitiveness today. However, the production of the new products and introduction of the new production technologies are not the only solution. It is important to ensure the current management system of enterprises to the new economic conditions to achieve positive results of the innovative activity. The management innovations are the instrument of the improving the management systems effectiveness of the domestic enterprises. The introduction and the implementation of the management innovations need to begin the process of innovative development. The author picks out the distinctive features of the management innovations on the basis of the practical experience. These are: the low level of study of the problem of the non-technological forms of the innovation, the low cost of development and implementation in comparison with the cost of product and technological forms of innovation, the lack of the ability to objectively assess the effect of the introduction and implementation, the dependence on the qualities and abilities of the manager, the ability to obtain the effect in a short time. These features can "help" the dissemination of the management innovations among the domestic companies, and "slow down" the process. So, the possibility of obtaining the positive effect in a short time and the low cost will contribute to the rapid diffusion of the non-technological forms of innovation in the management system of the enterprises

  18. Low Cost Upper Stage-Class Propulsion (LCUSP)

    Science.gov (United States)

    Vickers, John

    2015-01-01

    NASA is making space exploration more affordable and viable by developing and utilizing innovative manufacturing technologies. Technology development efforts at NASA in propulsion are committed to continuous innovation of design and manufacturing technologies for rocket engines in order to reduce the cost of NASA's journey to Mars. The Low Cost Upper Stage-Class Propulsion (LCUSP) effort will develop and utilize emerging Additive Manufacturing (AM) to significantly reduce the development time and cost for complex rocket propulsion hardware. Benefit of Additive Manufacturing (3-D Printing) Current rocket propulsion manufacturing techniques are costly and have lengthy development times. In order to fabricate rocket engines, numerous complex parts made of different materials are assembled in a way that allow the propellant to collect heat at the right places to drive the turbopump and simultaneously keep the thrust chamber from melting. The heat conditioned fuel and oxidizer come together and burn inside the combustion chamber to provide thrust. The efforts to make multiple parts precisely fit together and not leak after experiencing cryogenic temperatures on one-side and combustion temperatures on the other is quite challenging. Additive manufacturing has the potential to significantly reduce the time and cost of making rocket parts like the copper liner and Nickel-alloy jackets found in rocket combustion chambers where super-cold cryogenic propellants are heated and mixed to the extreme temperatures needed to propel rockets in space. The Selective Laser Melting (SLM) machine fuses 8,255 layers of copper powder to make a section of the chamber in 10 days. Machining an equivalent part and assembling it with welding and brazing techniques could take months to accomplish with potential failures or leaks that could require fixes. The design process is also enhanced since it does not require the 3D model to be converted to 2-D drawings. The design and fabrication process

  19. Automated and inexpensive method to manufacture solid- state nanopores and micropores in robust silicon wafers

    Science.gov (United States)

    Vega, M.; Granell, P.; Lasorsa, C.; Lerner, B.; Perez, M.

    2016-02-01

    In this work an easy, reproducible and inexpensive technique for the production of solid state nanopores and micropores using silicon wafer substrate is proposed. The technique is based on control of pore formation, by neutralization etchant (KOH) with a strong acid (HCl). Thus, a local neutralization is produced around the nanopore, which stops the silicon etching. The etching process was performed with 7M KOH at 80°C, where 1.23µm/min etching speed was obtained, similar to those published in literature. The control of the pore formation with the braking acid method was done using 12M HCl and different extreme conditions: i) at 25°C, ii) at 80°C and iii) at 80°C applying an electric potential. In these studies, it was found that nanopores and micropores can be obtained automatically and at a low cost. Additionally, the process was optimized to obtain clean silicon wafers after the pore fabrication process. This method opens the possibility for an efficient scale-up from laboratory production.

  20. Automated and inexpensive method to manufacture solid- state nanopores and micropores in robust silicon wafers

    International Nuclear Information System (INIS)

    Vega, M; Lasorsa, C; Lerner, B; Perez, M; Granell, P

    2016-01-01

    In this work an easy, reproducible and inexpensive technique for the production of solid state nanopores and micropores using silicon wafer substrate is proposed. The technique is based on control of pore formation, by neutralization etchant (KOH) with a strong acid (HCl). Thus, a local neutralization is produced around the nanopore, which stops the silicon etching. The etching process was performed with 7M KOH at 80°C, where 1.23µm/min etching speed was obtained, similar to those published in literature. The control of the pore formation with the braking acid method was done using 12M HCl and different extreme conditions: i) at 25°C, ii) at 80°C and iii) at 80°C applying an electric potential. In these studies, it was found that nanopores and micropores can be obtained automatically and at a low cost. Additionally, the process was optimized to obtain clean silicon wafers after the pore fabrication process. This method opens the possibility for an efficient scale-up from laboratory production. (paper)

  1. Development of an innovative PWR for low cost fuel recycle and waste reduction

    International Nuclear Information System (INIS)

    Kanagawa, Takashi; Onoue, Masaaki

    2001-01-01

    In order to bear long-term and stable energy supply, it is important for nuclear power generation to realize establishment of energy security controlling dependence on natural resources and reduction of long-life radioactive wastes such as minor actinide elements (MA) and so on. For this, establishment of fast breeder reproducible on its fuel and of fuel recycling is essential and construction of the fuel recycling capable of repeatedly recycling of plutonium (Pu) and MA with low cost is required. Here were proposed a fuel recycling system combining recycling type PWR with advanced recycling system under development for Na cooling fast breeder reactor as a candidate filling such conditions, to show its characteristics and effects after its introduction. By this system, some facilities to realize flexible and low cost fuel recycling, to reduce longer-life radioactive wastes due to recycling burning of Pu and MA, and to realize an electric power supplying system independent on natural resources due to fuel breeding feature, were shown. (G.K.)

  2. Innovative Applications of DoD Propulsion Technology for Low-Cost Satellite Missions, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — We are proposing to leverage the Missile Defense Agency investments in high-performance propulsion systems for low-cost space missions with large Dv requirements,...

  3. Wafer-Level Packaging Method for RF MEMS Applications Using Pre-Patterned BCB Polymer

    Directory of Open Access Journals (Sweden)

    Zhuhao Gong

    2018-02-01

    Full Text Available A radio-frequency micro-electro-mechanical system (RF MEMS wafer-level packaging (WLP method using pre-patterned benzo-cyclo-butene (BCB polymers with a high-resistivity silicon cap is proposed to achieve high bonding quality and excellent RF performance. In this process, the BCB polymer was pre-defined to form the sealing ring and bonding layer by the spin-coating and patterning of photosensitive BCB before the cavity formation. During anisotropic wet etching of the silicon wafer to generate the housing cavity, the BCB sealing ring was protected by a sputtered Cr/Au (chromium/gold layer. The average measured thickness of the BCB layer was 5.9 μm. In contrast to the conventional methods of spin-coating BCB after fabricating cavities, the pre-patterned BCB method presented BCB bonding layers with better quality on severe topography surfaces in terms of increased uniformity of thickness and better surface flatness. The observation of the bonded layer showed that no void or gap formed on the protruding coplanar waveguide (CPW lines. A shear strength test was experimentally implemented as a function of the BCB widths in the range of 100–400 μm. The average shear strength of the packaged device was higher than 21.58 MPa. A RF MEMS switch was successfully packaged using this process with a negligible impact on the microwave characteristics and a significant improvement in the lifetime from below 10 million to over 1 billion. The measured insertion loss of the packaged RF MEMS switch was 0.779 dB and the insertion loss deterioration caused by the package structure was less than 0.2 dB at 30 GHz.

  4. Evolution of costly explicit memory and cumulative culture.

    Science.gov (United States)

    Nakamaru, Mayuko

    2016-06-21

    Humans can acquire new information and modify it (cumulative culture) based on their learning and memory abilities, especially explicit memory, through the processes of encoding, consolidation, storage, and retrieval. Explicit memory is categorized into semantic and episodic memories. Animals have semantic memory, while episodic memory is unique to humans and essential for innovation and the evolution of culture. As both episodic and semantic memory are needed for innovation, the evolution of explicit memory influences the evolution of culture. However, previous theoretical studies have shown that environmental fluctuations influence the evolution of imitation (social learning) and innovation (individual learning) and assume that memory is not an evolutionary trait. If individuals can store and retrieve acquired information properly, they can modify it and innovate new information. Therefore, being able to store and retrieve information is essential from the perspective of cultural evolution. However, if both storage and retrieval were too costly, forgetting and relearning would have an advantage over storing and retrieving acquired information. In this study, using mathematical analysis and individual-based simulations, we investigate whether cumulative culture can promote the coevolution of costly memory and social and individual learning, assuming that cumulative culture improves the fitness of each individual. The conclusions are: (1) without cumulative culture, a social learning cost is essential for the evolution of storage-retrieval. Costly storage-retrieval can evolve with individual learning but costly social learning does not evolve. When low-cost social learning evolves, the repetition of forgetting and learning is favored more than the evolution of costly storage-retrieval, even though a cultural trait improves the fitness. (2) When cumulative culture exists and improves fitness, storage-retrieval can evolve with social and/or individual learning, which

  5. MEMS based Low Cost Piezoresistive Microcantilever Force Sensor and Sensor Module.

    Science.gov (United States)

    Pandya, H J; Kim, Hyun Tae; Roy, Rajarshi; Desai, Jaydev P

    2014-03-01

    In the present work, we report fabrication and characterization of a low-cost MEMS based piezoresistive micro-force sensor with SU-8 tip using laboratory made silicon-on-insulator (SOI) substrate. To prepare SOI wafer, silicon film (0.8 µm thick) was deposited on an oxidized silicon wafer using RF magnetron sputtering technique. The films were deposited in Argon (Ar) ambient without external substrate heating. The material characteristics of the sputtered deposited silicon film and silicon film annealed at different temperatures (400-1050°C) were studied using atomic force microscopy (AFM) and X-ray diffraction (XRD) techniques. The residual stress of the films was measured as a function of annealing temperature. The stress of the as-deposited films was observed to be compressive and annealing the film above 1050°C resulted in a tensile stress. The stress of the film decreased gradually with increase in annealing temperature. The fabricated cantilevers were 130 µm in length, 40 µm wide and 1.0 µm thick. A series of force-displacement curves were obtained using fabricated microcantilever with commercial AFM setup and the data were analyzed to get the spring constant and the sensitivity of the fabricated microcantilever. The measured spring constant and sensitivity of the sensor was 0.1488N/m and 2.7mV/N. The microcantilever force sensor was integrated with an electronic module that detects the change in resistance of the sensor with respect to the applied force and displays it on the computer screen.

  6. Low-Cost Solar Water Heating Research and Development Roadmap

    Energy Technology Data Exchange (ETDEWEB)

    Hudon, K.; Merrigan, T.; Burch, J.; Maguire, J.

    2012-08-01

    The market environment for solar water heating technology has changed substantially with the successful introduction of heat pump water heaters (HPWHs). The addition of this energy-efficient technology to the market increases direct competition with solar water heaters (SWHs) for available energy savings. It is therefore essential to understand which segment of the market is best suited for HPWHs and focus the development of innovative, low-cost SWHs in the market segment where the largest opportunities exist. To evaluate cost and performance tradeoffs between high performance hot water heating systems, annual energy simulations were run using the program, TRNSYS, and analysis was performed to compare the energy savings associated with HPWH and SWH technologies to conventional methods of water heating.

  7. Stabilising greenhouse gas concentrations at low levels. An assessment of options and costs

    Energy Technology Data Exchange (ETDEWEB)

    Van Vuuren, D.P.; Den Elzen, M.G.J.; Lucas, P.L.; Eickhout, B.; Strengers, B.J.; Van Ruijven, B.; Berk, M.M.; De Vries, H.J.M.; Wonink, S.J.; Van den Houdt, R.; Oostenrijk, R. [Netherlands Environmental Assessment Agency MNP, Bilthoven (Netherlands); Hoogwijk, M. [Ecofys, Utrecht (Netherlands); Meinshausen, M. [Potsdam Institute for Climate Impact Research PIK, Postdam (Germany)

    2006-10-15

    Preventing 'dangerous anthropogenic interference of the climate system' may require stabilisation of greenhouse gas concentrations in the atmosphere at relatively low levels such as 550 ppm CO2-eq. and below. Relatively few studies exist that have analysed the possibilities and implications of meeting such stringent climate targets. This report presents a series of related papers that address this issue - either by focusing on individual options or by presenting overall strategies at the global and regional level. The results show that it is technically possible to reach ambitious climate targets - with abatement costs for default assumptions in the order of 1-2% of global GDP. To achieve these lower concentration levels, global emissions need to peak within 15-20 years. The stabilisation scenarios use a large portfolio of measures, including energy efficiency but also carbon capture and storage, large scale application of bio-energy, reduction of non-CO2 gasses, increased use of renewable and/or nuclear power and carbon plantations.

  8. Comparative TEM study of bonded silicon/silicon interfaces fabricated by hydrophilic, hydrophobic and UHV wafer bonding

    International Nuclear Information System (INIS)

    Reznicek, A.; Scholz, R.; Senz, S.; Goesele, U.

    2003-01-01

    Wafers of Czochralski-grown silicon were bonded hydrophilically, hydrophobically and in ultrahigh vacuum (UHV) at room temperature. Wafers bonded hydrophilically adhere together by hydrogen bonds, those bonded hydrophobically by van der Waals forces and UHV-bonded ones by covalent bonds. Annealing the pre-bonded hydrophilic and hydrophobic wafer pairs in argon for 2 h at different temperatures increases the initially low bonding energy. UHV-bonded wafer pairs were also annealed to compare the results. Transmission electron microscopy (TEM) investigations show nano-voids at the interface. The void density depends on the initial bonding strength. During annealing the shape, coverage and density of the voids change significantly

  9. Noncontact sheet resistance measurement technique for wafer inspection

    Science.gov (United States)

    Kempa, Krzysztof; Rommel, J. Martin; Litovsky, Roman; Becla, Peter; Lojek, Bohumil; Bryson, Frank; Blake, Julian

    1995-12-01

    A new technique, MICROTHERM, has been developed for noncontact sheet resistance measurements of semiconductor wafers. It is based on the application of microwave energy to the wafer, and simultaneous detection of the infrared radiation resulting from ohmic heating. The pattern of the emitted radiation corresponds to the sheet resistance distribution across the wafer. This method is nondestructive, noncontact, and allows for measurements of very small areas (several square microns) of the wafer.

  10. Corrugation Architecture Enabled Ultraflexible Wafer-Scale High-Efficiency Monocrystalline Silicon Solar Cell

    KAUST Repository

    Bahabry, Rabab R.

    2018-01-02

    Advanced classes of modern application require new generation of versatile solar cells showcasing extreme mechanical resilience, large-scale, low cost, and excellent power conversion efficiency. Conventional crystalline silicon-based solar cells offer one of the most highly efficient power sources, but a key challenge remains to attain mechanical resilience while preserving electrical performance. A complementary metal oxide semiconductor-based integration strategy where corrugation architecture enables ultraflexible and low-cost solar cell modules from bulk monocrystalline large-scale (127 × 127 cm) silicon solar wafers with a 17% power conversion efficiency. This periodic corrugated array benefits from an interchangeable solar cell segmentation scheme which preserves the active silicon thickness of 240 μm and achieves flexibility via interdigitated back contacts. These cells can reversibly withstand high mechanical stress and can be deformed to zigzag and bifacial modules. These corrugation silicon-based solar cells offer ultraflexibility with high stability over 1000 bending cycles including convex and concave bending to broaden the application spectrum. Finally, the smallest bending radius of curvature lower than 140 μm of the back contacts is shown that carries the solar cells segments.

  11. Corrugation Architecture Enabled Ultraflexible Wafer-Scale High-Efficiency Monocrystalline Silicon Solar Cell

    KAUST Repository

    Bahabry, Rabab R.; Kutbee, Arwa T.; Khan, Sherjeel M.; Sepulveda, Adrian C.; Wicaksono, Irmandy; Nour, Maha A.; Wehbe, Nimer; Almislem, Amani Saleh Saad; Ghoneim, Mohamed T.; Sevilla, Galo T.; Syed, Ahad; Shaikh, Sohail F.; Hussain, Muhammad Mustafa

    2018-01-01

    Advanced classes of modern application require new generation of versatile solar cells showcasing extreme mechanical resilience, large-scale, low cost, and excellent power conversion efficiency. Conventional crystalline silicon-based solar cells offer one of the most highly efficient power sources, but a key challenge remains to attain mechanical resilience while preserving electrical performance. A complementary metal oxide semiconductor-based integration strategy where corrugation architecture enables ultraflexible and low-cost solar cell modules from bulk monocrystalline large-scale (127 × 127 cm) silicon solar wafers with a 17% power conversion efficiency. This periodic corrugated array benefits from an interchangeable solar cell segmentation scheme which preserves the active silicon thickness of 240 μm and achieves flexibility via interdigitated back contacts. These cells can reversibly withstand high mechanical stress and can be deformed to zigzag and bifacial modules. These corrugation silicon-based solar cells offer ultraflexibility with high stability over 1000 bending cycles including convex and concave bending to broaden the application spectrum. Finally, the smallest bending radius of curvature lower than 140 μm of the back contacts is shown that carries the solar cells segments.

  12. Innovation in product design as a factor for reducing logistic and production costs

    Directory of Open Access Journals (Sweden)

    Luciana Fighera Marzall

    2016-03-01

    Full Text Available Innovation has been considered of fundamental importance for organizations competing in dynamic environments, which along with cost management has been shown as an organizational strategy that allows the company to measure its results and implement measures that impact on the organization's performance as a whole. This paper, carried out in a metal mechanical industry of a telecommunications engineering branch, aims to analyse cost reduction in logistics raw materials areas through innovation in the product design, which are antennas and towers for telephone networks. In terms of methodology, this study presents itself as a qualitative and quantitative research-action, which from the link between theory and practice allows for intervention in the middle of the researchers studied. As a result, an innovative project was developed, which allowed for the reduction costs of production by 68% and logistics by 32%. Despite the significant decrease in costs, the quality of manufactured products not declined and customer needs were met, thus making the company more competitive against competitors. Not widespread in the literature, this analysis of the impact on logistics and production costs due to improvements in the project is the main contribution theoretical of this paper.

  13. Fabrication of CVD graphene-based devices via laser ablation for wafer-scale characterization

    DEFF Research Database (Denmark)

    Mackenzie, David; Buron, Jonas Christian Due; Whelan, Patrick Rebsdorf

    2015-01-01

    Selective laser ablation of a wafer-scale graphene film is shown to provide flexible, high speed (1 wafer/hour) device fabrication while avoiding the degradation of electrical properties associated with traditional lithographic methods. Picosecond laser pulses with single pulse peak fluences of 140......-effect mobility, doping level, on–off ratio, and conductance minimum before and after laser ablation fabrication....

  14. Long-term, low-level radwaste volume-reduction strategies. Volume 4. Waste disposal costs. Final report

    International Nuclear Information System (INIS)

    Sutherland, A.A.; Adam, J.A.; Rogers, V.C.; Merrell, G.B.

    1984-11-01

    Volume 4 establishes pricing levels at new shallow land burial grounds. The following conclusions can be drawn from the analyses described in the preceding chapters: Application of volume reduction techniques by utilities can have a significant impact on the volumes of wastes going to low-level radioactive waste disposal sites. Using the relative waste stream volumes in NRC81 and the maximum volume reduction ratios provided by Burns and Roe, Inc., it was calculated that if all utilities use maximum volume reduction the rate of waste receipt at disposal sites will be reduced by 40 percent. When a disposal site receives a lower volume of waste its total cost of operation does not decrease by the same proportion. Therefore the average cost for a unit volume of waste received goes up. Whether the disposal site operator knows in advance that he will receive a smaller amount of waste has little influence on the average unit cost ($/ft) of the waste disposed. For the pricing algorithm postulated, the average disposal cost to utilities that volume reduce is relatively independent of whether all utilities practice volume reduction or only a few volume reduce. The general effect of volume reduction by utilities is to reduce their average disposal site costs by a factor of between 1.5 to 2.5. This factor is generally independent of the size of the disposal site. The largest absolute savings in disposal site costs when utilities volume reduce occurs when small disposal sites are involved. This results from the fact that unit costs are higher at small sites. Including in the pricing algorithm a factor that penalizes waste generators who contribute larger amounts of the mobile nuclides 3 H, 14 C, 99 Tc, and 129 I, which may be the subject of site inventory limits, lowers unit disposal costs for utility wastes that contain only small amounts of the nuclides and raises unit costs for other utility wastes

  15. Economics of low-level radioactive waste disposal

    International Nuclear Information System (INIS)

    Schafer, J.; Jennrich, E.

    1983-01-01

    Regardless of who develops new low-level radioactive waste disposal sites or when, economics will play a role. To assist in this area the Department of Energy's Low-Level Radioactive Waste Management Program has developed a computer program, LLWECON, and data base for projecting disposal site costs. This program and its non-site specific data base can currently be used to compare the costs associated with various disposal site development, financing, and operating scenarios. As site specific costs and requirements are refined LLWECON will be able to calculate exact life cycle costs for each facility. While designed around shallow land burial, as practiced today, LLWECON is flexible and the input parameters discrete enough to be applicable to other disposal options. What the program can do is illustrated

  16. Review on mechanism of directly fabricating wafer-scale graphene on dielectric substrates by chemical vapor deposition

    Science.gov (United States)

    Ning, Jing; Wang, Dong; Chai, Yang; Feng, Xin; Mu, Meishan; Guo, Lixin; Zhang, Jincheng; Hao, Yue

    2017-07-01

    To date, chemical vapor deposition on transition metal catalysts is a potential way to achieve low cost, high quality and uniform wafer-scale graphene. However, the removal and transfer process of the annoying catalytic metals underneath can bring large amounts of uncertain factors causing the performance deterioration of graphene, such as the pollution of surface polymeric residues, unmentioned doping and structural damages. Thus, to develop a technique of directly fabricating graphene on dielectric substrates is quite meaningful. In this review, we will present specific methods of catalyst- or transfer-free techniques for graphene growth and discuss the diversity of growth mechanisms.

  17. Considerations affecting deep-well disposal of tritium-bearing low-level aqueous waste from nuclear fuel reprocessing plants

    International Nuclear Information System (INIS)

    Trevorrow, L.E.; Warner, D.L.; Steindler, M.J.

    1977-03-01

    Present concepts of disposal of low-level aqueous wastes (LLAW) that contain much of the fission-product tritium from light water reactors involve dispersal to the atmosphere or to surface streams at fuel reprocessing plants. These concepts have been challenged in recent years. Deep-well injection of low-level aqueous wastes, an alternative to biospheric dispersal, is the subject of this presentation. Many factors must be considered in assessing its feasibility, including technology, costs, environmental impact, legal and regulatory constraints, and siting. Examination of these factors indicates that the technology of deep-well injection, extensively developed for other industrial wastes, would require little innovation before application to low-level aqueous wastes. Costs would be low, of the order of magnitude of 10 -4 mill/kWh. The environmental impact of normal deep-well disposal would be small, compared with dispersal to the atmosphere or to surface streams; abnormal operation would not be expected to produce catastrophic results. Geologically suitable sites are abundant in the U.S., but a well would best be co-located with the fuel-reprocessing plant where the LLAW is produced. Legal and regulatory constraints now being developed will be the most important determinants of the feasibility of applying the method

  18. A Review of Last Mile Logistics Innovations in an Externalities Cost Reduction Vision

    Directory of Open Access Journals (Sweden)

    Luigi Ranieri

    2018-03-01

    Full Text Available In this paper, a review of the recent scientific literature contributions on innovative strategies for last mile logistics, focusing on externalities cost reduction, is presented. Transport is causing problems in urban areas, in particular in freight transport: modern cities need solutions to reduce externalities costs such as congestion, pollution and others, which have increased in the last few years, especially due to the growth of goods delivery. Online sales and globalization lead to new trends in freight transport, and moreover, a larger quantity of goods is expected to be delivered in the next future. In this context, most of the delivered goods end up in the city centers. Last mile logistics is the least efficient stage of the supply chain and comprises up to 28% of the total delivery cost. Therefore, the improvement of last mile logistics and a significant externalities reduction are very important challenges for researchers. New technologies and transport means, innovative techniques and organizational strategies allow handling in a more effective way the last mile delivery in urban areas. Based on the Systematic Literature Review (SLR method, recent papers that significantly contributed, with original proposals, to the reduction of externalities in urban logistics are identified and analyzed in this work. Furthermore, a classification of the papers dealing with the externality reduction problem is presented. It is consistent with a general formulation proposed to evaluate external costs in urban area. The innovative contributions are classified into five main categories: innovative vehicles, proximity stations or points, collaborative and cooperative urban logistics, optimization of transport management and routing, innovations in public policies and infrastructures. The new paradigm of smart logistics is based on the combination of these concepts and on the proposed innovations.

  19. Synthesis of ZnS films on Si(100) wafers by using chemical bath deposition assisted by the complexing agent ethylenediamine

    Science.gov (United States)

    Zhu, He-Jie; Wang, Xue-Mei; Gao, Xiao-Yong

    2015-07-01

    Low-cost synthesis of high-quality ZnS films on silicon wafers is of much importance to the ZnSbased heterojunction blue light-emitting device integrated with silicon. Thus, a series of ZnS films were chemically synthesized at low cost on Si(100) wafers at 353 K under a mixed acidic solution with a pH of 4 with zinc acetate and thioacetamide as precursors and with ethylenediamine and hydrochloric acid as the complexing agent and the pH value modifier, respectively. The effects of the ethylenediamine concentration on the crystallization, surface morphology, and optical properties of the ZnS films were investigated by using X-ray diffractometry, scanning electron microscopy, spectrophotometry, and fluorescence spectroscopy. A mechanism for the formation of ZnS film under an acidic condition was also proposed. All of the ZnS films were polycrystalline in nature, with a dominant cubic phase and a small amounts of hexagonal phases. The crystallization and the surface pattern of the films were clearly improved with increasing ethylenediamine concentration due to its enhanced complexing role. The absorption edge of the films almost underwent a blue shift with increasing ethylenediamine concentration, which was largely attributed to the quantum confinement effects caused by the small particle size of the polycrystalline ZnS films. Defect species and the corresponding strengths of the ZnS films were strongly affected by the ethylenediamine concentration.

  20. Impacts of Low-Carbon Innovation and Its Heterogeneous Components on CO2 Emissions

    Directory of Open Access Journals (Sweden)

    Zheming Yan

    2017-04-01

    Full Text Available This paper aims to investigate the impact of low-carbon innovation on CO2 emissions. Using patent statistics, we measured low-carbon innovations for 15 major economies during the period of 1992–2012. Then, we classified low-carbon technology into clean and gray technology according to the patent classification system. Following the empirical Environmental Kuznets Curve (EKC framework, we explored the effects of low-carbon innovation and its components on CO2 emissions. We did not find any evidence of significant influence of low-carbon innovation. Through further estimations, a significantly negative effect of clean innovation was found while the effect of gray innovation is not clear. Heterogeneous impacts within low-carbon technology provide an explanation for the insignificant impact of low-carbon innovation.

  1. Qualification of multi-crystalline silicon wafers by optical imaging for industrial use

    Energy Technology Data Exchange (ETDEWEB)

    Janssen, G.J.M.; Van der Borg, N.J.C.M.; Manshanden, P.; De Bruijne, M.; Bende, E.E. [ECN Solar Energy, Petten (Netherlands)

    2012-09-15

    We have developed a method to qualify multi-crystalline silicon (mc-Si) wafers that are being used in a production process. An optical image of an etched wafer is made. This etching can be a standard industrial acid etching for mc-Si wafers as is commonly used for saw damage removal and simultaneous iso-texturing. Digital image processing is then applied to identify the number of dislocations and their distribution over the wafer. This information is used as input for a cell performance prediction model, where the performance is characterized by the open circuit voltage (Voc) or the efficiency. The model can include various levels of sophistication, i.e. from using an average density of dislocations to the full spatial resolution of the dislocations in a 2D simulation that includes also the metallization pattern on the cell. The predicted performance is then evaluated against pre-selected criteria. The possibility to apply this optical qualification method in an initial stage in the production enables early rejection of the wafers, further tailoring of the cell production process or identification of instabilities in the production process.

  2. Development of Innovative Technology to Provide Low-Cost Surface Atmospheric Observations in Data Sparse Regions

    Science.gov (United States)

    Kucera, Paul; Steinson, Martin

    2017-04-01

    Accurate and reliable real-time monitoring and dissemination of observations of surface weather conditions is critical for a variety of societal applications. Applications that provide local and regional information about temperature, precipitation, moisture, and winds, for example, are important for agriculture, water resource monitoring, health, and monitoring of hazard weather conditions. In many regions of the World, surface weather stations are sparsely located and/or of poor quality. Existing stations have often been sited incorrectly, not well-maintained, and have limited communications established at the site for real-time monitoring. The University Corporation for Atmospheric Research (UCAR)/National Center for Atmospheric Research (NCAR), with support from USAID, has started an initiative to develop and deploy low-cost weather instrumentation in sparsely observed regions of the world. The project is focused on improving weather observations for environmental monitoring and early warning alert systems on a regional to global scale. Instrumentation that has been developed use innovative new technologies such as 3D printers, Raspberry Pi computing systems, and wireless communications. The goal of the project is to make the weather station designs, software, and processing tools an open community resource. The weather stations can be built locally by agencies, through educational institutions, and residential communities as a citizen effort to augment existing networks to improve detection of natural hazards for disaster risk reduction. The presentation will provide an overview of the open source weather station technology and evaluation of sensor observations for the initial networks that have been deployed in Africa.

  3. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  4. Friction mechanisms of silicon wafer and silicon wafer coated with diamond-like carbon film and two monolayers

    International Nuclear Information System (INIS)

    Singh, R. Arvind; Yoon, Eui Sung; Han, Hung Gu; Kong, Ho Sung

    2006-01-01

    The friction behaviour of Si-wafer, Diamond-Like Carbon (DLC) and two Self-Assembled Monolayers(SAMs) namely DiMethylDiChlorosilane (DMDC) and DiPhenyl-DiChlorosilane (DPDC) coated on Si-wafer was studied under loading conditions in milli-Newton (mN) range. Experiments were performed using a ball-on-flat type reciprocating micro-tribo tester. Glass balls with various radii 0.25 mm, 0.5 mm and 1 mm were used. The applied normal load was in the range of 1.5 mN to 4.8 mN. Results showed that the friction increased with the applied normal load in the case of all the test materials. It was also observed that friction was affected by the ball size. Friction increased with the increase in the ball size in the case of Si-wafer. The SAMs also showed a similar trend, but had lower values of friction than those of Si-wafer. Interestingly, for DLC it was observed that friction decreased with the increase in the ball size. This distinct difference in the behavior of friction in DLC was attributed to the difference in the operating mechanism. It was observed that Si-wafer and DLC exhibited wear, whereas wear was absent in the SAMs. Observations showed that solid-solid adhesion was dominant in Si-wafer, while plowing in DLC. The wear in these two materials significantly influenced their friction. In the case of SAMs their friction behaviour was largely influenced by the nature of their molecular chains

  5. Sol-gel bonding of silicon wafers

    International Nuclear Information System (INIS)

    Barbe, C.J.; Cassidy, D.J.; Triani, G.; Latella, B.A.; Mitchell, D.R.G.; Finnie, K.S.; Short, K.; Bartlett, J.R.; Woolfrey, J.L.; Collins, G.A.

    2005-01-01

    Sol-gel bonds have been produced between smooth, clean silicon substrates by spin-coating solutions containing partially hydrolysed silicon alkoxides. The two coated substrates were assembled and the resulting sandwich fired at temperatures ranging from 60 to 600 deg. C. The sol-gel coatings were characterised using attenuated total reflectance Fourier transform infrared spectroscopy, ellipsometry, and atomic force microscopy, while the corresponding bonded specimens were investigated using scanning electron microscopy and cross-sectional transmission electron microscopy. Mechanical properties were characterised using both microindentation and tensile testing. Bonding of silicon wafers has been successfully achieved at temperatures as low as 60 deg. C. At 300 deg. C, the interfacial fracture energy was 1.55 J/m 2 . At 600 deg. C, sol-gel bonding provided superior interfacial fracture energy over classical hydrophilic bonding (3.4 J/m 2 vs. 1.5 J/m 2 ). The increase in the interfacial fracture energy is related to the increase in film density due to the sintering of the sol-gel interface with increasing temperature. The superior interfacial fracture energy obtained by sol-gel bonding at low temperature is due to the formation of an interfacial layer, which chemically bonds the two sol-gel coatings on each wafer. Application of a tensile stress on the resulting bond leads to fracture of the samples at the silicon/sol-gel interface

  6. Implementation of activity-based costing (ABC) to drive cost reduction efforts in a semiconductor manufacturing operation

    Science.gov (United States)

    Naguib, Hussein; Bol, Igor I.; Lora, J.; Chowdhry, R.

    1994-09-01

    This paper presents a case study on the implementation of ABC to calculate the cost per wafer and to drive cost reduction efforts for a new IC product line. The cost reduction activities were conducted through the efforts of 11 cross-functional teams which included members of the finance, purchasing, technology development, process engineering, equipment engineering, production control, and facility groups. The activities of these cross functional teams were coordinated by a cost council. It will be shown that these activities have resulted in a 57% reduction in the wafer manufacturing cost of the new product line. Factors contributed to successful implementation of an ABC management system are discussed.

  7. Aerial image measurement technique for automated reticle defect disposition (ARDD) in wafer fabs

    Science.gov (United States)

    Zibold, Axel M.; Schmid, Rainer M.; Stegemann, B.; Scheruebl, Thomas; Harnisch, Wolfgang; Kobiyama, Yuji

    2004-08-01

    The Aerial Image Measurement System (AIMS)* for 193 nm lithography emulation has been brought into operation successfully worldwide. A second generation system comprising 193 nm AIMS capability, mini-environment and SMIF, the AIMS fab 193 plus is currently introduced into the market. By adjustment of numerical aperture (NA), illumination type and partial illumination coherence to match the conditions in 193 nm steppers or scanners, it can emulate the exposure tool for any type of reticles like binary, OPC and PSM down to the 65 nm node. The system allows a rapid prediction of wafer printability of defects or defect repairs, and critical features, like dense patterns or contacts on the masks without the need to perform expensive image qualification consisting of test wafer exposures followed by SEM measurements. Therefore, AIMS is a mask quality verification standard for high-end photo masks and established in mask shops worldwide. The progress on the AIMS technology described in this paper will highlight that besides mask shops there will be a very beneficial use of the AIMS in the wafer fab and we propose an Automated Reticle Defect Disposition (ARDD) process. With smaller nodes, where design rules are 65 nm or less, it is expected that smaller defects on reticles will occur in increasing numbers in the wafer fab. These smaller mask defects will matter more and more and become a serious yield limiting factor. With increasing mask prices and increasing number of defects and severability on reticles it will become cost beneficial to perform defect disposition on the reticles in wafer production. Currently ongoing studies demonstrate AIMS benefits for wafer fab applications. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node based on emulation of immersion scanners.

  8. On the design and implementation of a wafer yield editor

    NARCIS (Netherlands)

    Pineda de Gyvez, J.; Jess, J.A.G.

    1989-01-01

    An interactive environment is presented for the analysis of yield information required on modern integrated circuit manufacturing lines. The system estimates wafer yields and wafer-yield variations, quantifies regional yield variations within wafers, identifies clusters in wafers and/or in lots, and

  9. Denuded zone in Czochralski silicon wafer with high carbon content

    International Nuclear Information System (INIS)

    Chen Jiahe; Yang Deren; Ma Xiangyang; Que Duanlin

    2006-01-01

    The thermal stability of the denuded zone (DZ) created by high-low-high-temperature annealing in high carbon content (H[C]) and low carbon content (L[C]) Czochralski silicon (Cz-Si) has been investigated in a subsequent ramping and isothermal 1050 deg. C annealing. The tiny oxygen precipitates which might occur in the DZ were checked. It was found in the L[C] Cz-Si that the DZ shrank and the density of bulk micro-defects (BMDs) reduced with the increase of time spent at 1050 deg. C. Also, the DZs above 15 μm of thickness present in the H[C] Cz-Si wafers continuously and the density and total volume of BMDs first decreased then increased and finally decreased again during the treatments. Moreover, tiny oxygen precipitates were hardly generated inside the DZs, indicating that H[C] Cz-Si wafers could support the fabrication of integrated circuits

  10. Denuded zone in Czochralski silicon wafer with high carbon content

    Science.gov (United States)

    Chen, Jiahe; Yang, Deren; Ma, Xiangyang; Que, Duanlin

    2006-12-01

    The thermal stability of the denuded zone (DZ) created by high-low-high-temperature annealing in high carbon content (H[C]) and low carbon content (L[C]) Czochralski silicon (Cz-Si) has been investigated in a subsequent ramping and isothermal 1050 °C annealing. The tiny oxygen precipitates which might occur in the DZ were checked. It was found in the L[C] Cz-Si that the DZ shrank and the density of bulk micro-defects (BMDs) reduced with the increase of time spent at 1050 °C. Also, the DZs above 15 µm of thickness present in the H[C] Cz-Si wafers continuously and the density and total volume of BMDs first decreased then increased and finally decreased again during the treatments. Moreover, tiny oxygen precipitates were hardly generated inside the DZs, indicating that H[C] Cz-Si wafers could support the fabrication of integrated circuits.

  11. Frugal innovation in medicine for low resource settings.

    Science.gov (United States)

    Tran, Viet-Thi; Ravaud, Philippe

    2016-07-07

    Whilst it is clear that technology is crucial to advance healthcare: innovation in medicine is not just about high-tech tools, new procedures or genome discoveries. In constrained environments, healthcare providers often create unexpected solutions to provide adequate healthcare to patients. These inexpensive but effective frugal innovations may be imperfect, but they have the power to ensure that health is within reach of everyone. Frugal innovations are not limited to low-resource settings: ingenuous ideas can be adapted to offer simpler and disruptive alternatives to usual care all around the world, representing the concept of "reverse innovation". In this article, we discuss the different types of frugal innovations, illustrated with examples from the literature, and argue for the need to give voice to this neglected type of innovation in medicine.

  12. Criteria to assess potential reverse innovations: opportunities for shared learning between high- and low-income countries.

    Science.gov (United States)

    Bhattacharyya, Onil; Wu, Diane; Mossman, Kathryn; Hayden, Leigh; Gill, Pavan; Cheng, Yu-Ling; Daar, Abdallah; Soman, Dilip; Synowiec, Christina; Taylor, Andrea; Wong, Joseph; von Zedtwitz, Max; Zlotkin, Stanley; Mitchell, William; McGahan, Anita

    2017-01-25

    Low- and middle-income countries (LMICs) are developing novel approaches to healthcare that may be relevant to high-income countries (HICs). These include products, services, organizational processes, or policies that improve access, cost, or efficiency of healthcare. However, given the challenge of replication, it is difficult to identify innovations that could be successfully adapted to high-income settings. We present a set of criteria for evaluating the potential impact of LMIC innovations in HIC settings. An initial framework was drafted based on a literature review, and revised iteratively by applying it to LMIC examples from the Center for Health Market Innovations (CHMI) program database. The resulting criteria were then reviewed using a modified Delphi process by the Reverse Innovation Working Group, consisting of 31 experts in medicine, engineering, management and political science, as well as representatives from industry and government, all with an expressed interest in reverse innovation. The resulting 8 criteria are divided into two steps with a simple scoring system. First, innovations are assessed according to their success within the LMIC context according to metrics of improving accessibility, cost-effectiveness, scalability, and overall effectiveness. Next, they are scored for their potential for spread to HICs, according to their ability to address an HIC healthcare challenge, compatibility with infrastructure and regulatory requirements, degree of novelty, and degree of current collaboration with HICs. We use examples to illustrate where programs which appear initially promising may be unlikely to succeed in a HIC setting due to feasibility concerns. This study presents a framework for identifying reverse innovations that may be useful to policymakers and funding agencies interested in identifying novel approaches to addressing cost and access to care in HICs. We solicited expert feedback and consensus on an empirically-derived set of criteria

  13. Wafer-scale fabrication of uniform Si nanowire arrays using the Si wafer with UV/Ozone pretreatment

    International Nuclear Information System (INIS)

    Bai, Fan; Li, Meicheng; Huang, Rui; Yu, Yue; Gu, Tiansheng; Chen, Zhao; Fan, Huiyang; Jiang, Bing

    2013-01-01

    The electroless etching technique combined with the process of UV/Ozone pretreatment is presented for wafer-scale fabrication of the silicon nanowire (SiNW) arrays. The high-level uniformity of the SiNW arrays is estimated by the value below 0.2 of the relative standard deviation of the reflection spectra on the 4-in. wafer. Influence of the UV/Ozone pretreatment on the formation of SiNW arrays is investigated. It is seen that a very thin SiO 2 produced by the UV/Ozone pretreatment improves the uniform nucleation of Ag nanoparticles (NPs) on the Si surface because of the effective surface passivation. Meanwhile, the SiO 2 located among the adjacent Ag NPs can obstruct the assimilation growth of Ag NPs, facilitating the deposition of the uniform and dense Ag NPs catalysts, which induces the formation of the SiNW arrays with good uniformity and high filling ratio. Furthermore, the remarkable antireflective and hydrophobic properties are observed for the SiNW arrays which display great potential in self-cleaning antireflection applications

  14. Near net shape, low cost ceramic valves for advanced engine applications

    Energy Technology Data Exchange (ETDEWEB)

    Pidria, M.; Merlone, E.; Parussa, F. [Fiat Research Centre, Orbassano (Italy); Handelsman, J.; Gorodnev, A. [Ceracom Materials Ltd., Yavneh (Israel)

    2003-07-01

    Future gasoline and diesel engines with electro-hydraulic or electro-mechanical valve control systems require the development of lighter valves to achieve the best results in terms of increased performances, lower fuel consumption and overall efficiency. Ceramic materials can adequately satisfy the required mechanical and thermal properties, nevertheless they still lack as far as manufacturing costs are concerned. Objective of the work was the development of a low-cost forming and sintering process, to produce near-net shape ceramic valves thus requiring very low finishing operations and significantly minimizing material waste. Between available technical ceramic materials, silicon nitride has been chosen to replace conventional steels and Ni-based alloys for the exhaust valves application. The work was then devoted to (i) the selection of the best starting materials composition, taking into account the requirements of a cost effective and high volume production, (ii) the development of an innovative pressure-injection molding process to produce near-net shape parts via a thermosetting feedstock and (iii) the optimization of a proper pressure-less sintering route to obtain cost-competitive, real scale components with adequate final density and mechanical properties. (orig.)

  15. In situ beam angle measurement in a multi-wafer high current ion implanter

    International Nuclear Information System (INIS)

    Freer, B.S.; Reece, R.N.; Graf, M.A.; Parrill, T.; Polner, D.

    2005-01-01

    Direct, in situ measurement of the average angle and angular content of an ion beam in a multi-wafer ion implanter is reported for the first time. A new type of structure and method are described. The structures are located on the spinning disk, allowing precise angular alignment to the wafers. Current that passes through the structures is known to be within a range of angles and is detected behind the disk. By varying the angle of the disk around two axes, beam current versus angle is mapped and the average angle and angular spread are calculated. The average angle measured in this way is found to be consistent with that obtained by other techniques, including beam centroid offset and wafer channeling methods. Average angle of low energy beams, for which it is difficult to use other direct methods, is explored. A 'pencil beam' system is shown to give average angle repeatability of 0.13 deg. (1σ) or less, for two low energy beams under normal tuning variations, even though no effort was made to control the angle

  16. Funding pharmaceutical innovation through direct tax credits.

    Science.gov (United States)

    Lybecker, Kristina M; Freeman, Robert A

    2007-07-01

    Rising pharmaceutical prices, increasing demand for more effective innovative drugs and growing public outrage have heightened criticism of the pharmaceutical industry. The public debate has focused on drug prices and access. As a consequence, the patent system is being reexamined as an efficient mechanism for encouraging pharmaceutical innovation and drug development. We propose an alternative to the existing patent system, instead rewarding the innovating firm with direct tax credits in exchange for marginal cost pricing. This concept is based on the fundamental assumption that innovation that benefits society at large may be financed publicly. As an industry which produces a social good characterized by high fixed costs, high information and regulatory costs, and relatively low marginal costs of production, pharmaceuticals are well-suited to such a mechanism. Under this proposal, drug prices fall, consumer surplus increases, access is enhanced, and the incentives to innovate are preserved.

  17. Multi-robot system using low-cost infrared sensors

    Directory of Open Access Journals (Sweden)

    Anubhav Kakkar

    2013-03-01

    Full Text Available This paper presents a proposed set of the novel technique, methods, and algorithm for simultaneous path planning, area exploration, area retrieval, obstacle avoidance, object detection, and object retrieval   autonomously by a multi-robot system. The proposed methods and algorithms are built considering the use of low cost infrared sensors with the ultimate function of efficiently exploring the given unknown area and simultaneously identifying desired objects by analyzing the physical characteristics of several of the objects that come across during exploration. In this paper, we have explained the scenario by building a coordinative multi-robot system consisting of two autonomously operated robots equipped with low-cost and low-range infrared sensors to perform the assigned task by analyzing some of the sudden changes in their environment. Along with identifying and retrieving the desired object, the proposed methodology also provide an inclusive analysis of the area being explored. The novelties presented in the paper may significantly provide a cost-effective solution to the problem of area exploration and finding a known object in an unknown environment by demonstrating an innovative approach of using the infrared sensors instead of high cost long range sensors and cameras. Additionally, the methodology provides a speedy and uncomplicated method of traversing a complicated arena while performing all the necessary and inter-related tasks of avoiding the obstacles, analyzing the area as well as objects, and reconstructing the area using all these information collected and interpreted for an unknown environment. The methods and algorithms proposed are simulated over a complex arena to depict the operations and manually tested over a physical environment which provided 78% correct results with respect to various complex parameters set randomly.

  18. Optical cavity furnace for semiconductor wafer processing

    Science.gov (United States)

    Sopori, Bhushan L.

    2014-08-05

    An optical cavity furnace 10 having multiple optical energy sources 12 associated with an optical cavity 18 of the furnace. The multiple optical energy sources 12 may be lamps or other devices suitable for producing an appropriate level of optical energy. The optical cavity furnace 10 may also include one or more reflectors 14 and one or more walls 16 associated with the optical energy sources 12 such that the reflectors 14 and walls 16 define the optical cavity 18. The walls 16 may have any desired configuration or shape to enhance operation of the furnace as an optical cavity 18. The optical energy sources 12 may be positioned at any location with respect to the reflectors 14 and walls defining the optical cavity. The optical cavity furnace 10 may further include a semiconductor wafer transport system 22 for transporting one or more semiconductor wafers 20 through the optical cavity.

  19. Correlation study of actual temperature profile and in-line metrology measurements for within-wafer uniformity improvement and wafer edge yield enhancement (Conference Presentation)

    Science.gov (United States)

    Fang, Fang; Vaid, Alok; Vinslava, Alina; Casselberry, Richard; Mishra, Shailendra; Dixit, Dhairya; Timoney, Padraig; Chu, Dinh; Porter, Candice; Song, Da; Ren, Zhou

    2018-03-01

    It is getting more important to monitor all aspects of influencing parameters in critical etch steps and utilize them as tuning knobs for within-wafer uniformity improvement and wafer edge yield enhancement. Meanwhile, we took a dive in pursuing "measuring what matters" and challenged ourselves for more aspects of signals acquired in actual process conditions. Among these factors which are considered subtle previously, we identified Temperature, especially electrostatic chuck (ESC) Temperature measurement in real etch process conditions have direct correlation to in-line measurements. In this work, we used SensArray technique (EtchTemp-SE wafer) to measure ESC temperature profile on a 300mm wafer with plasma turning on to reproduce actual temperature pattern on wafers in real production process conditions. In field applications, we observed substantial correlation between ESC temperature and in-line optical metrology measurements and since temperature is a process factor that can be tuning through set-temperature modulations, we have identified process knobs with known impact on physical profile variations. Furthermore, ESC temperature profile on a 300mm wafer is configured as multiple zones upon radius and SensArray measurements mechanism could catch such zonal distribution as well, which enables detailed temperature modulations targeting edge ring only where most of chips can be harvested and critical zone for yield enhancement. Last but not least, compared with control reference (ESC Temperature in static plasma-off status), we also get additional factors to investigate in chamber-to-chamber matching study and make process tool fleet match on the basis really matters in production. KLA-Tencor EtchTemp-SE wafer enables Plasma On wafer temperature monitoring of silicon etch process. This wafer is wireless and has 65 sensors with measurement range from 20 to 140°C. the wafer is designed to run in real production recipe plasma on condition with maximum RF power up

  20. Uncertainty quantification metrics for whole product life cycle cost estimates in aerospace innovation

    Science.gov (United States)

    Schwabe, O.; Shehab, E.; Erkoyuncu, J.

    2015-08-01

    The lack of defensible methods for quantifying cost estimate uncertainty over the whole product life cycle of aerospace innovations such as propulsion systems or airframes poses a significant challenge to the creation of accurate and defensible cost estimates. Based on the axiomatic definition of uncertainty as the actual prediction error of the cost estimate, this paper provides a comprehensive overview of metrics used for the uncertainty quantification of cost estimates based on a literature review, an evaluation of publicly funded projects such as part of the CORDIS or Horizon 2020 programs, and an analysis of established approaches used by organizations such NASA, the U.S. Department of Defence, the ESA, and various commercial companies. The metrics are categorized based on their foundational character (foundations), their use in practice (state-of-practice), their availability for practice (state-of-art) and those suggested for future exploration (state-of-future). Insights gained were that a variety of uncertainty quantification metrics exist whose suitability depends on the volatility of available relevant information, as defined by technical and cost readiness level, and the number of whole product life cycle phases the estimate is intended to be valid for. Information volatility and number of whole product life cycle phases can hereby be considered as defining multi-dimensional probability fields admitting various uncertainty quantification metric families with identifiable thresholds for transitioning between them. The key research gaps identified were the lacking guidance grounded in theory for the selection of uncertainty quantification metrics and lacking practical alternatives to metrics based on the Central Limit Theorem. An innovative uncertainty quantification framework consisting of; a set-theory based typology, a data library, a classification system, and a corresponding input-output model are put forward to address this research gap as the basis

  1. Wafer of Intel Pentium 4 Prescott Chips

    CERN Multimedia

    Silicon wafer with hundreds of Penryn cores (microprocessor). There are around four times as many Prescott chips can be made per wafer than with the previous generation of Northwood-core Pentium 4 processors. It is faster and cheaper.

  2. Technology, Safety and Costs of Decommissioning a Reference Low-Level Waste Burial Ground. Appendices

    International Nuclear Information System (INIS)

    None

    1980-01-01

    Safety and cost information are developed for the conceptual decommissioning of commercial low-level waste (LLW) burial grounds. Two generic burial grounds, one located on an arid western site and the other located on a humid eastern site, are used as reference facilities for the study. The two burial grounds are assumed to have the same site capacity for waste, the same radioactive waste inventory, and similar trench characteristics and operating procedures. The climate, geology. and hydrology of the two sites are chosen to be typical of real western and eastern sites. Volume 2 (Appendices) contains the detailed analyses and data needed to support the results given in Volume 1.

  3. Advanced single-wafer sequential multiprocessing techniques for semiconductor device fabrication

    International Nuclear Information System (INIS)

    Moslehi, M.M.; Davis, C.

    1989-01-01

    Single-wafer integrated in-situ multiprocessing (SWIM) is recognized as the future trend for advanced microelectronics production in flexible fast turn- around computer-integrated semiconductor manufacturing environments. The SWIM equipment technology and processing methodology offer enhanced equipment utilization, improved process reproducibility and yield, and reduced chip manufacturing cost. They also provide significant capabilities for fabrication of new and improved device structures. This paper describes the SWIM techniques and presents a novel single-wafer advanced vacuum multiprocessing technology developed based on the use of multiple process energy/activation sources (lamp heating and remote microwave plasma) for multilayer epitaxial and polycrystalline semiconductor as well as dielectric film processing. Based on this technology, multilayer in-situ-doped homoepitaxial silicon and heteroepitaxial strained layer Si/Ge x Si 1 - x /Si structures have been grown and characterized. The process control and the ultimate interfacial abruptness of the layer-to-layer transition widths in the device structures prepared by this technology will challenge the MBE techniques in multilayer epitaxial growth applications

  4. An innovative medium speed wind turbine rotor blade design for low wind regime (electrical power generation)

    International Nuclear Information System (INIS)

    Abas Abd Wahab; Chong Wen Tong

    2001-01-01

    This paper describes the preliminary study of a small-scale wind turbine rotor blade (a low wind speed region turbine). A new wind turbine rotor blade (AE2 blade) for stand alone system has been conceptualized, designed, constructed and tested. The system is a reduced size prototype (half-scaled) to develop an efficient (adapted to Malaysian wind conditions)and cost effective wind energy conversion system (WECS) with local design and production technique. The blades were constructed from aluminium sheet with metal blending technique. The layout and design of rotor blade, its innovative features and test results are presented. Results from indoor test showed that the advantages of AE2 blade in low speed, with the potential of further improvements. The best rotor efficiency, C P attained with simple AE2 blades rotor (number of blade = 3) was 37.3% (Betz efficiency = 63%) at tip speed ratio (TSR) = 3.6. From the fabrication works and indoor testing, the AE2 blade rotor has demonstrated its structural integrity (ease of assembly and transportation), simplicity, acceptable performance and low noise level. (Author)

  5. Preliminary reduction of chromium ore using Si sludge generated in silicon wafer manufacturing process

    Directory of Open Access Journals (Sweden)

    Jung W.-G.

    2018-01-01

    Full Text Available In order to promote the recycling of by-product from Si wafer manufacturing process and to develop environment-friend and low cost process for ferrochrome alloy production, a basic study was performed on the preliminary reduction reaction between chromium ore and the Si sludge, comprised of SiC and Si particles, which is recovered from the Si wafer manufacturing process for the semiconductor and solar cell industries. Pellets were first made by mixing chromium ore, Si sludge, and some binders in the designed mixing ratios and were then treated at different temperatures in the 1116°C–1388°C range in an ambient atmosphere. Cordierite and SiO2 were confirmed to be formed in the products after the reduction. Additionally, metal particles were observed in the product with Fe, Cr, and Si components. It is found that temperatures above 1300°C are necessary for the reduction of the chromium ore by the Si sludge. The reduction ratio for Fe was evaluated quantitatively for our experimental conditions, and the proper mixing ratio was suggested for the pre-reduction of the chromium ore by the Si sludge. This study provides basic information for the production of ferrochrome alloys on the pre-reduction of chromium ore using Si sludge.

  6. Wafer edge overlay control solution for N7 and beyond

    Science.gov (United States)

    van Haren, Richard; Calado, Victor; van Dijk, Leon; Hermans, Jan; Kumar, Kaushik; Yamashita, Fumiko

    2018-03-01

    Historically, the on-product overlay performance close to the wafer edge is lagging with respect to the inner part of the wafer. The reason for this is that wafer processing is less controlled close to the wafer edge as opposed to the rest of the wafer. It is generally accepted that Chemical Vapor Deposition (CVD) of stressed layers that cause wafer warp, wafer table contamination, Chemical Mechanical Polishing (CMP), and Reactive Ion Etch (RIE) may deteriorate the overlay performance and/or registration close to the wafer edge. For the N7 technology node and beyond, it is anticipated that the tight on-product overlay specification is required across the full wafer which includes the edge region. In this work, we highlight one contributor that may negatively impact the on-product overlay performance, namely the etch step. The focus will be mainly on the wafer edge region but the remaining part of the wafer is considered as well. Three use-cases are examined: multiple Litho-Etch steps (LEn), contact hole layer etch, and the copper dual damascene etch. We characterize the etch contribution by considering the overlay measurement after resist development inspect (ADI) and after etch inspect (AEI). We show that the Yieldstar diffraction based overlay (μDBO) measurements can be utilized to characterize the etch contribution to the overlay budget. The effects of target asymmetry as well as overlay shifts are considered and compared with SEM measurements. Based on the results above, we propose a control solution aiming to reduce or even eliminate the delta between ADI and AEI. By doing so, target/mark to device offsets due to etch might be avoided.

  7. Automated reticle inspection data analysis for wafer fabs

    Science.gov (United States)

    Summers, Derek; Chen, Gong; Reese, Bryan; Hutchinson, Trent; Liesching, Marcus; Ying, Hai; Dover, Russell

    2009-04-01

    To minimize potential wafer yield loss due to mask defects, most wafer fabs implement some form of reticle inspection system to monitor photomask quality in high-volume wafer manufacturing environments. Traditionally, experienced operators review reticle defects found by an inspection tool and then manually classify each defect as 'pass, warn, or fail' based on its size and location. However, in the event reticle defects are suspected of causing repeating wafer defects on a completed wafer, potential defects on all associated reticles must be manually searched on a layer-by-layer basis in an effort to identify the reticle responsible for the wafer yield loss. This 'problem reticle' search process is a very tedious and time-consuming task and may cause extended manufacturing line-down situations. Often times, Process Engineers and other team members need to manually investigate several reticle inspection reports to determine if yield loss can be tied to a specific layer. Because of the very nature of this detailed work, calculation errors may occur resulting in an incorrect root cause analysis effort. These delays waste valuable resources that could be spent working on other more productive activities. This paper examines an automated software solution for converting KLA-Tencor reticle inspection defect maps into a format compatible with KLA-Tencor's Klarity Defect(R) data analysis database. The objective is to use the graphical charting capabilities of Klarity Defect to reveal a clearer understanding of defect trends for individual reticle layers or entire mask sets. Automated analysis features include reticle defect count trend analysis and potentially stacking reticle defect maps for signature analysis against wafer inspection defect data. Other possible benefits include optimizing reticle inspection sample plans in an effort to support "lean manufacturing" initiatives for wafer fabs.

  8. Increasing reticle inspection efficiency and reducing wafer printchecks at 14nm using automated defect classification and simulation

    Science.gov (United States)

    Paracha, Shazad; Goodman, Eliot; Eynon, Benjamin G.; Noyes, Ben F.; Ha, Steven; Kim, Jong-Min; Lee, Dong-Seok; Lee, Dong-Heok; Cho, Sang-Soo; Ham, Young M.; Vacca, Anthony D.; Fiekowsky, Peter J.; Fiekowsky, Daniel I.

    2014-10-01

    IC fabs inspect critical masks on a regular basis to ensure high wafer yields. These requalification inspections are costly for many reasons including the capital equipment, system maintenance, and labor costs. In addition, masks typically remain in the "requal" phase for extended, non-productive periods of time. The overall "requal" cycle time in which reticles remain non-productive is challenging to control. Shipping schedules can slip when wafer lots are put on hold until the master critical layer reticle is returned to production. Unfortunately, substituting backup critical layer reticles can significantly reduce an otherwise tightly controlled process window adversely affecting wafer yields. One major requal cycle time component is the disposition process of mask inspections containing hundreds of defects. Not only is precious non-productive time extended by reviewing hundreds of potentially yield-limiting detections, each additional classification increases the risk of manual review techniques accidentally passing real yield limiting defects. Even assuming all defects of interest are flagged by operators, how can any person's judgment be confident regarding lithographic impact of such defects? The time reticles spend away from scanners combined with potential yield loss due to lithographic uncertainty presents significant cycle time loss and increased production costs An automatic defect analysis system (ADAS), which has been in fab production for numerous years, has been improved to handle the new challenges of 14nm node automate reticle defect classification by simulating each defect's printability under the intended illumination conditions. In this study, we have created programmed defects on a production 14nm node critical-layer reticle. These defects have been analyzed with lithographic simulation software and compared to the results of both AIMS optical simulation and to actual wafer prints.

  9. Digital Platform for Wafer-Level MEMS Testing and Characterization Using Electrical Response

    Directory of Open Access Journals (Sweden)

    Nuno Brito

    2016-09-01

    Full Text Available The uniqueness of microelectromechanical system (MEMS devices, with their multiphysics characteristics, presents some limitations to the borrowed test methods from traditional integrated circuits (IC manufacturing. Although some improvements have been performed, this specific area still lags behind when compared to the design and manufacturing competencies developed over the last decades by the IC industry. A complete digital solution for fast testing and characterization of inertial sensors with built-in actuation mechanisms is presented in this paper, with a fast, full-wafer test as a leading ambition. The full electrical approach and flexibility of modern hardware design technologies allow a fast adaptation for other physical domains with minimum effort. The digital system encloses a processor and the tailored signal acquisition, processing, control, and actuation hardware control modules, capable of the structure position and response analysis when subjected to controlled actuation signals in real time. The hardware performance, together with the simplicity of the sequential programming on a processor, results in a flexible and powerful tool to evaluate the newest and fastest control algorithms. The system enables measurement of resonant frequency (Fr, quality factor (Q, and pull-in voltage (Vpi within 1.5 s with repeatability better than 5 ppt (parts per thousand. A full-wafer with 420 devices under test (DUTs has been evaluated detecting the faulty devices and providing important design specification feedback to the designers.

  10. Wafer-Scale High-Throughput Ordered Growth of Vertically Aligned ZnO Nanowire Arrays

    KAUST Repository

    Wei, Yaguang

    2010-09-08

    This article presents an effective approach for patterned growth of vertically aligned ZnO nanowire (NW) arrays with high throughput and low cost at wafer scale without using cleanroom technology. Periodic hole patterns are generated using laser interference lithography on substrates coated with the photoresist SU-8. ZnO NWs are selectively grown through the holes via a low-temperature hydrothermal method without using a catalyst and with a superior control over orientation, location/density, and as-synthesized morphology. The development of textured ZnO seed layers for replacing single crystalline GaN and ZnO substrates extends the large-scale fabrication of vertically aligned ZnO NW arrays on substrates of other materials, such as polymers, Si, and glass. This combined approach demonstrates a novel method of manufacturing large-scale patterned one-dimensional nanostructures on various substrates for applications in energy harvesting, sensing, optoelectronics, and electronic devices. © 2010 American Chemical Society.

  11. Modelling deformation and fracture in confectionery wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mohammed, Idris K.; Charalambides, Maria N.; Williams, J. Gordon; Rasburn, John [Mechanical Engineering Department, Imperial College London, South Kensington, London, SW7 2AZ, United Kingdom and Nestec York Ltd., Nestlé Product Technology Centre, Haxby Road, PO Box 204, York YO91 1XY (United Kingdom)

    2015-01-22

    The aim of this research is to model the deformation and fracture behaviour of brittle wafers often used in chocolate confectionary products. Three point bending and compression experiments were performed on beam and circular disc samples respectively to determine the 'apparent' stress-strain curves in bending and compression. The deformation of the wafer for both these testing types was observed in-situ within an SEM. The wafer is modeled analytically and numerically as a composite material with a core which is more porous than the skins. X-ray tomography was used to generate a three dimensional volume of the wafer microstructure which was then meshed and used for quantitative analysis. A linear elastic material model, with a damage function and element deletion, was used and the XMT generated architecture was loaded in compression. The output from the FE simulations correlates closely to the load-deflection deformation observed experimentally.

  12. Uniform superhydrophobic surfaces using micro/nano complex structures formed spontaneously by a simple and cost-effective nonlithographic process based on anodic aluminum oxide technology

    International Nuclear Information System (INIS)

    Kim, Dae-Ho; Cho, Chae-Ryong; Kim, Jong-Man; Kim, Yongsung; Kim, Byung Min; Ko, Jong Soo

    2011-01-01

    This paper presents a uniform micro/nano double-roughened superhydrophobic surface with a high static contact angle (CA) and low contact angle hysteresis (CAH). The proposed micro/nano complex structured surfaces were self-fabricated simply and efficiently using a very simple and low-cost nonlithographic sequential process, which consists of aluminum (Al) sputtering, anodization of the Al layer and pore widening, without specific equipment and additional subsequent processes. The wetting properties of the fabricated surfaces were characterized by measuring the static CAs and the CAHs after plasma polymerized fluorocarbon coating with a low surface energy. The measured static CA and CAH were 154 ± 2.3° and 5.7 ± 0.8°, respectively, showing that the fabricated double-roughened surfaces exhibit superhydrophobic behaviors clearly. In addition, the proposed double-scaled surfaces at a wafer-level exhibited uniform superhydrophobic behaviors across the wafer with an apparent CA and CAH of 153.9 ± 0.8° and 4.9 ± 1.3°, respectively.

  13. Low-cost inertial measurement unit.

    Energy Technology Data Exchange (ETDEWEB)

    Deyle, Travis Jay

    2005-03-01

    Sandia National Laboratories performs many expensive tests using inertial measurement units (IMUs)--systems that use accelerometers, gyroscopes, and other sensors to measure flight dynamics in three dimensions. For the purpose of this report, the metrics used to evaluate an IMU are cost, size, performance, resolution, upgradeability and testing. The cost of a precision IMU is very high and can cost hundreds of thousands of dollars. Thus the goals and results of this project are as follows: (1) Examine the data flow in an IMU and determine a generic IMU design. (2) Discuss a high cost IMU implementation and its theoretically achievable results. (3) Discuss design modifications that would save money for suited applications. (4) Design and implement a low cost IMU and discuss its theoretically achievable results. (5) Test the low cost IMU and compare theoretical results with empirical results. (6) Construct a more streamlined printed circuit board design reducing noise, increasing capabilities, and constructing a self-contained unit. Using these results, we can compare a high cost IMU versus a low cost IMU using the metrics from above. Further, we can examine and suggest situations where a low cost IMU could be used instead of a high cost IMU for saving cost, size, or both.

  14. A wafer-level multi-chip module process with thick photosensitive benzocyclobutene as the dielectric for microwave application

    International Nuclear Information System (INIS)

    Tang, Jiajie; Sun, Xiaowei; Luo, Le

    2011-01-01

    A wafer-level microwave multi-chip module (MMCM) packaging process is presented. Thick photosensitive-benzocyclobutene (photo-BCB) polymer (about 25 µm/layer) is used as the dielectric for its simplified process and the capability of obtaining desirable electrical, chemical and mechanical properties at high frequencies. The MMCM packaging structure contains a monolithic microwave integrated circuit (MMIC) chip embedded in a lossy-silicon wafer, a microwave band-pass filter (BPF) and two layers of BCB/Au interconnection. Key processes of fabrication are described in detail. The non-uniformity of BCB film and the sidewall angle of the via-holes for inter-layer connection are tested. Via-chains prepared by metal/BCB multilayer structures are tested through the Kelvin test structure to investigate the resistances of inter-layer connection. The average value is measured to be 73.5 mΩ. The electrical characteristic of this structure is obtained by a microwave transmission performance test from 15 to 30 GHz. The measurement results show good consistency between the bare MMIC die and the packaged die in the test frequency band. The gain of the MMIC chip after packaging is better than 18 dB within the designed operating frequency range (from 23 to 25 GHz). When the packaged MMIC chip is connected to a BPF, the maximum gain is still measured to reach 11.95 dB at 23.8 GHz

  15. Performance of a novel wafer scale CMOS active pixel sensor for bio-medical imaging

    International Nuclear Information System (INIS)

    Esposito, M; Evans, P M; Wells, K; Anaxagoras, T; Konstantinidis, A C; Zheng, Y; Speller, R D; Allinson, N M

    2014-01-01

    Recently CMOS active pixels sensors (APSs) have become a valuable alternative to amorphous silicon and selenium flat panel imagers (FPIs) in bio-medical imaging applications. CMOS APSs can now be scaled up to the standard 20 cm diameter wafer size by means of a reticle stitching block process. However, despite wafer scale CMOS APS being monolithic, sources of non-uniformity of response and regional variations can persist representing a significant challenge for wafer scale sensor response. Non-uniformity of stitched sensors can arise from a number of factors related to the manufacturing process, including variation of amplification, variation between readout components, wafer defects and process variations across the wafer due to manufacturing processes. This paper reports on an investigation into the spatial non-uniformity and regional variations of a wafer scale stitched CMOS APS. For the first time a per-pixel analysis of the electro-optical performance of a wafer CMOS APS is presented, to address inhomogeneity issues arising from the stitching techniques used to manufacture wafer scale sensors. A complete model of the signal generation in the pixel array has been provided and proved capable of accounting for noise and gain variations across the pixel array. This novel analysis leads to readout noise and conversion gain being evaluated at pixel level, stitching block level and in regions of interest, resulting in a coefficient of variation ⩽1.9%. The uniformity of the image quality performance has been further investigated in a typical x-ray application, i.e. mammography, showing a uniformity in terms of CNR among the highest when compared with mammography detectors commonly used in clinical practice. Finally, in order to compare the detection capability of this novel APS with the technology currently used (i.e. FPIs), theoretical evaluation of the detection quantum efficiency (DQE) at zero-frequency has been performed, resulting in a higher DQE for this

  16. Performance of a novel wafer scale CMOS active pixel sensor for bio-medical imaging.

    Science.gov (United States)

    Esposito, M; Anaxagoras, T; Konstantinidis, A C; Zheng, Y; Speller, R D; Evans, P M; Allinson, N M; Wells, K

    2014-07-07

    Recently CMOS active pixels sensors (APSs) have become a valuable alternative to amorphous silicon and selenium flat panel imagers (FPIs) in bio-medical imaging applications. CMOS APSs can now be scaled up to the standard 20 cm diameter wafer size by means of a reticle stitching block process. However, despite wafer scale CMOS APS being monolithic, sources of non-uniformity of response and regional variations can persist representing a significant challenge for wafer scale sensor response. Non-uniformity of stitched sensors can arise from a number of factors related to the manufacturing process, including variation of amplification, variation between readout components, wafer defects and process variations across the wafer due to manufacturing processes. This paper reports on an investigation into the spatial non-uniformity and regional variations of a wafer scale stitched CMOS APS. For the first time a per-pixel analysis of the electro-optical performance of a wafer CMOS APS is presented, to address inhomogeneity issues arising from the stitching techniques used to manufacture wafer scale sensors. A complete model of the signal generation in the pixel array has been provided and proved capable of accounting for noise and gain variations across the pixel array. This novel analysis leads to readout noise and conversion gain being evaluated at pixel level, stitching block level and in regions of interest, resulting in a coefficient of variation ⩽1.9%. The uniformity of the image quality performance has been further investigated in a typical x-ray application, i.e. mammography, showing a uniformity in terms of CNR among the highest when compared with mammography detectors commonly used in clinical practice. Finally, in order to compare the detection capability of this novel APS with the technology currently used (i.e. FPIs), theoretical evaluation of the detection quantum efficiency (DQE) at zero-frequency has been performed, resulting in a higher DQE for this

  17. Low-level radioactive biomedical wastes

    International Nuclear Information System (INIS)

    Casarett, G.W.

    A summary of the management and hazards of low-level radioactive biomedical wastes is presented. The volume, disposal methods, current problems, regulatory agencies, and possible solutions to disposal problems are discussed. The benefits derived from using radioactivity in medicine are briefly described. Potential health risks are discussed. The radioactivity in most of the radioactive biomedical waste is a small fraction of that contained naturally in the human body or in the natural environment. Benefit-risk-cost considerations are presented. The cost of managing these wastes is getting so high that a new perspective for comparison of radioactivity (facts, risks, costs, benefits and trade-offs) and alternate approaches to minimize the risk and cost and maximize the benefits is suggested

  18. Overview of low level waste disposal facility costs

    International Nuclear Information System (INIS)

    Saverot, P.M.

    1995-01-01

    Economics and uncertainty go hand-in-hand and it is too soon to have conclusive data on the life cycle costs of a disposal facility. While LLW volumes from are decreasing year after year, the effect of the projected LLW volumes from decommissioning may have a significant impact on the final unit costs. This overview recognizes that countries see LLW disposal costs differently depending on the scale of their programs and on the geographical, political and economic frameworks within which they operate. The reasons for the cost differences arise from a number of factors: differences in designs and in technologies (near surface engineered vault, enhanced shallow land burial, silo type caverns,...), disposal capacities, programmatic and regulatory requirements, organizational, managerial and institutional frameworks, contractual arrangements, etc. Comparison of actual project costs, if done incorrectly, can lead to invalid conclusions and little purpose would be served by so doing since cost variations reflect the reality faced by each country

  19. CONSTRUCTION AND VALIDATION OF LOW COST LAPAROSCOPIC SIMULATOR USING ANDROID SMARTPHONE AND POP CAST AND A LAPTOP.

    Science.gov (United States)

    Vyas, A; Goel, G

    2017-09-01

    Minimal invasive surgery training requires a lot of practice and for this purpose innovative tools are needed to develop methods for practice and training skills outside the operating room. Commercially available devices are readily available but cost effectiveness and availability are major limiting factors in resource limited setting. We present an innovative and cost effective laparoscopic simulator which can be easily manufactured and used for practice of laparoscopic surgery. Using a free android application, such as IP webcam we can relay video to laptop without the use of any cables and uniquely we use the flash of a camera as the light source and a selfie stick for movement of the camera. Use of this type of setup can help to reduce cost of simulated learning in low income countries and makes laparoscopic training facilities readily available. Copyright© Authors.

  20. Do Process Innovations Induce Product Ones?

    OpenAIRE

    Maria Rosa Battaggion; Piero Tedeschi

    2006-01-01

    We study the relationship between process and product innovations in vertically differentiated duopolies. A process innovation can lead two competing firms to improve the quality of their goods introducing a product innovation. In fact, a cost reducing innovation has two effects: it spurs production and it enhances price competition. The former effect induces both firms to increase quality. The latter encourages differentiation, inducing low quality firm to decrease it. Therefore, high qualit...

  1. Generalized economic model for evaluating disposal costs at a low-level waste disposal facility

    International Nuclear Information System (INIS)

    Baird, R.D.; Rogers, V.C.

    1985-01-01

    An economic model is developed which can be used to evaluate cash flows associated with the development, operations, closure, and long-term maintenance of a proposed Low-Level Radioactive Waste disposal facility and to determine the unit disposal charges and unit surcharges which might result. The model includes the effects of nominal interest rate (rate of return on investment, or cost of capital), inflation rate, waste volume growth rate, site capacity, duration of various phases of the facility history, and the cash flows associated with each phase. The model uses standard discounted cash flow techniques on an after-tax basis to determine that unit disposal charge which is necessary to cover all costs and expenses and to generate an adequate rate of return on investment. It separately considers cash flows associated with post-operational activities to determine the required unit surcharge. The model is applied to three reference facilities to determine the respective unit disposal charges and unit surcharges, with various values of parameters. The sensitivity of the model results are evaluated for the unit disposal charge

  2. Target Costing as a Strategic Tool to Commercialize the Product and Service Innovation

    DEFF Research Database (Denmark)

    Jiang, Liping; Hansen, Carsten Ørts

    What is the issue? Innovation is an important key to success in today's competitive marketplace. Firms therefore have strived hard to innovate and stay ahead. However, they have to face the brutal fact that firms often fail to obtain the commercial success of innovation. Why is it important......? With keen international competition and accelerating pace of technology change, the ability to introduce innovations into the market and capture the profits generated by an innovation is of strategic importance. It can put a firm at a competitive advantage and build a firm’s sustainable financial benefits....... What can be done? The implementation of target costing will increase the odds of commercial success of an innovation. It aims at fulfilling the economic potential of an innovation by focusing on the market and customers during the design and price setting stages. This price will, on one hand, impose...

  3. A wafer-level 3D packaging structure with Benzocyclobutene as a dielectric for multichip module fabrication

    International Nuclear Information System (INIS)

    Geng Fei; Ding Xiaoyun; Xu Gaowei; Luo Le

    2009-01-01

    A new wafer-level 3D packaging structure with Benzocyclobutene (BCB) as interlayer dielectrics (ILDs) for multichip module fabrication is proposed for application in the Ku-band wave. The packaging structure consists of two layers of BCB films and three layers of metallized films, in which the monolithic microwave IC (MMIC), thin film resistors, striplines and microstrip lines are integrated. Wet etched cavities fabricated on the silicon substrate are used for mounting active and passive components. BCB layers cover the components and serve as ILDs for interconnections. Gold bumps are used as electric interconnections between different layers, which eliminates the need to prepare vias by costly dry etching and deposition processes. In order to get high-quality BCB films for the subsequent chemical mechanical planarization (CMP) and multilayer metallization processes, the BCB curing profile is optimized and the roughness of the BCB film after the CMP process is kept lower than 10 nm. The thermal, mechanical and electrical properties of the packaging structure are investigated. The thermal resistance can be controlled below 2 0 C/W. The average shear strength of the gold bumps on the BCB surface is around 70 N/mm 2 . The performances of MMIC and interconnection structure at high frequencies are optimized and tested. The S-parameters curves of the packaged MMIC shift slightly showing perfect transmission character. The insertion loss change after the packaging process is less than 1 dB range at the operating frequency and the return loss is less than -8 dB from 10 to 15 GHz.

  4. A Rapid and Low-Cost PCR Thermal Cycler for Infectious Disease Diagnostics.

    Directory of Open Access Journals (Sweden)

    Kamfai Chan

    Full Text Available The ability to make rapid diagnosis of infectious diseases broadly available in a portable, low-cost format would mark a great step forward in global health. Many molecular diagnostic assays are developed based on using thermal cyclers to carry out polymerase chain reaction (PCR and reverse-transcription PCR for DNA and RNA amplification and detection, respectively. Unfortunately, most commercial thermal cyclers are expensive and need continuous electrical power supply, so they are not suitable for uses in low-resource settings. We have previously reported a low-cost and simple approach to amplify DNA using vacuum insulated stainless steel thermoses food cans, which we have named it thermos thermal cycler or TTC. Here, we describe the use of an improved set up to enable the detection of viral RNA targets by reverse-transcription PCR (RT-PCR, thus expanding the TTC's ability to identify highly infectious, RNA virus-based diseases in low resource settings. The TTC was successful in demonstrating high-speed and sensitive detection of DNA or RNA targets of sexually transmitted diseases, HIV/AIDS, Ebola hemorrhagic fever, and dengue fever. Our innovative TTC costs less than $200 to build and has a capacity of at least eight tubes. In terms of speed, the TTC's performance exceeded that of commercial thermal cyclers tested. When coupled with low-cost endpoint detection technologies such as nucleic acid lateral-flow assay or a cell-phone-based fluorescence detector, the TTC will increase the availability of on-site molecular diagnostics in low-resource settings.

  5. Germanium photodetectors fabricated on 300 mm silicon wafers for near-infrared focal plane arrays

    Science.gov (United States)

    Zeller, John W.; Rouse, Caitlin; Efstathiadis, Harry; Dhar, Nibir K.; Wijewarnasuriya, Priyalal; Sood, Ashok K.

    2017-09-01

    SiGe p-i-n photodetectors have been fabricated on 300 mm (12") diameter silicon (Si) wafers utilizing high throughput, large-area complementary metal-oxide semiconductor (CMOS) technologies. These Ge photodetectors are designed to operate in room temperature environments without cooling, and thus have potential size and cost advantages over conventional cooled infrared detectors. The two-step fabrication process for the p-i-n photodetector devices, designed to minimize the formation of defects and threading dislocations, involves low temperature epitaxial growth of a thin p+ (boron) Ge seed/buffer layer, followed by higher temperature deposition of a thicker Ge intrinsic layer. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) demonstrated uniform layer compositions with well defined layer interfaces and reduced dislocation density. Time-of-flight secondary ion mass spectroscopy (TOF-SIMS) was likewise employed to analyze the doping levels of the p+ and n+ layers. Current-voltage (I-V) measurements demonstrated that these SiGe photodetectors, when exposed to incident visible-NIR radiation, exhibited dark currents down below 1 μA and significant enhancement in photocurrent at -1 V. The zero-bias photocurrent was also relatively high, showing a minimal drop compared to that at -1 V bias.

  6. Controllable laser thermal cleavage of sapphire wafers

    Science.gov (United States)

    Xu, Jiayu; Hu, Hong; Zhuang, Changhui; Ma, Guodong; Han, Junlong; Lei, Yulin

    2018-03-01

    Laser processing of substrates for light-emitting diodes (LEDs) offers advantages over other processing techniques and is therefore an active research area in both industrial and academic sectors. The processing of sapphire wafers is problematic because sapphire is a hard and brittle material. Semiconductor laser scribing processing suffers certain disadvantages that have yet to be overcome, thereby necessitating further investigation. In this work, a platform for controllable laser thermal cleavage was constructed. A sapphire LED wafer was modeled using the finite element method to simulate the thermal and stress distributions under different conditions. A guide groove cut by laser ablation before the cleavage process was observed to guide the crack extension and avoid deviation. The surface and cross section of sapphire wafers processed using controllable laser thermal cleavage were characterized by scanning electron microscopy and optical microscopy, and their morphology was compared to that of wafers processed using stealth dicing. The differences in luminous efficiency between substrates prepared using these two processing methods are explained.

  7. Growth of misfit dislocation-free p/p+ thick epitaxial silicon wafers on Ge-B-codoped substrates

    International Nuclear Information System (INIS)

    Jiang Huihua; Yang Deren; Ma Xiangyang; Tian Daxi; Li Liben; Que Duanlin

    2006-01-01

    The growth of p/p + silicon epitaxial silicon wafers (epi-wafers) without misfit dislocations has been successfully achieved by using heavily boron-doped Czochralski (CZ) silicon wafers codoped with desirable level of germanium as the substrates. The lattice compensation by codoping of germanium and boron into the silicon matrix to reduce the lattice mismatch between the substrate (heavily boron-doped) and epi-layer (lightly boron-doped) is the basic idea underlying in the present achievement. In principle, the codoping of germanium and boron in the CZ silicon can be tailored to achieve misfit dislocation-free epi-layer with required thickness. It is reasonably expected that the presented solution to elimination of misfit dislocations in the p/p + silicon wafers can be applied in the volume production

  8. InGaAs-OI Substrate Fabrication on a 300 mm Wafer

    Directory of Open Access Journals (Sweden)

    Sebastien Sollier

    2016-09-01

    Full Text Available In this work, we demonstrate for the first time a 300-mm indium–gallium–arsenic (InGaAs wafer on insulator (InGaAs-OI substrates by splitting in an InP sacrificial layer. A 30-nm-thick InGaAs layer was successfully transferred using low temperature direct wafer bonding (DWB and Smart CutTM technology. Three key process steps of the integration were therefore specifically developed and optimized. The first one was the epitaxial growing process, designed to reduce the surface roughness of the InGaAs film. Second, direct wafer bonding conditions were investigated and optimized to achieve non-defective bonding up to 600 °C. Finally, we adapted the splitting condition to detach the InGaAs layer according to epitaxial stack specifications. The paper presents the overall process flow that achieved InGaAs-OI, the required optimization, and the associated characterizations, namely atomic force microscopy (AFM, scanning acoustic microscopy (SAM, and HR-XRD, to insure the crystalline quality of the post transferred layer.

  9. Calibration of low-cost gas sensors for an urban air quality monitoring network

    Science.gov (United States)

    Scott, A.; Kelley, C.; He, C.; Ghugare, P.; Lehman, A.; Benish, S.; Stratton, P.; Dickerson, R. R.; Zuidema, C.; Azdoud, Y.; Ren, X.

    2017-12-01

    In a warming world, environmental pollution may be exacerbated by anthropogenic activities, such as climate change and the urban heat island effect, as well as natural phenomena such as heat waves. However, monitoring air pollution at federal reference standards (approximately 1 part per billion or ppb for ambient ozone) is cost-prohibitive in heterogeneous urban areas as many expensive devices are required to fully capture a region's geo-spatial variability. Innovation in low-cost sensors provide a potential solution, yet technical challenges remain to overcome possible imprecision in the data. We present the calibrations of ozone and nitrous dioxide from a low-cost air quality monitoring device designed for the Baltimore Open Air Project. The sensors used in this study are commercially available thin film electrochemical sensors from SPEC Sensor, which are amperometric, meaning they generate current proportional to volumetric fraction of gas. The results of sensor calibrations in the laboratory and field are presented.

  10. Guided ultrasonic wave beam skew in silicon wafers

    Science.gov (United States)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2018-04-01

    In the photovoltaic industry, monocrystalline silicon wafers are employed for solar cells with high conversion efficiency. Micro-cracks induced by the cutting process in the thin wafers can lead to brittle wafer fracture. Guided ultrasonic waves would offer an efficient methodology for the in-process non-destructive testing of wafers to assess micro-crack density. The material anisotropy of the monocrystalline silicon leads to variations of the guided wave characteristics, depending on the propagation direction relative to the crystal orientation. Selective guided ultrasonic wave excitation was achieved using a contact piezoelectric transducer with custom-made wedges for the A0 and S0 Lamb wave modes and a transducer holder to achieve controlled contact pressure and orientation. The out-of-plane component of the guided wave propagation was measured using a non-contact laser interferometer. The phase slowness (velocity) of the two fundamental Lamb wave modes was measured experimentally for varying propagation directions relative to the crystal orientation and found to match theoretical predictions. Significant wave beam skew was observed experimentally, especially for the S0 mode, and investigated from 3D finite element simulations. Good agreement was found with the theoretical predictions based on nominal material properties of the silicon wafer. The important contribution of guided wave beam skewing effects for the non-destructive testing of silicon wafers was demonstrated.

  11. Laser cutting sandwich structure glass-silicon-glass wafer with laser induced thermal-crack propagation

    Science.gov (United States)

    Cai, Yecheng; Wang, Maolu; Zhang, Hongzhi; Yang, Lijun; Fu, Xihong; Wang, Yang

    2017-08-01

    Silicon-glass devices are widely used in IC industry, MEMS and solar energy system because of their reliability and simplicity of the manufacturing process. With the trend toward the wafer level chip scale package (WLCSP) technology, the suitable dicing method of silicon-glass bonded structure wafer has become necessary. In this paper, a combined experimental and computational approach is undertaken to investigate the feasibility of cutting the sandwich structure glass-silicon-glass (SGS) wafer with laser induced thermal-crack propagation (LITP) method. A 1064 nm semiconductor laser cutting system with double laser beams which could simultaneously irradiate on the top and bottom of the sandwich structure wafer has been designed. A mathematical model for describing the physical process of the interaction between laser and SGS wafer, which consists of two surface heating sources and two volumetric heating sources, has been established. The temperature stress distribution are simulated by using finite element method (FEM) analysis software ABAQUS. The crack propagation process is analyzed by using the J-integral method. In the FEM model, a stationary planar crack is embedded in the wafer and the J-integral values around the crack front edge are determined using the FEM. A verification experiment under typical parameters is conducted and the crack propagation profile on the fracture surface is examined by the optical microscope and explained from the stress distribution and J-integral value.

  12. Thermoelectric properties of boron and boron phosphide CVD wafers

    Energy Technology Data Exchange (ETDEWEB)

    Kumashiro, Y.; Yokoyama, T.; Sato, A.; Ando, Y. [Yokohama National Univ. (Japan)

    1997-10-01

    Electrical and thermal conductivities and thermoelectric power of p-type boron and n-type boron phosphide wafers with amorphous and polycrystalline structures were measured up to high temperatures. The electrical conductivity of amorphous boron wafers is compatible to that of polycrystals at high temperatures and obeys Mott`s T{sup -{1/4}} rule. The thermoelectric power of polycrystalline boron decreases with increasing temperature, while that of amorphous boron is almost constant in a wide temperature range. The weak temperature dependence of the thermal conductivity of BP polycrystalline wafers reflects phonon scattering by grain boundaries. Thermal conductivity of an amorphous boron wafer is almost constant in a wide temperature range, showing a characteristic of a glass. The figure of merit of polycrystalline BP wafers is 10{sup -7}/K at high temperatures while that of amorphous boron is 10{sup -5}/K.

  13. Learning from Low Income Market-driven Innovations and Social ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Learning from Low Income Market-driven Innovations and Social Entrepreneurship in India. A social enterprise is one that uses innovation, finance and business acumen in a business setting to produce social outcomes such as poverty alleviation, health benefits or social inclusion. Social enterprises generate products and ...

  14. Dislocation behavior of surface-oxygen-concentration controlled Si wafers

    International Nuclear Information System (INIS)

    Asazu, Hirotada; Takeuchi, Shotaro; Sannai, Hiroya; Sudo, Haruo; Araki, Koji; Nakamura, Yoshiaki; Izunome, Koji; Sakai, Akira

    2014-01-01

    We have investigated dislocation behavior in the surface area of surface-oxygen-concentration controlled Si wafers treated by a high temperature rapid thermal oxidation (HT-RTO). The HT-RTO process allows us to precisely control the interstitial oxygen concentration ([O i ]) in the surface area of the Si wafers. Sizes of rosette patterns, generated by nano-indentation and subsequent thermal annealing at 900 °C for 1 h, were measured for the Si wafers with various [O i ]. It was found that the rosette size decreases in proportion to the − 0.25 power of [O i ] in the surface area of the Si wafers, which were higher than [O i ] of 1 × 10 17 atoms/cm 3 . On the other hand, [O i ] of lower than 1 × 10 17 atoms/cm 3 did not affect the rosette size very much. These experimental results demonstrate the ability of the HT-RTO process to suppress the dislocation movements in the surface area of the Si wafer. - Highlights: • Surface-oxygen-concentration controlled Si wafers have been made. • The oxygen concentration was controlled by high temperature rapid thermal oxidation. • Dislocation behavior in the surface area of the Si wafers has been investigated. • Rosette size decreased with increasing of interstitial oxygen atoms. • The interstitial oxygen atoms have a pinning effect of dislocations at the surface

  15. A Rapid and Low-Cost PCR Thermal Cycler for Low Resource Settings.

    Directory of Open Access Journals (Sweden)

    Grace Wong

    Full Text Available Many modern molecular diagnostic assays targeting nucleic acids are typically confined to developed countries or to the national reference laboratories of developing-world countries. The ability to make technologies for the rapid diagnosis of infectious diseases broadly available in a portable, low-cost format would mark a revolutionary step forward in global health. Many molecular assays are also developed based on polymerase chain reactions (PCR, which require thermal cyclers that are relatively heavy (>20 pounds and need continuous electrical power. The temperature ramping speed of most economical thermal cyclers are relatively slow (2 to 3 °C/s so a polymerase chain reaction can take 1 to 2 hours. Most of all, these thermal cyclers are still too expensive ($2k to $4k for low-resource setting uses.In this article, we demonstrate the development of a low-cost and rapid water bath based thermal cycler that does not require active temperature control or continuous power supply during PCR. This unit costs $130 to build using commercial off-the-shelf items. The use of two or three vacuum-insulated stainless-steel Thermos food jars containing heated water (for denaturation and annealing/extension steps and a layer of oil on top of the water allow for significantly stabilized temperatures for PCR to take place. Using an Arduino-based microcontroller, we automate the "archaic" method of hand-transferring PCR tubes between water baths.We demonstrate that this innovative unit can deliver high speed PCR (17 s per PCR cycle with the potential to go beyond the 1,522 bp long amplicons tested in this study and can amplify from templates down to at least 20 copies per reaction. The unit also accepts regular PCR tubes and glass capillary tubes. The PCR efficiency of our thermal cycler is not different from other commercial thermal cyclers. When combined with a rapid nucleic acid detection approach, the thermos thermal cycler (TTC can enable on-site molecular

  16. Innovation, Procurement and Construction Industry Development

    Directory of Open Access Journals (Sweden)

    Geard de Valence

    2010-12-01

    Full Text Available The implications for analysis of innovation in construction of theoretical developments in industrial organisation are considered in this research, as an attempt to outline a new approach to construction innovation incorporating the ideas found in knowledge based, technology centred models. The paper firstly summarises characteristics of the construction industry, focusing on their effects on innovation, before surveying some of the ideas about the sources of innovation and the expansion and application of knowledge. Construction can be seen as an industry with limited scope for knowledge externalities, where the procurement methods used by the industry’s clients do not pay for innovation. The following discussion uses recent developments in the research on the economics of innovation and industrial organization theory, such as research intensity and the endogenous sunk costs in competitive, fragmented, low research intensity industries. The effects on R&D of procurement methods and on industry structure are discussed, with a focus on the appropriability of innovations and the role of the client on the Heathrow Terminal 5 project. The paper concludes that the procurement methods used for building and construction projects appears to be a determining factor in the level of innovation in the construction industry

  17. What is the impact of innovation on output in healthcare with a special focus on treatment innovations in radiotherapy? A literature review.

    Science.gov (United States)

    Jacobs, Maria; Boersma, Liesbeth; Dekker, Andre; Swart, Rachelle; Lambin, Philippe; de Ruysscher, Dirk; Verhaegen, Frank; Stultiens, Joost; Ramaekers, Bram; van Merode, Frits

    2017-11-01

    To analyse how often innovations in healthcare are evaluated regarding output, especially in radiotherapy. Output was defined as either survival, toxicity, safety, service, efficiency or cost-effectiveness. A systematic literature review was conducted, using three search strategies: (1) innovations in general healthcare; (2) radiotherapy-specific innovations, i.e. organizational innovations and general implementation of innovations; (3) innovations per tumour group/radiotherapy technique. Scientific levels were classified according to the system used in European Society for Medical Oncology guidelines. Finally, we calculated the percentage of implemented innovations in Dutch radiotherapy centres for which we found evidence regarding output in the literature review. Only 94/1072 unique articles matched the inclusion criteria. Significant results on patient outcome, service or safety were reported in 65% of papers, which rose to 76% if confined to radiotherapy reviews. A significant technological improvement was identified in 26%, cost-effectiveness in 10% and costs/efficiency in 36% of the papers. The scientific level of organizational innovations was lower than that of clinical papers. Dutch radiotherapy treatment innovations were adequately evaluated on outcome data before implementation in clinical routine in a minimum of 64-92% of cases. Only few studies report on output when considering innovations in general, but radiotherapy reviews give a reasonably good insight into innovation output effects, with a higher level of evidence. In Dutch radiotherapy centres only small improvements are possible regarding evaluation of treatment innovations before implementation. Advances in knowledge: This study is the first of its kind measuring how innovations are evaluated in scientific literature, before implementation in clinical practice.

  18. Non-axisymmetric flexural vibrations of free-edge circular silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Dmitriev, A.V., E-mail: dmitriev@hbar.phys.msu.ru; Gritsenko, D.S.; Mitrofanov, V.P., E-mail: mitr@hbar.phys.msu.ru

    2014-02-07

    Non-axisymmetric flexural vibrations of circular silicon (111) wafers are investigated. The modes with azimuthal index 2⩽k⩽30 are electrostatically excited and monitored by a capacitive sensor. The splitting of the mode frequencies associated with imperfection of the wafer is observed. The measured loss factors for the modes with 6≲k≲26 are close to those calculated according to the thermoelastic damping theory, while clamping losses likely dominate for k≲6, and surface losses at the level of inverse Q-factor Q{sup −1}≈4×10{sup −6} prevail for the modes with large k. The modes demonstrate nonlinear behavior of mainly geometrical origin at large amplitudes.

  19. Cohesive zone model for direct silicon wafer bonding

    Science.gov (United States)

    Kubair, D. V.; Spearing, S. M.

    2007-05-01

    Direct silicon wafer bonding and decohesion are simulated using a spectral scheme in conjunction with a rate-dependent cohesive model. The cohesive model is derived assuming the presence of a thin continuum liquid layer at the interface. Cohesive tractions due to the presence of a liquid meniscus always tend to reduce the separation distance between the wafers, thereby opposing debonding, while assisting the bonding process. In the absence of the rate-dependence effects the energy needed to bond a pair of wafers is equal to that needed to separate them. When rate-dependence is considered in the cohesive law, the experimentally observed asymmetry in the energetics can be explained. The derived cohesive model has the potential to form a bridge between experiments and a multiscale-modelling approach to understand the mechanics of wafer bonding.

  20. Evaluation of a cyanoacrylate dressing to manage peristomal skin alterations under ostomy skin barrier wafers.

    Science.gov (United States)

    Milne, Catherine T; Saucier, Darlene; Trevellini, Chenel; Smith, Juliet

    2011-01-01

    Peristomal skin alterations under ostomy barrier wafers are a commonly reported problem. While a number of interventions to manage this issue have been reported, the use of a topically applied cyanoacrylate has received little attention. This case series describes the use of a topical cyanoacrylate for the management of peristomal skin alterations in persons living with an ostomy. Using a convenience sample, the topical cyanoacrylate dressing was applied to 11 patients with peristomal skin disruption under ostomy wafers in acute care and outpatient settings. The causes of barrier function interruption were also addressed to enhance outcomes. Patients were assessed for wound discomfort using a Likert Scale, time to healing, and number of appliance changes. Patient satisfaction was also examined. Average reported discomfort levels were 9.5 out of 10 at the initial peristomal irritation assessment visit decreased to 3.5 at the first wafer change and were absent by the second wafer change. Wafers had increasing wear time between changes in both settings with acute care patients responding faster. Epidermal resurfacing occurred within 10.2 days in outpatients and within 7 days in acute care patients. Because of the skin sealant action of this dressing, immediate adherence of the wafer was reported at all pouch changes.

  1. High Efficiency, Low Cost Solar Cells Manufactured Using 'Silicon Ink' on Thin Crystalline Silicon Wafers

    Energy Technology Data Exchange (ETDEWEB)

    Antoniadis, H.

    2011-03-01

    Reported are the development and demonstration of a 17% efficient 25mm x 25mm crystalline Silicon solar cell and a 16% efficient 125mm x 125mm crystalline Silicon solar cell, both produced by Ink-jet printing Silicon Ink on a thin crystalline Silicon wafer. To achieve these objectives, processing approaches were developed to print the Silicon Ink in a predetermined pattern to form a high efficiency selective emitter, remove the solvents in the Silicon Ink and fuse the deposited particle Silicon films. Additionally, standard solar cell manufacturing equipment with slightly modified processes were used to complete the fabrication of the Silicon Ink high efficiency solar cells. Also reported are the development and demonstration of a 18.5% efficient 125mm x 125mm monocrystalline Silicon cell, and a 17% efficient 125mm x 125mm multicrystalline Silicon cell, by utilizing high throughput Ink-jet and screen printing technologies. To achieve these objectives, Innovalight developed new high throughput processing tools to print and fuse both p and n type particle Silicon Inks in a predetermined pat-tern applied either on the front or the back of the cell. Additionally, a customized Ink-jet and screen printing systems, coupled with customized substrate handling solution, customized printing algorithms, and a customized ink drying process, in combination with a purchased turn-key line, were used to complete the high efficiency solar cells. This development work delivered a process capable of high volume producing 18.5% efficient crystalline Silicon solar cells and enabled the Innovalight to commercialize its technology by the summer of 2010.

  2. Low cost sol–gel derived SiC–SiO{sub 2} nanocomposite as anti reflection layer for enhanced performance of crystalline silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Jannat, Azmira [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); Solar Energy Engineering, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); Lee, Woojin [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); Akhtar, M. Shaheer, E-mail: shaheerakhtar@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); New & Renewable Energy Materials Development Center (NewREC), Chonbuk National University, Jeonbuk (Korea, Republic of); Li, Zhen Yu [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); Yang, O.-Bong, E-mail: obyang@jbnu.ac.kr [School of Semiconductor and Chemical Engineering, Solar Energy Research Center, Chonbuk National University, Jeonju, Jeonbuk 54896 (Korea, Republic of); New & Renewable Energy Materials Development Center (NewREC), Chonbuk National University, Jeonbuk (Korea, Republic of)

    2016-04-30

    Graphical abstract: - Highlights: • Sol–gel derived SiC–SiO{sub 2} nanocomposite was prepared. • It effectively coated as AR layer on p-type Si-wafer. • SiC–SiO{sub 2} layer on Si solar cells exhibited relatively low reflectance of 7.08%. • Fabricated Si solar cell attained highly comparable performance of 16.99% to commercial device. - Abstract: This paper describes the preparation, characterizations and the antireflection (AR) coating application in crystalline silicon solar cells of sol–gel derived SiC–SiO{sub 2} nanocomposite. The prepared SiC–SiO{sub 2} nanocomposite was effectively applied as AR layer on p-type Si-wafer via two step processes, where the sol–gel of precursor solution was first coated on p-type Si-wafer using spin coating at 2000 rpm and then subjected to annealing at 450 °C for 1 h. The crystalline, and structural observations revealed the existence of SiC and SiO{sub 2} phases, which noticeably confirmed the formation of SiC–SiO{sub 2} nanocomposite. The SiC–SiO{sub 2} layer on Si solar cells was found to be an excellent AR coating, exhibiting the low reflectance of 7.08% at wavelengths ranging from 400 to 1000 nm. The fabricated crystalline Si solar cell with SiC–SiO{sub 2} nanocomposite AR coating showed comparable power conversion efficiency of 16.99% to the conventional Si{sub x}N{sub x} AR coated Si solar cell. New and effective sol–gel derived SiC–SiO{sub 2} AR layer would offer a promising technique to produce high performance Si solar cells with low-cost.

  3. TASKS OF INNOVATION PROCESSES PROGRAM-TARGET MANAGEMENT AT REGIONAL LEVEL

    Directory of Open Access Journals (Sweden)

    Mikhail Shchepakin

    2015-09-01

    Full Text Available The article analyzes the regional system of innovation management, discussed the existing problems of program-oriented management of innovative processes at the regional level, as well as possible solutions to improve the efficiency of the regional innovation system.

  4. A low-cost, high-yield fabrication method for producing optimized biomimetic dry adhesives

    International Nuclear Information System (INIS)

    Sameoto, D; Menon, C

    2009-01-01

    We present a low-cost, large-scale method of fabricating biomimetic dry adhesives. This process is useful because it uses all photosensitive polymers with minimum fabrication costs or complexity to produce molds for silicone-based dry adhesives. A thick-film lift-off process is used to define molds using AZ 9260 photoresist, with a slow acting, deep UV sensitive material, PMGI, used as both an adhesion promoter for the AZ 9260 photoresist and as an undercutting material to produce mushroom-shaped fibers. The benefits to this process are ease of fabrication, wide range of potential layer thicknesses, no special surface treatment requirements to demold silicone adhesives and easy stripping of the full mold if process failure does occur. Sylgard® 184 silicone is used to cast full sheets of biomimetic dry adhesives off 4'' diameter wafers, and different fiber geometries are tested for normal adhesion properties. Additionally, failure modes of the adhesive during fabrication are noted and strategies for avoiding these failures are discussed. We use this fabrication method to produce different fiber geometries with varying cap diameters and test them for normal adhesion strengths. The results indicate that the cap diameters relative to post diameters for mushroom-shaped fibers dominate the adhesion properties

  5. Wafer-level MOCVD growth of AlGaN/GaN-on-Si HEMT structures with ultra-high room temperature 2DEG mobility

    Directory of Open Access Journals (Sweden)

    Xiaoqing Xu

    2016-11-01

    Full Text Available In this work, we investigate the influence of growth temperature, impurity concentration, and metal contact structure on the uniformity and two-dimensional electron gas (2DEG properties of AlGaN/GaN high electron mobility transistor (HEMT structure grown by metal-organic chemical vapor deposition (MOCVD on 4-inch Si substrate. High uniformity of 2DEG mobility (standard deviation down to 0.72% across the radius of the 4-inch wafer has been achieved, and 2DEG mobility up to 1740.3 cm2/V⋅s at room temperature has been realized at low C and O impurity concentrations due to reduced ionized impurity scattering. The 2DEG mobility is further enhanced to 2161.4 cm2/V⋅s which is comparable to the highest value reported to date when the contact structure is switched from a square to a cross pattern due to reduced piezoelectric scattering at lower residual strain. This work provides constructive insights and promising results to the field of wafer-scale fabrication of AlGaN/GaN HEMT on Si.

  6. Silicon wafers for integrated circuit process

    OpenAIRE

    Leroy , B.

    1986-01-01

    Silicon as a substrate material will continue to dominate the market of integrated circuits for many years. We first review how crystal pulling procedures impact the quality of silicon. We then investigate how thermal treatments affect the behaviour of oxygen and carbon, and how, as a result, the quality of silicon wafers evolves. Gettering techniques are then presented. We conclude by detailing the requirements that wafers must satisfy at the incoming inspection.

  7. Programmable Ultra Lightweight System Adaptable Radio (PULSAR) Low Cost Telemetry - Access from Space Advanced Technologies or Down the Middle

    Science.gov (United States)

    Sims. Herb; Varnavas, Kosta; Eberly, Eric

    2013-01-01

    Software Defined Radio (SDR) technology has been proven in the commercial sector since the early 1990's. Today's rapid advancement in mobile telephone reliability and power management capabilities exemplifies the effectiveness of the SDR technology for the modern communications market. In contrast, presently qualified satellite transponder applications were developed during the early 1960's space program. Programmable Ultra Lightweight System Adaptable Radio (PULSAR, NASA-MSFC SDR) technology revolutionizes satellite transponder technology by increasing data through-put capability by, at least, an order of magnitude. PULSAR leverages existing Marshall Space Flight Center SDR designs and commercially enhanced capabilities to provide a path to a radiation tolerant SDR transponder. These innovations will (1) reduce the cost of NASA Low Earth Orbit (LEO) and Deep Space transponders, (2) decrease power requirements, and (3) a commensurate volume reduction. Also, PULSAR increases flexibility to implement multiple transponder types by utilizing the same hardware with altered logic - no analog hardware change is required - all of which can be accomplished in orbit. This provides high capability, low cost, transponders to programs of all sizes. The final project outcome would be the introduction of a Technology Readiness Level (TRL) 7 low-cost CubeSat to SmallSat telemetry system into the NASA Portfolio.

  8. Levels, Linkages, and Networks in Cross-Cultural Innovation.

    Science.gov (United States)

    Kennedy, Judith; Kennedy, Chris

    1998-01-01

    Individuals belong to different cultural networks, and these networks and connections between them play an important role in success or failure of educational innovation and should be included in any model of the management or evaluation of innovation. Looks at functions of the different networks to which individuals belong at three levels,…

  9. From national air carriers to low-cost companies: Effects of successful marketing strategy implementation

    Directory of Open Access Journals (Sweden)

    Aćimović Slobodan

    2009-01-01

    Full Text Available Huge changes in marketing strategies and, more generally - in business philosophies are not so often. During the last 20 years global and/or national companies have already defined their general approaches of marketing instruments implementation. Therefore, when a contemporary company changes something in its marketing approach, it usually only refers to 'subtle adjustment' of the already determined strategy. Another reason for changes in a particular segment of marketing is a crisis of some kind that forces firms to implement innovations, especially regarding the elements such as costs - price - or service quality. A global enterprise segment consisting of low tariff airline companies is here identified as the one that has dramatically changed its marketing approach within the last 20 years, which continually resulted in its improved market position and business results. Changes in marketing strategies of low-cost companies have truly been revolutionary and are completely the consequence of recognizing the real needs of clients who use services of air transport. The success of low-cost airline companies is forcing the traditional, classic, air carriers to adapt their marketing instruments to this new model of business management. This paper also identifies one very significant assumption of the low-cost carriers phenomenon and their marketing approach - the liberalization of the global air transport market.

  10. A Lateral Differential Resonant Pressure Microsensor Based on SOI-Glass Wafer-Level Vacuum Packaging

    Directory of Open Access Journals (Sweden)

    Bo Xie

    2015-09-01

    Full Text Available This paper presents the fabrication and characterization of a resonant pressure microsensor based on SOI-glass wafer-level vacuum packaging. The SOI-based pressure microsensor consists of a pressure-sensitive diaphragm at the handle layer and two lateral resonators (electrostatic excitation and capacitive detection on the device layer as a differential setup. The resonators were vacuum packaged with a glass cap using anodic bonding and the wire interconnection was realized using a mask-free electrochemical etching approach by selectively patterning an Au film on highly topographic surfaces. The fabricated resonant pressure microsensor with dual resonators was characterized in a systematic manner, producing a quality factor higher than 10,000 (~6 months, a sensitivity of about 166 Hz/kPa and a reduced nonlinear error of 0.033% F.S. Based on the differential output, the sensitivity was increased to two times and the temperature-caused frequency drift was decreased to 25%.

  11. Land disposal alternatives for low-level waste

    International Nuclear Information System (INIS)

    Alexander, P.; Lindeman, R.; Saulnier, G.; Adam, J.; Sutherland, A.; Gruhlke, J.; Hung, C.

    1982-01-01

    The objective of this project is to develop data regarding the effectiveness and costs of the following options for disposing of specific low-level nuclear waste streams; sanitary landfill; improved shallow land burial; intermediate depth disposal; deep well injection; conventional shallow land burial; engineered surface storage; deep geological disposal; and hydrofracturing. This will be accomplished through the following steps: (1) characterize the properties of the commercial low-level wastes requiring disposal; (2) evaluate the various options for disposing of this waste, characterize selected representative waste disposal sites and design storage facilities suitable for use at those sites; (3) calculate the effects of various waste disposal options on population health risks; (4) estimate the costs of various waste disposal options for specific sites; and (5) perform trade-off analyses of the benefits of various waste disposal options against the costs of implementing these options. These steps are described. 2 figures, 2 tables

  12. Hidden costs of low-cost screening mammography

    International Nuclear Information System (INIS)

    Cyrlak, D.

    1987-01-01

    Twenty-two hundred women in Orange County, California, took part in a low-cost mammography screening project sponsored by the American Cancer Society and the KCBS-TV. Patients were followed up by telephone and questioned about actual costs incurred as a result of screening mammography, including costs of repeated and follow-up mammograms, US examinations and surgical consultations. The total number of biopsies, cancers found, and the costs involved were investigated. The authors' results suggest that particularly in centers with a high positive call rate, the cost of screening mammograms accounts for only a small proportion of the medical costs

  13. Technology, Safety and Costs of Decommissioning a Reference Low-Level Waste Burial Ground. Main Report

    International Nuclear Information System (INIS)

    Murphy, E. S.; Holter, G. M.

    1980-01-01

    Safety and cost information are developed for the conceptual decommissioning of commercial low-level waste (LLW) burial grounds. Two generic burial grounds, one located on an arid western site and the other located on a humid eastern site, are used as reference facilities for the study. The two burial grounds are assumed to have the same site capacity for waste, the same radioactive waste inventory, and similar trench characteristics and operating procedures. The climate, geology. and hydrology of the two sites are chosen to be typical of real western and eastern sites. Volume 1 (Main Report) contains background information and study results in summary form.

  14. Technology, Safety and Costs of Decommissioning a Reference Low-Level Waste Burial Ground. Main Report

    Energy Technology Data Exchange (ETDEWEB)

    Murphy, E. S.; Holter, G. M.

    1980-06-01

    Safety and cost information are developed for the conceptual decommissioning of commercial low-level waste (LLW) burial grounds. Two generic burial grounds, one located on an arid western site and the other located on a humid eastern site, are used as reference facilities for the study. The two burial grounds are assumed to have the same site capacity for waste, the same radioactive waste inventory, and similar trench characteristics and operating procedures. The climate, geology. and hydrology of the two sites are chosen to be typical of real western and eastern sites. Volume 1 (Main Report) contains background information and study results in summary form.

  15. License or entry decision for innovator in international duopoly with convex cost functions

    OpenAIRE

    Hattori, Masahiko; Tanaka, Yasuhito

    2017-01-01

    We consider a choice of options for a foreign innovating firm to license its new cost-reducing technology to a domestic incumbent firm or to enter the domestic market with or without license under convex cost functions. With convex cost functions the domestic market and the foreign market are not separated, and the results depend on the relative size of those markets. In a specific case with linear demand and quadratic cost, entry without license strategy is never the optimal strategy for the...

  16. Candida parapsilosis meningitis associated with Gliadel (BCNU) wafer implants.

    LENUS (Irish Health Repository)

    O'Brien, Deirdre

    2012-02-01

    A 58-year old male presented with meningitis associated with subgaleal and subdural collections 6 weeks following a temporal craniotomy for resection of recurrent glioblastoma multiforme and Gliadel wafer implantation. Candida parapsilosis was cultured from cerebrospinal fluid (CSF) and Gliadel wafers removed during surgical debridement. He was successfully treated with liposomal amphotericin B. To our knowledge, this is the first reported case of Candida parapsilosis meningitis secondary to Gliadel wafer placement.

  17. Candida parapsilosis meningitis associated with Gliadel (BCNU) wafer implants.

    LENUS (Irish Health Repository)

    O'brien, Deirdre

    2010-12-15

    A 58-year old male presented with meningitis associated with subgaleal and subdural collections 6 weeks following a temporal craniotomy for resection of recurrent glioblastoma multiforme and Gliadel wafer implantation. Candida parapsilosis was cultured from cerebrospinal fluid (CSF) and Gliadel wafers removed during surgical debridement. He was successfully treated with liposomal amphotericin B. To our knowledge, this is the first reported case of Candida parapsilosis meningitis secondary to Gliadel wafer placement.

  18. High frequency guided wave propagation in monocrystalline silicon wafers

    OpenAIRE

    Pizzolato, M.; Masserey, B.; Robyr, J. L.; Fromme, P.

    2017-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. The cutting process can introduce micro-cracks in the thin wafers and lead to varying thickness. High frequency guided ultrasonic waves are considered for the structural monitoring of the wafers. The anisotropy of the monocrystalline silicon leads to variations of the wave characteristics, depending on the propagation direction relative to the crystal orientation. Full...

  19. Fast Paced, Low Cost Projects at MSFC

    Science.gov (United States)

    Watson-Morgan, Lisa; Clinton, Raymond

    2012-01-01

    What does an orbiting microsatellite, a robotic lander and a ruggedized camera and telescope have in common? They are all fast paced, low cost projects managed by Marshall Space Flight Center (MSFC) teamed with successful industry partners. MSFC has long been synonymous with human space flight large propulsion programs, engineering acumen and risk intolerance. However, there is a growing portfolio/product line within MSFC that focuses on these smaller, fast paced projects. While launching anything into space is expensive, using a managed risk posture, holding to schedule and keeping costs low by stopping at egood enough f were key elements to their success. Risk is defined as the possibility of loss or failure per Merriam Webster. The National Aeronautics and Space Administration (NASA) defines risk using procedural requirement 8705.4 and establishes eclasses f to discern the acceptable risk per a project. It states a Class D risk has a medium to significant risk of not achieving mission success. MSFC, along with industry partners, has created a niche in Class D efforts. How did the big, cautious MSFC succeed on these projects that embodied the antithesis of its heritage in human space flight? A key factor toward these successful projects was innovative industry partners such as Dynetics Corporation, University of Alabama in Huntsville (UAHuntsville), Johns Hopkins Applied Physics Laboratory (JHU APL), Teledyne Brown Engineering (TBE), Von Braun Center for Science and Innovation (VCSI), SAIC, and Jacobs. Fast Affordable Satellite Technology (FastSat HSV01) is a low earth orbit microsatellite that houses six instruments with the primary scientific objective of earth observation and technology demonstration. The team was comprised of Dynetics, UAHuntsvile, SAIC, Goddard Space Flight Center (GSFC) and VCSI with the United States Air Force Space Test Program as the customer. The team completed design, development, manufacturing, environmental test and integration in

  20. Polifeprosan 20, 3.85% carmustine slow release wafer in malignant glioma: patient selection and perspectives on a low-burden therapy

    Directory of Open Access Journals (Sweden)

    Kleinberg L

    2016-11-01

    Full Text Available Lawrence Kleinberg Department of Radiation Oncology and Molecular Radiation Sciences, Johns Hopkins University, Baltimore, MD, USA Abstract: Polifeprosan 20 with carmustine (GLIADEL® polymer implant wafer is a biodegradable compound containing 3.85% carmustine (BCNU, bischloroethylnitrosourea implanted in the brain at the time of planned tumor surgery, which then slowly degrades to release the BCNU chemotherapy directly into the brain thereby bypassing the blood–brain barrier. Carmustine implant wafers were demonstrated to improve survival in randomized placebo-controlled trials in patients undergoing a near total resection of newly diagnosed or recurrent malignant glioma. Based on these trials and other supporting data, carmustine wafer therapy was approved for use for newly diagnosed and recurrent malignant glioma in the United States and the European Union. Adverse events are uncommon, and as this therapy is placed at the time of surgery, it does not add to patient treatment burden. Nevertheless, this therapy appears to be underutilized. This article reviews the evidence for a favorable therapeutic ratio for the patient and the potential barriers. Consideration of these issues is important for optimal use of this therapeutic approach and may be important as this technology and other local therapies are further developed in the future. Keywords: carmustine, wafer, gliadel, glioblastoma

  1. Low-level radioactive waste management technology development

    International Nuclear Information System (INIS)

    Coleman, J.A.

    1985-01-01

    Although reviews of disposal practices and site performance indicated that there were no releases to the environment that would affect public health and safety, it became clear that: (a) several burial grounds were not performing as expected; (b) long-term maintenance of closed trenches could be a costly problem, and (c) more cost-effective methods could be developed for the treatment, packing, and disposal of low-level waste. As a result of these reviews, the Department of Energy developed the Low-level Waste Management Program to seek improvements in existing practices, correct obvious deficiencies, and develop site closure techniques that would avoid expensive long-term maintenance and monitoring. Such technology developments provide a better understanding of the physical and technical mechanisms governing low-level waste treatment and disposal and lead to improvement in the performance of disposal sites. The primary means of disposal of low-level waste has been the accepted and regulated practice of shallow land disposal, i.e., placement of low-level waste in trenches 5 to 10 meters deep with several meters of special soil cover. Department of Energy waste is primarily disposed at six major shallow land disposal sites. Commercial waste is currently disposed of at three major sites in the nation - Barnwell, South Carolina; Richland, Washington; and Beatty, Nevada. In the late 1970's public concern arose regarding the management practices of sites operated by the civilian sector and by the Department of Energy

  2. Low-cost optical interconnect module for parallel optical data links

    Science.gov (United States)

    Noddings, Chad; Hirsch, Tom J.; Olla, M.; Spooner, C.; Yu, Jason J.

    1995-04-01

    We have designed, fabricated, and tested a prototype parallel ten-channel unidirectional optical data link. When scaled to production, we project that this technology will satisfy the following market penetration requirements: (1) up to 70 meters transmission distance, (2) at least 1 gigabyte/second data rate, and (3) 0.35 to 0.50 MByte/second volume selling price. These goals can be achieved by means of the assembly innovations described in this paper: a novel alignment method that is integrated with low-cost, few chip module packaging techniques, yielding high coupling and reducing the component count. Furthermore, high coupling efficiency increases projected reliability reducing the driver's power requirements.

  3. Vitrification of low-level and mixed wastes

    International Nuclear Information System (INIS)

    Johnson, T.R.; Bates, J.K.; Feng, Xiangdong.

    1994-01-01

    The US Department of Energy (DOE) and nuclear utilities have large quantities of low-level and mixed wastes that must be treated to meet repository performance requirements, which are likely to become even more stringent. The DOE is developing cost-effective vitrification methods for producing durable waste forms. However, vitrification processes for high-level wastes are not applicable to commercial low-level wastes containing large quantities of metals and small amounts of fluxes. New vitrified waste formulations are needed that are durable when buried in surface repositories

  4. Camera-Based Lock-in and Heterodyne Carrierographic Photoluminescence Imaging of Crystalline Silicon Wafers

    Science.gov (United States)

    Sun, Q. M.; Melnikov, A.; Mandelis, A.

    2015-06-01

    Carrierographic (spectrally gated photoluminescence) imaging of a crystalline silicon wafer using an InGaAs camera and two spread super-bandgap illumination laser beams is introduced in both low-frequency lock-in and high-frequency heterodyne modes. Lock-in carrierographic images of the wafer up to 400 Hz modulation frequency are presented. To overcome the frame rate and exposure time limitations of the camera, a heterodyne method is employed for high-frequency carrierographic imaging which results in high-resolution near-subsurface information. The feasibility of the method is guaranteed by the typical superlinearity behavior of photoluminescence, which allows one to construct a slow enough beat frequency component from nonlinear mixing of two high frequencies. Intensity-scan measurements were carried out with a conventional single-element InGaAs detector photocarrier radiometry system, and the nonlinearity exponent of the wafer was found to be around 1.7. Heterodyne images of the wafer up to 4 kHz have been obtained and qualitatively analyzed. With the help of the complementary lock-in and heterodyne modes, camera-based carrierographic imaging in a wide frequency range has been realized for fundamental research and industrial applications toward in-line nondestructive testing of semiconductor materials and devices.

  5. Low-cost high purity production

    Science.gov (United States)

    Kapur, V. K.

    1978-01-01

    Economical process produces high-purity silicon crystals suitable for use in solar cells. Reaction is strongly exothermic and can be initiated at relatively low temperature, making it potentially suitable for development into low-cost commercial process. Important advantages include exothermic character and comparatively low process temperatures. These could lead to significant savings in equipment and energy costs.

  6. Oil prices touch fifty year low - industry gears up for further cuts in operating costs

    International Nuclear Information System (INIS)

    Anon.

    1999-01-01

    International crude oil prices hit a 50-year low (inflation adjusted) in December 1998. Prices are now lower than in 1973 and are expected to remain low for several years; so much so that the future of production activities are under threat. The paper goes on to discuss the UK Government's initiative to tackle the problem and of the activities of the CRINE (Cost Reduction in the New Era) Network to reduce operating costs. The Government Oil and Gas Task Force aimed to develop strategies to reduce the cost base of UK gas and oil operations and recommend action by Government and/or the industry by summer 1999. The concern is that current costs of production on the UK continental shelf will make new offshore development uneconomic. A meeting in January 1999 agreed six key areas for action. The work of the Task Force overlaps that of Crine which, since 1992 has been working to drive down capital costs of developing oil and gas fields by innovative approaches including cooperation between companies. (UK)

  7. Clinical and cost effectiveness evaluation of low friction and shear garments.

    Science.gov (United States)

    Smith, G; Ingram, A

    2010-12-01

    To determine the effectiveness of Parafricta low-friction garments in reducing the incidence and prevalence of pressure ulceration and to evaluate the curative aspects of these products on pre-existing skin breakdown within a hospital setting. Patients with a Waterlow score of >15 and who were unable to reposition independently were offered the low-friction undergarments and bootees. A total of 650 patient cases were initially reviewed. Of these, 204 met the criteria for use of the products in the 3 months prior to the start of the evaluation (cohort 1) and 165 patients met the criteria during the period when the garments were used (cohort 2). Data collected included pressure ulcer incidence, location, grading, and outcome of ulcer on discharge. Locally derived costs for length of stay, wound dressings, pressure-redistributing mattresses and additional cost of the low-friction garments were applied to build a cost-effectiveness model. In patients at risk of skin breakdown there was a statistically significant reduction in the number of patients who developed pressure ulcers following use of the low-friction garments in cohort 2 when compared with cohort 1 (16% reduction; p = 0.0286). In addition, the number of patients who were ulcer free on admission but who developed ulcers and then improved or completely healed before discharge was also statistically significant (41% increase; p = 0.0065) when cohort 2 was compared with cohort 1. Fewer patients admitted with ulcers deteriorated when using the low-friction garments (21% reduction; p = 0.0012). The costs, which were calculated by comparing patient throughput for these patients, suggest that the savings associated with preventing skin breakdown outweighed the cost of the products used (base case model indicated a saving of over £63,000 per 100 at risk patients). The results support the conclusion that low-friction garment products have a role to play in the prevention of skin breakdown, and appear to be both

  8. Regional compacting for low-level waste management: an innovative approach in national problem solving

    International Nuclear Information System (INIS)

    Levin, G.B.; Nern, C.F.

    1983-01-01

    The nature of the current efforts by the states to institute a reliable national system for low-level radioactive waste management is analyzed. The history of low-level waste management over the last five years is not detailed. It is sufficient to say that there has been a seriously diminished availability of commercial disposal capacity for low-level waste. Some observations and insights into the process the nation has undertaken to solve this problem are offered

  9. Good Health at Low Cost 25 years on: lessons for the future of health systems strengthening.

    Science.gov (United States)

    Balabanova, Dina; Mills, Anne; Conteh, Lesong; Akkazieva, Baktygul; Banteyerga, Hailom; Dash, Umakant; Gilson, Lucy; Harmer, Andrew; Ibraimova, Ainura; Islam, Ziaul; Kidanu, Aklilu; Koehlmoos, Tracey P; Limwattananon, Supon; Muraleedharan, V R; Murzalieva, Gulgun; Palafox, Benjamin; Panichkriangkrai, Warisa; Patcharanarumol, Walaiporn; Penn-Kekana, Loveday; Powell-Jackson, Timothy; Tangcharoensathien, Viroj; McKee, Martin

    2013-06-15

    In 1985, the Rockefeller Foundation published Good health at low cost to discuss why some countries or regions achieve better health and social outcomes than do others at a similar level of income and to show the role of political will and socially progressive policies. 25 years on, the Good Health at Low Cost project revisited these places but looked anew at Bangladesh, Ethiopia, Kyrgyzstan, Thailand, and the Indian state of Tamil Nadu, which have all either achieved substantial improvements in health or access to services or implemented innovative health policies relative to their neighbours. A series of comparative case studies (2009-11) looked at how and why each region accomplished these changes. Attributes of success included good governance and political commitment, effective bureaucracies that preserve institutional memory and can learn from experience, and the ability to innovate and adapt to resource limitations. Furthermore, the capacity to respond to population needs and build resilience into health systems in the face of political unrest, economic crises, and natural disasters was important. Transport infrastructure, female empowerment, and education also played a part. Health systems are complex and no simple recipe exists for success. Yet in the countries and regions studied, progress has been assisted by institutional stability, with continuity of reforms despite political and economic turmoil, learning lessons from experience, seizing windows of opportunity, and ensuring sensitivity to context. These experiences show that improvements in health can still be achieved in countries with relatively few resources, though strategic investment is necessary to address new challenges such as complex chronic diseases and growing population expectations. Copyright © 2013 Elsevier Ltd. All rights reserved.

  10. Detection of trace contamination of copper on a silicon wafer with TXRF

    International Nuclear Information System (INIS)

    Yamada, T.; Matsuo, M.; Kohno, H.; Mori, Y.

    2000-01-01

    The element copper on silicon wafers is one of the most important metals to be detected among the contamination in semiconductor industries. When W-Lβ 1 (9.67 keV) line is used for the excitation in TXRF instrument and when Si(Li) is used as its detector, an escape peak appears at 7.93 keV which is close to the energy of Cu-Kα line(8.04 keV). When the concentration of copper is lower than 10 10 atoms/cm 2 , accurate quantitative analysis is difficult because of the overlapping of the peaks. When Au-Lβ 1 line(11.44 keV) is used for the excitation, the escape peak appears at 9.70 keV which is far enough from the energy of Cu-Ka line. We prepared silicon wafers intentionally contaminated with copper in a low concentration range of 10 8 to 10 10 atoms/cm 2 and measured them with a TXRF instrument having Au-Lβ 1 line for excitation. The contaminated samples were made with IAP method and their Cu concentrations were calibrated with VPD-AAS method (recovery solution: 2 % HF + 2 % H 2 O 2 ). A figure shows the correlation between the results with TXRF and those with AAS. The horizontal axis is the value of concentration decided by AAS and the vertical axis is the intensity of Cu-Kα line measured with the TXRF. Six wafers of different concentration were used and five points on each wafer including the center were measured with TXRF. Five points at each concentration in the figure correspond to the results measured on one wafer. Intensities of Cu-Kα line are weak in these low concentration ranges but the background of them are also very small (less than 0.05 cps). Therefore the peak of Cu-Kα line can be distinguished from the background. It can be said that a calibration curve can be drawn to the middle range of 10 9 atoms/cm 2 . The same samples were measured with another TXRF instrument having W-Lβ 1 line for excitation. It was difficult to draw a calibration curve in this case. We will present both results taken with Au-Lβ 1 line and with W-Lβ 1 line. (author)

  11. Anaerobic digestion of low-level radioactive cellulosic and animal wastes

    International Nuclear Information System (INIS)

    Donaldson, T.L.; Strandberg, G.W.; Patton, B.D.; Harrington, F.E.

    1983-02-01

    A preliminary process design and a cost estimate have been made for a volume reduction plant for low-level, solid radioactive wastes generated at ORNL. The process is based on extension of existing anaerobic digestion technology and on laboratory studies indicating the feasibiity of this technology for digestion of the organic portion of low-level, solid radioactive wastes. A gaseous effluent (CO 2 and CH 4 ) is vented in the process, and a liquid ffluent containing undigested solids is filtered to remove solids, which are buried. The liquid is discharged to the low-level liquid waste system at ORNL. Overall volume reduction of solid waste by this process is estimated to be approximately 20:1. Costs appear to be comparable to costs for compaction. The process design is conservative, and several potential improvements which could increase efficiency are discussed in this report

  12. Direct Electroplating on Highly Doped Patterned Silicon Wafers

    NARCIS (Netherlands)

    Vargas Llona, Laura Dolores; Jansen, Henricus V.; Elwenspoek, Michael Curt

    Nickel thin films have been electrodeposited directly on highly doped silicon wafers after removal of the native oxide layer. These substrates conduct sufficiently well to allow deposition using a periferical electrical contact on the wafer. Films 2 μm thick were deposited using a nickel sulfamate

  13. Integrating conservation costs into sea level rise adaptive conservation prioritization

    Directory of Open Access Journals (Sweden)

    Mingjian Zhu

    2015-07-01

    Full Text Available Biodiversity conservation requires strategic investment as resources for conservation are often limited. As sea level rises, it is important and necessary to consider both sea level rise and costs in conservation decision making. In this study, we consider costs of conservation in an integrated modeling process that incorporates a geomorphological model (SLAMM, species habitat models, and conservation prioritization (Zonation to identify conservation priorities in the face of landscape dynamics due to sea level rise in the Matanzas River basin of northeast Florida. Compared to conservation priorities that do not consider land costs in the analysis process, conservation priorities that consider costs in the planning process change significantly. The comparison demonstrates that some areas with high conservation values might be identified as lower priorities when integrating economic costs in the planning process and some areas with low conservation values might be identified as high priorities when considering costs in the planning process. This research could help coastal resources managers make informed decisions about where and how to allocate conservation resources more wisely to facilitate biodiversity adaptation to sea level rise.

  14. Multifunctional medicated lyophilised wafer dressing for effective chronic wound healing.

    Science.gov (United States)

    Pawar, Harshavardhan V; Boateng, Joshua S; Ayensu, Isaac; Tetteh, John

    2014-06-01

    Wafers combining weight ratios of Polyox with carrageenan (75/25) or sodium alginate (50/50) containing streptomycin and diclofenac were prepared to improve chronic wound healing. Gels were freeze-dried using a lyophilisation cycle incorporating an annealing step. Wafers were characterised for morphology, mechanical and in vitro functional (swelling, adhesion, drug release in the presence of simulated wound fluid) characteristics. Both blank (BLK) and drug-loaded (DL) wafers were soft, flexible, elegant in appearance and non-brittle in nature. Annealing helped to improve porous nature of wafers but was affected by the addition of drugs. Mechanical characterisation demonstrated that the wafers were strong enough to withstand normal stresses but also flexible to prevent damage to newly formed skin tissue. Differences in swelling, adhesion and drug release characteristics could be attributed to differences in pore size and sodium sulphate formed because of the salt forms of the two drugs. BLK wafers showed relatively higher swelling and adhesion than DL wafers with the latter showing controlled release of streptomycin and diclofenac. The optimised dressing has the potential to reduce bacterial infection and can also help to reduce swelling and pain associated with injury due to the anti-inflammatory action of diclofenac and help to achieve more rapid wound healing. © 2014 Wiley Periodicals, Inc. and the American Pharmacists Association.

  15. MANAGEMENT OF INNOVATION IN HEALTH CARE AT THE REGIONAL LEVEL

    Directory of Open Access Journals (Sweden)

    Ye. G. Totskaya

    2014-01-01

    Full Text Available The paper reviews topical issues of organization and management of innovative activity in the regional health care system.Objective. Development and scientific substantiation of a conceptual model of managing innovation in the regional health care system, introduction of institutional mechanisms for its implementation, and evaluation of their efficacy in using diagnosis and treatment technologies. Objectives of the study included reviewing the organization status and problems hampering the development, identification of prospects, and justification for appropriate changes in innovation in healthcare system and medical science at the regional level.Material and methods. To conduct a comprehensive assessment of the status and meet challenges of innovation promotion, a methodology for social-hygienic research was worked out including bibliographic and analytical methods, situational analysis, sociological and economic methods, expert assessment, methods for quality management system audit in accordance with ISO 19011:2002, IDEFO function modeling (RD IDEF0-2000, and organizational modeling. The study was based on the analysis of foreign and domestic literature, statistics, methods for managerial modeling, as well as management experience (including innovative methodological approaches gained by Novosibirsk Research Institute of Traumatology and Orthopedics named after Ya.L. Tsivyan which meets the requirements for a platform for research and innovative product reproduction, including research, clinical, organizational, and managerial aspects. Other facilities were considered in conjunction with the leading innovative platform.Results. The paper presents a scientifically based model of innovative medical environment with its elements as subjects, each with a set of functions. Conceptual model for management includes structuring (resource, processes, and quality management; application of international standards and strategic management mechanisms

  16. Comparison of low-cost and engineered materials for phosphorus removal from organic-rich surface water.

    Science.gov (United States)

    Boyer, Treavor H; Persaud, Amar; Banerjee, Poulomi; Palomino, Pedro

    2011-10-15

    Excess phosphorus (P) in lakes and rivers remains a major water quality problem on a global scale. As a result, new materials and innovative approaches to P remediation are required. Natural materials and waste byproduct materials from industrial processes have the potential to be effective materials for P removal from surface water. Advantages of natural and waste byproduct materials include their low-cost, abundant supply, and minimal preparation, especially compared with engineered materials, such as ion exchange resins and polymeric adsorbents. As a result, natural and waste byproduct materials are commonly referred to as low-cost materials. Despite the potential advantages of low-cost materials, there are critical gaps in knowledge that are preventing their effective use. In particular, there are limited data on the performance of low-cost materials in surface waters that have high concentrations of natural organic matter (NOM), and there are no systematic studies that track the changes in water chemistry following treatment with low-cost materials or compare their performance with engineered materials. Accordingly, the goal of this work was to evaluate and compare the effectiveness of low-cost and engineered materials for P removal from NOM-rich surface water. Seven low-cost materials and three engineered materials were evaluated using jar tests and mini-column experiments. The test water was a surface water that had a total P concentration of 132-250 μg P/L and a total organic carbon concentration of 15-32 mg C/L. Alum sludge, a byproduct of drinking water treatment, and a hybrid anion exchange resin loaded with nanosize iron oxide were the best performing materials in terms of selective P removal in the presence of NOM and minimum undesirable secondary changes to the water chemistry. Copyright © 2011 Elsevier Ltd. All rights reserved.

  17. Radiation hard pixel sensors using high-resistive wafers in a 150 nm CMOS processing line

    Science.gov (United States)

    Pohl, D.-L.; Hemperek, T.; Caicedo, I.; Gonella, L.; Hügging, F.; Janssen, J.; Krüger, H.; Macchiolo, A.; Owtscharenko, N.; Vigani, L.; Wermes, N.

    2017-06-01

    Pixel sensors using 8'' CMOS processing technology have been designed and characterized offering the benefits of industrial sensor fabrication, including large wafers, high throughput and yield, as well as low cost. The pixel sensors are produced using a 150 nm CMOS technology offered by LFoundry in Avezzano. The technology provides multiple metal and polysilicon layers, as well as metal-insulator-metal capacitors that can be employed for AC-coupling and redistribution layers. Several prototypes were fabricated and are characterized with minimum ionizing particles before and after irradiation to fluences up to 1.1 × 1015 neq cm-2. The CMOS-fabricated sensors perform equally well as standard pixel sensors in terms of noise and hit detection efficiency. AC-coupled sensors even reach 100% hit efficiency in a 3.2 GeV electron beam before irradiation.

  18. A low-level needle counter

    International Nuclear Information System (INIS)

    Fujita, Y.; Taguchi, Y.; Imamura, M.; Inoue, T.; Tanaka, S.

    1977-01-01

    A small end-window type gas-flow counter which has a sharpened needle (anode) against the end-window plane (cathode) was developed for low-level counting of β particles to the amount of less than one count per hour in solid sources of relatively high specific activity. The advantage of the needle counter for low-level work is that being of a conical shape the active volume as against the window area is small. The background count rate of 0.0092+-0.0005 cpm was obtained for a 10 mm dia needle counter operating in GM mode and in anticoincidence with a well-type NaI(Tl) guard crystal with massive shields. The counter design and the counter characteristics are presented in detail. The needle counter is simple in design, low-cost and stable in long time operation. (author)

  19. Modular and cost-conscious innovation for the future; Innovation - in Zukunft modular und kostenbewusst

    Energy Technology Data Exchange (ETDEWEB)

    Gutzmer, Peter [Schaeffler AG, Herzogenaurach (Germany). Forschung und Entwicklung

    2013-08-01

    In its 125-year history, the automobile industry has repeatedly faced major challenges and new beginnings - and it continues to do so today. There are many questions that have to be answered, such as how products can be developed efficiently, how they can be manufactured in a cost-effective way, and what products are right for each market. The increasing complexity of the products and of their development requires a new kind of collaboration between manufacturers, suppliers, and engineering service providers. Only in this way will it be possible to turn ideas quickly, effectively, and efficiently into innovations on the market. This process begins with the identification of future demands and requirements based on technological trends, markets, social developments, and legislation. Finding the 'right' innovations for these requirements and successfully putting them into volume production means that standardized procedures have to be adopted at a very early stage. At the same time, this standardization in development also provides a basis for quality in production. The global distribution and networking of the knowledge that is generated in the development process is a topic that is becoming more and more significant. It is also necessary to bring together different people and different cultures successfully. Ultimately, all of these activities go into creating innovations and successful products. (orig.)

  20. Trace analysis for 300 MM wafers and processes with TXRF

    International Nuclear Information System (INIS)

    Nutsch, A.; Erdmann, V.; Zielonka, G.; Pfitzner, L.; Ryssel, H.

    2000-01-01

    Efficient fabrication of semiconductor devices is combined with an increasing size of silicon wafers. The contamination level of processes, media, and equipment has to decrease continuously. A new test laboratory for 300 mm was installed in view of the above mentioned aspects. Aside of numerous processing tools this platform consist electrical test methods, particle detection, vapor phase decomposition (VPD) preparation, and TXRF. The equipment is installed in a cleanroom. It is common to perform process or equipment control, development, evaluation and qualification with monitor wafers. The evaluation and the qualification of 300 mm equipment require direct TXRF on 300 mm wafers. A new TXRF setup was installed due to the wafer size of 300 mm. The 300 mm TXRF is equipped with tungsten and molybdenum anode. This combination allows a sensitive detection of elements with fluorescence energy below 10 keV for tungsten excitation. The molybdenum excitation enables the detection of a wide variety of elements. The detection sensitivity for the tungsten anode excited samples is ten times higher than for molybdenum anode measured samples. The system is calibrated with 1 ng Ni. This calibration shows a stability within 5 % when monitored to control system stability. Decreasing the amount of Ni linear results in a linear decrease of the measured Ni signal. This result is verified for a range of elements by multielement samples. New designs demand new processes and materials, e.g. ferroelectric layers and copper. The trace analysis of many of these materials is supported by the higher excitation energy of the molybdenum anode. Reclaim and recycling of 300 mm wafers demand for an accurate contamination control of the processes to avoid cross contamination. Polishing or etching result in modified surfaces. TXRF as a non-destructive test method allows the simultaneously detection of a variety of elements on differing surfaces in view of contamination control and process

  1. Plasma-Induced Wafer-Scale Self-Assembly of Silver Nanoparticles and Application to Biochemical Sensing

    Directory of Open Access Journals (Sweden)

    Yunbo Shi

    2015-06-01

    Full Text Available In this work, the wafer-scale silver nanoparticles fabricated by a self-assembly method was demonstrated based on a magnetron sputtering and plasma treatment process. Silver nanoparticles of different sizes and shapes were prepared, and the effects of the plasma treatment time, plasma gas composition, and power were systematically investigated to develop a method for low-cost and large-scale fabrication of silver nanoparticles. Furthermore, the surface-enhanced Raman scattering experiments: crystal violet, as the probe, was absorbed on the silver nanoparticles film of different size and density, and get the phenomena of surface-enhanced Raman scattering and surface-enhanced fluorescence. The results show that the proposed technique provides a rapid method for the fabrication of silver nanomaterial; the method is adaptable to large-scale production and is compatible with the fabrication of other materials and biosensors.

  2. Wafer Cakes of Improved Amino Acid Structure

    Directory of Open Access Journals (Sweden)

    Roksolana Boidunyk

    2017-11-01

    Full Text Available The article presents the results of the study of the amino acid composition of newly developed wafer cakes with adipose fillings combined with natural additives. The appropriateness of the using non-traditional raw materials (powder of willow herb, poppy oilcake, carob, as well as skimmed milk powder in order to increase the biological value of wafer cakes and improve their amino acid composition is proven.

  3. Indonesian Fintech Business: New Innovations or Foster and Collaborate in Business Ecosystems?

    OpenAIRE

    Teja, Adrian

    2017-01-01

    Abstract. There are many innovative products fail to reach minimum critical mass adopter and cease to exist. New financial technology products are not an exception because the current financial technology to facilitate transactions, whether payment, investment, and insurance still function remarkably well. Since new financial technology products have features to better serve low to middle-level customers in the form of higher convenience level and lower costs than the current financial techno...

  4. Experimental Implementation of a Low-Cost Single Phase Five-Level Inverter for Autonomous PV System Applications Without Batteries

    OpenAIRE

    Nouaiti, Ayoub; Saad, Abdallah; Mesbahi, Abdelouahed; Khafallah, Mohamed

    2018-01-01

    This paper presents the design and the implementation of a low-cost single phase five-level inverter for photovoltaic applications. The proposed multilevel inverter is composed of a simple boost converter, a switched-capacitor converter, and an H-bridge converter. An efficient control method which associates a closed-loop regulation method with a simple maximum power point tracking (MPPT) method is applied in order to allow the proposed multilevel inverter to transfer power energy from solar ...

  5. Norwich Technologies' Advanced Low-Cost Receivers for Parabolic Troughs

    Energy Technology Data Exchange (ETDEWEB)

    Stettenheim, Joel [Norwich Technologies, White River Junction, VT (United States); McBride, Troy O. [Norwich Technologies, White River Junction, VT (United States); Brambles, Oliver J. [Norwich Technologies, White River Junction, VT (United States); Cashin, Emil A. [Norwich Technologies, White River Junction, VT (United States)

    2013-12-31

    This report summarizes the successful results of our SunShot project, Advanced Low-Cost Receivers for Parabolic Troughs. With a limited budget of $252K and in only 12 months, we have (1) developed validated optical and thermal models and completed rigorous optimization analysis to identify key performance characteristics as part of developing first-generation laboratory prototype designs, (2) built optical and thermal laboratory prototypes and test systems with associated innovative testing protocols, and (3) performed extensive statistically relevant testing. We have produced fully functioning optical and thermal prototypes and accurate, validated models shown to capture important underlying physical mechanisms. The test results from the first-generation prototype establish performance exceeding the FOA requirement of thermal efficiency >90% for a CSP receiver while delivering an exit fluid temperature of > 650 °C and a cost < $150/kWth. Our vacuum-free SunTrap receiver design provides improvements over conventional vacuum-tube collectors, allowing dramatic reductions in thermal losses at high operating temperature.

  6. Si-to-Si wafer bonding using evaporated glass

    DEFF Research Database (Denmark)

    Reus, Roger De; Lindahl, M.

    1997-01-01

    Anodic bonding of Si to Si four inch wafers using evaporated glass was performed in air at temperatures ranging from 300°C to 450°C. Although annealing of Si/glass structures around 340°C for 15 minutes eliminates stress, the bonded wafer pairs exhibit compressive stress. Pull testing revealed...

  7. Summary of innovation models on a company level – creating a framework for an innovation model that will increase a company’s innovation activity

    OpenAIRE

    Stefanovska Ceravolo, Ljubica; Polenakovik, Radmil; Dzidrov, Misko

    2016-01-01

    There are six known and generally accepted generations of innovation models. Innovation models transform from simple, linear models, to integrated and networking models that are dynamic and interactive. Each generation of innovation models is presented in this paper with their characteristics as well as drawbacks. The main goal of this paper is to show the transformation path of innovation models and create a framework for a new innovation model on a company level, that could be used by compa...

  8. China's wind industry: Leading in deployment, lagging in innovation

    International Nuclear Information System (INIS)

    Lam, Long T.; Branstetter, Lee; Azevedo, Inês M.L.

    2017-01-01

    China's massive carbon emissions and air pollution concerns have led its government to embrace clean energy innovation as a means of transitioning to a more sustainable energy system. We address the question of whether China's wind industry has become an important source of clean energy technology innovation. We find that in terms of wind capacity expansion, China has delivered enormous progress, increasing its wind capacity from virtually no wind capacity in the early 2000s to 140 GW by 2015. However, in terms of innovation and cost competitiveness, the outcomes were more limited: Chinese wind turbine manufacturers have secured few international patents and achieved moderate learning rates compared to the global industry's historical learning rate. Leading China-based indigenous producers are likely to remain important global players for the foreseeable future, but further progress in reducing the cost of capital equipment may slow relative to the recent past. However, opportunities in lowering curtailment rates and improving turbine quality can reduce China's overall levelized cost of electricity for wind. - Highlights: • Analyze wind power patenting trends of Chinese inventors and firms. • Many Chinese patents, though few were granted by foreign patent offices. • Chinese international patents are less likely to be cited than foreign counterparts. • China's wind industry managed to reduce production costs, but learning rate is low.

  9. The composite indicators used in assessing innovation at national level

    Directory of Open Access Journals (Sweden)

    Daniela HINCU

    2010-12-01

    Full Text Available The purpose of present paper is to present some recent developments in constructing composite innovation (or even, science and technology S&T indicators on a national level. Measuring innovation at the national level is crucial in developing appropriate long term strategies for economic growth, because it is widely believed technological innovation is one of the main drivers of sustained economic-social welfare, if not the single most important driver of economic growth. Our purpose is to present a mapping exercise of metrics – based on composite indicators - found in the STI literature, pointing out those used in practice, with a view to corresponding values in the case of Romania. It has become standard practice to combine several indicators for science, technology, and innovation to form composite numbers. Composite indicators are increasingly being used to make cross-national comparisons of country performance in specified areas such as competitiveness, globalisation, innovation, etc.

  10. Specific Features of Diagnostics of Efficiency of Management of Innovation Risks at Enterprises of the Baking Industry (Cost-is-no-object Approach

    Directory of Open Access Journals (Sweden)

    Bilynska Juliana V.

    2014-03-01

    Full Text Available The article analyses diagnostics of efficiency of management of innovation risks through cost optimisation. Management of costs on innovation grounds is carried out with the aim of their reduction. In order to realise measures on reduction of influence of innovation risks in the system of cost management the article specifies the most important factors and builds multi-factor models. The process of study of influence of the innovation risk upon the cost value of the sold products of bakeries is presented in the form of a scheme of logically united stages. The article takes into account all restrictions and requirements, eliminates multi-collinearity and uses MS Excel Regression analysis for modelling dynamic multi-factor models of cost value of sold products of the studied bakeries. In the result of the study the article obtains main factors that would be used for forecasting tendencies of development of the studied enterprises, development of the decision making system and improvement of methodical provision of innovation risk management.

  11. Precision Monitoring of Water Level in a Salt Marsh with Low Cost Tilt Loggers

    Science.gov (United States)

    Sheremet, Vitalii A.; Mora, Jordan W.

    2016-04-01

    Several salt pannes and pools in the Sage Lot tidal marsh of Waquoit Bay system, MA were instrumented with newly developed Arm-and-Float water level gauges (utilizing accelerometer tilt logger) permitting to record water level fluctuations with accuracy of 1 mm and submillimeter resolution. The methodology of the instrument calibration, deployment, and elevation control are described. The instrument performance was evaluated. Several month long deployments allowed us to analyze the marsh flooding and draining processes, study differences among the salt pannes. The open channel flow flooding-draining mechanism and slower seepage were distinguished. From the drain curve the seepage rate can be quantified. The seepage rate remains approximately constant for all flooding draining episodes, but varies from panne to panne depending on bottom type and location. Seasonal differences due to the growth of vegetation are also recorded. The analysis of rain events allows us to estimate the catch area of subbasins in the marsh. The implication for marsh ecology and marsh accretion are discussed. The gradual sea level rise coupled with monthly tidal datum variability and storm surges result in migration and development of a salt marsh. The newly developed low cost instrumentation allows us to record and analyze these changes and may provide guidance for the ecological management.

  12. HIT Solar Cells with N-Type Low-Cost Metallurgical Si

    Directory of Open Access Journals (Sweden)

    Xing Yang

    2018-01-01

    Full Text Available A conversion efficiency of 20.23% of heterojunction with intrinsic thin layer (HIT solar cell on 156 mm × 156 mm metallurgical Si wafer has been obtained. Applying AFORS-HET software simulation, HIT solar cell with metallurgical Si was investigated with regard to impurity concentration, compensation level, and their impacts on cell performance. It is known that a small amount of impurity in metallurgical Si materials is not harmful to solar cell properties.

  13. Cost of Mastitis in Scottish Dairy Herds with Low and High Subclinical Mastitis Problems

    OpenAIRE

    YALÇIN, Cengiz

    2000-01-01

    The aim of this study was to estimate the cost of mastitis and the contribution of each cost component of mastitis to the total mastitis induced cost in herds with low and high levels of subclinical mastitis under Scottish field conditions. It was estimated that mastitis cost £140 per cow/year to the average Scottish dairy farmer in 1996. However, this figure was as low as £69 per cow/year in herds with lower levels of subclinical mastitis, and as high as £228 cow/year in herds with high s...

  14. Low-temperature Au/a-Si wafer bonding

    International Nuclear Information System (INIS)

    Jing, Errong; Xiong, Bin; Wang, Yuelin

    2011-01-01

    The Si/SiO 2 /Ti/Au–Au/Ti/a-Si/SiO 2 /Si bonding structure, which can also be used for the bonding of non-silicon material, was investigated for the first time in this paper. The bond quality test showed that the bond yield, bond repeatability and average shear strength are higher for this bonding structure. The interfacial microstructure analysis indicated that the Au-induced crystallization of the amorphous silicon process leads to big Si grains extending across the bond interface and Au filling the other regions of the bond interface, which result into a strong and void-free bond interface. In addition, the Au-induced crystallization reaction leads to a change in the IR images of the bond interface. Therefore, the IR microscope can be used to evaluate and compare the different bond strengths qualitatively. Furthermore, in order to verify the superiority of the bonding structure, the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si (i.e. no Ti/Au layer on the a-Si surface) and Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structures (i.e. Au thermocompression bonding) were also investigated. For the Si/SiO 2 /Ti/Au–a-Si/SiO 2 /Si bonding structure, the poor bond quality is due to the native oxide layer on the a-Si surface, and for the Si/SiO 2 /Ti/Au–Au/Ti/SiO 2 /Si bonding structure, the poor bond quality is caused by the wafer surface roughness which prevents intimate contact and limits the interdiffusion at the bond interface.

  15. A low-carbon future: Spatial planning's role in enhancing technological innovation in the built environment

    International Nuclear Information System (INIS)

    Crawford, Jenny; French, Will

    2008-01-01

    The scope of spatial planning activity includes issues of governance, corporate organisation, policy integration, statutory and regulatory frameworks, and technical analysis and design. The nature of its potential contribution to achieving low-carbon built environments will vary according to the resolution of tensions between pressures for leadership, consistent decision making and speed of change and the value placed on diversity, flexibility and innovation. A planning system that can support technological innovation will be characterised by high levels of organisational and institutional capacity and high-quality knowledge systems that support a focus on delivering place-based objectives. The paper reflects on further aspects of such a system and the issues that spatial planning needs to address in delivering low-carbon energy systems

  16. Lamb wave propagation in monocrystalline silicon wafers

    OpenAIRE

    Fromme, P.; Pizzolato, M.; Robyr, J-L; Masserey, B.

    2018-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. Guided ultrasonic waves offer the potential to efficiently detect micro-cracks in the thin wafers. Previous studies of ultrasonic wave propagation in silicon focused on effects of material anisotropy on bulk ultrasonic waves, but the dependence of the wave propagation characteristics on the material anisotropy is not well understood for Lamb waves. The phase slowness a...

  17. Characterizing SOI Wafers By Use Of AOTF-PHI

    Science.gov (United States)

    Cheng, Li-Jen; Li, Guann-Pyng; Zang, Deyu

    1995-01-01

    Developmental nondestructive method of characterizing layers of silicon-on-insulator (SOI) wafer involves combination of polarimetric hyperspectral imaging by use of acousto-optical tunable filters (AOTF-PHI) and computational resources for extracting pertinent data on SOI wafers from polarimetric hyperspectral images. Offers high spectral resolution and both ease and rapidity of optical-wavelength tuning. Further efforts to implement all of processing of polarimetric spectral image data in special-purpose hardware for sake of procesing speed. Enables characterization of SOI wafers in real time for online monitoring and adjustment of production. Also accelerates application of AOTF-PHI to other applications in which need for high-resolution spectral imaging, both with and without polarimetry.

  18. Value of innovation in hematologic malignancies: a systematic review of published cost-effectiveness analyses.

    Science.gov (United States)

    Saret, Cayla J; Winn, Aaron N; Shah, Gunjan; Parsons, Susan K; Lin, Pei-Jung; Cohen, Joshua T; Neumann, Peter J

    2015-03-19

    We analyzed cost-effectiveness studies related to hematologic malignancies from the Tufts Medical Center Cost-Effectiveness Analysis Registry (www.cearegistry.org), focusing on studies of innovative therapies. Studies that met inclusion criteria were categorized by 4 cancer types (chronic myeloid leukemia, chronic lymphocytic leukemia, non-Hodgkin lymphoma, and multiple myeloma) and 9 treatment agents (interferon-α, alemtuzumab, bendamustine, bortezomib, dasatinib, imatinib, lenalidomide, rituximab alone or in combination, and thalidomide). We examined study characteristics and stratified cost-effectiveness ratios by type of cancer, treatment, funder, and year of study publication. Twenty-nine studies published in the years 1996-2012 (including 44 cost-effectiveness ratios) met inclusion criteria, 22 (76%) of which were industry funded. Most ratios fell below $50,000 per quality-adjusted life-years (QALY) (73%) and $100,000/QALY (86%). Industry-funded studies (n = 22) reported a lower median ratio ($26,000/QALY) than others (n = 7; $33,000/QALY), although the difference was not statistically significant. Published data suggest that innovative treatments for hematologic malignancies may provide reasonable value for money. © 2015 by The American Society of Hematology.

  19. Illinois perspective on low level radioactive waste disposal

    International Nuclear Information System (INIS)

    Etchison, D.

    1984-01-01

    Illinois is a big generator of low level radioactive waste. It has had extensive experience with controversial waste disposal and storage facilities. This experience makes it difficult for the public and political leaders in Illinois to support the establishment of new disposal facilities in the state. Yet, with extensive debates and discussions concerning the Low Level Waste Policy Act of 1980 and the proposed Midwest Compact, political leaders and the public are facing up to the fact that they must be responsible for the disposal of the low level radioactive waste generated in the state. The Governor and many political leaders from Illinois support the regional approach and believe it can be an innovative and progressive way for the state to deal with the range of low level waste management and disposal problems. A version of the Midwest Interstate Low Level Waste Compact has become Illinois law, but it has significant differences from the one adopted by five other states. Like other states in the midwest and northeast, Illinois is opposed to Congressional consent of the four pending compacts before the remaining two compacts, the northeast and midwest are sent to Washington and interregional agreements are negotiated between the sited and non-sited regions. A new national system must be established before access to existing commercial disposal becomes restricted

  20. Low-level waste disposal technology

    International Nuclear Information System (INIS)

    Levin, G.B.

    1983-01-01

    A design has been proposed for a low-level radioactive waste disposal site that should provide the desired isolation under all foreseeable conditions. Although slightly more costly than current practices; this design provides additional reliability. This reliability is desirable to contribute to the closure of the fuel cycle and to demonstrate the responsible management of the uranium cycle by reestablishing confidence in the system

  1. Low cost solar air heater

    International Nuclear Information System (INIS)

    Gill, R.S.; Singh, Sukhmeet; Singh, Parm Pal

    2012-01-01

    Highlights: ► Single glazed low cost solar air heater is more efficient during summer while double glazed is better in winter. ► For the same initial investment, low cost solar air heaters collect more energy than packed bed solar air heater. ► During off season low cost solar air heater can be stored inside as it is light in weight. - Abstract: Two low cost solar air heaters viz. single glazed and double glazed were designed, fabricated and tested. Thermocole, ultraviolet stabilised plastic sheet, etc. were used for fabrication to reduce the fabrication cost. These were tested simultaneously at no load and with load both in summer and winter seasons along with packed bed solar air heater using iron chips for absorption of radiation. The initial costs of single glazed and double glazed are 22.8% and 26.8% of the initial cost of packed bed solar air heater of the same aperture area. It was found that on a given day at no load, the maximum stagnation temperatures of single glazed and double glazed solar air heater were 43.5 °C and 62.5 °C respectively. The efficiencies of single glazed, double glazed and packed bed solar air heaters corresponding to flow rate of 0.02 m 3 /s-m 2 were 30.29%, 45.05% and 71.68% respectively in winter season. The collector efficiency factor, heat removal factor based on air outlet temperature and air inlet temperature for three solar air heaters were also determined.

  2. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers.

    Science.gov (United States)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K(alpha)0.28 keV and Al K(alpha)1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K(alpha) (approximately 6 nm rms) is significantly larger than approximately 1 nm at Al K(alpha). This can be explained by different coherent lengths at two energies.

  3. Evaluation of the soft x-ray reflectivity of micropore optics using anisotropic wet etching of silicon wafers

    Energy Technology Data Exchange (ETDEWEB)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Koshiishi, Masaki; Mita, Makoto; Maeda, Yoshitomo; Yamasaki, Noriko Y.; Mitsuda, Kazuhisa; Shirata, Takayuki; Hayashi, Takayuki; Takano, Takayuki; Maeda, Ryutaro

    2010-02-20

    The x-ray reflectivity of an ultralightweight and low-cost x-ray optic using anisotropic wet etching of Si (110) wafers is evaluated at two energies, C K{alpha}0.28 keV and Al K{alpha}1.49 keV. The obtained reflectivities at both energies are not represented by a simple planar mirror model considering surface roughness. Hence, an geometrical occultation effect due to step structures upon the etched mirror surface is taken into account. Then, the reflectivities are represented by the theoretical model. The estimated surface roughness at C K{alpha} ({approx}6 nm rms) is significantly larger than {approx}1 nm at Al K{alpha}. This can be explained by different coherent lengths at two energies.

  4. I-line stepper based overlay evaluation method for wafer bonding applications

    Science.gov (United States)

    Kulse, P.; Sasai, K.; Schulz, K.; Wietstruck, M.

    2018-03-01

    In the last decades the semiconductor technology has been driven by Moore's law leading to high performance CMOS technologies with feature sizes of less than 10 nm [1]. It has been pointed out that not only scaling but also the integration of novel components and technology modules into CMOS/BiCMOS technologies is becoming more attractive to realize smart and miniaturized systems [2]. Driven by new applications in the area of communication, health and automation, new components and technology modules such as BiCMOS embedded RF-MEMS, high-Q passives, Sibased microfluidics and InP-SiGe BiCMOS heterointegration have been demonstrated [3-6]. In contrast to standard VLSI processes fabricated on front side of the silicon wafer, these new technology modules additionally require to process the backside of the wafer; thus require an accurate alignment between the front and backside of the wafer. In previous work an advanced back to front side alignment technique and implementation into IHP's 0.25/0.13 µm high performance SiGe:C BiCMOS backside process module has been presented [7]. The developed technique enables a high resolution and accurate lithography on the backside of BiCMOS wafer for additional backside processing. In addition to the aforementioned back side process technologies, new applications like Through-Silicon Vias (TSV) for interposers and advanced substrate technologies for 3D heterogeneous integration demand not only single wafer fabrication but also processing of wafer stacks provided by temporary and permanent wafer bonding [8-9]. In this work, the non-contact infrared alignment system of the Nikon® i-line Stepper NSR-SF150 for both alignment and the overlay determination of bonded wafer stacks with embedded alignment marks are used to achieve an accurate alignment between the different wafer sides. The embedded field image alignment (FIA) marks of the interface and the device wafer top layer are measured in a single measurement job. By taking the

  5. High quality single atomic layer deposition of hexagonal boron nitride on single crystalline Rh(111) four-inch wafers

    Energy Technology Data Exchange (ETDEWEB)

    Hemmi, A.; Bernard, C.; Cun, H.; Roth, S.; Klöckner, M.; Kälin, T.; Osterwalder, J.; Greber, T., E-mail: greber@physik.uzh.ch [Physik-Institut, Universität Zürich, CH-8057 Zürich (Switzerland); Weinl, M.; Gsell, S.; Schreck, M. [Institut für Physik, Universität Augsburg, D-86135 Augsburg (Germany)

    2014-03-15

    The setup of an apparatus for chemical vapor deposition (CVD) of hexagonal boron nitride (h-BN) and its characterization on four-inch wafers in ultra high vacuum (UHV) environment is reported. It provides well-controlled preparation conditions, such as oxygen and argon plasma assisted cleaning and high temperature annealing. In situ characterization of a wafer is accomplished with target current spectroscopy. A piezo motor driven x-y stage allows measurements with a step size of 1 nm on the complete wafer. To benchmark the system performance, we investigated the growth of single layer h-BN on epitaxial Rh(111) thin films. A thorough analysis of the wafer was performed after cutting in atmosphere by low energy electron diffraction, scanning tunneling microscopy, and ultraviolet and X-ray photoelectron spectroscopies. The apparatus is located in a clean room environment and delivers high quality single layers of h-BN and thus grants access to large area UHV processed surfaces, which had been hitherto restricted to expensive, small area single crystal substrates. The facility is versatile enough for customization to other UHV-CVD processes, e.g., graphene on four-inch wafers.

  6. Making Porous Luminescent Regions In Silicon Wafers

    Science.gov (United States)

    Fathauer, Robert W.; Jones, Eric W.

    1994-01-01

    Regions damaged by ion implantation stain-etched. Porous regions within single-crystal silicon wafers fabricated by straightforward stain-etching process. Regions exhibit visible photoluminescence at room temperature and might constitute basis of novel class of optoelectronic devices. Stain-etching process has advantages over recently investigated anodic-etching process. Process works on both n-doped and p-doped silicon wafers. Related development reported in article, "Porous Si(x)Ge(1-x) Layers Within Single Crystals of Si," (NPO-18836).

  7. Measuring and enabling learning behavior in product innovation processes

    NARCIS (Netherlands)

    Gieskes, J.F.B.; van der Heijden, Beatrice

    2004-01-01

    It is generally acknowledged that innovation is one of the most important predictors of firm success or failure. Successful innovation processes require creating new organizational capabilities to handle the external pressure for new products and processes (fast, good and at low costs), and the

  8. Packaged low-level waste verification system

    International Nuclear Information System (INIS)

    Tuite, K.T.; Winberg, M.; Flores, A.Y.; Killian, E.W.; McIsaac, C.V.

    1996-01-01

    Currently, states and low-level radioactive waste (LLW) disposal site operators have no method of independently verifying the radionuclide content of packaged LLW that arrive at disposal sites for disposal. At this time, disposal sites rely on LLW generator shipping manifests and accompanying records to insure that LLW received meets the waste acceptance criteria. An independent verification system would provide a method of checking generator LLW characterization methods and help ensure that LLW disposed of at disposal facilities meets requirements. The Mobile Low-Level Waste Verification System (MLLWVS) provides the equipment, software, and methods to enable the independent verification of LLW shipping records to insure that disposal site waste acceptance criteria are being met. The MLLWVS system was developed under a cost share subcontract between WMG, Inc., and Lockheed Martin Idaho Technologies through the Department of Energy's National Low-Level Waste Management Program at the Idaho National Engineering Laboratory (INEL)

  9. Energy at the Frontier: Low Carbon Energy System Transitions and Innovation in Four Prime Mover Countries

    Science.gov (United States)

    Araujo, Kathleen M.

    All too often, discussion about the imperative to change national energy pathways revolves around long timescales and least cost economics of near-term energy alternatives. While both elements certainly matter, they don't fully reflect what can drive such development trajectories. This study explores national energy transitions by examining ways in which four prime mover countries of low carbon energy technology shifted away from fossil fuels, following the first global oil crisis of 1973. The research analyzes the role of readiness, sectoral contributions and adaptive policy in the scale-up and innovations of advanced, alternative energy technologies. Cases of Brazilian biofuels, Danish wind power, French nuclear power and Icelandic geothermal energy are analyzed for a period of four decades. Fundamentally, the research finds that significant change can occur in under 15 years; that technology complexity need not necessarily impede change; and that countries of different governance approaches and consumption levels can effectuate such transitions. This research also underscores that low carbon energy technologies may be adopted before they are competitive and then become competitive in the process. (Copies available exclusively from MIT Libraries, libraries.mit.edu/docs - docs mit.edu)

  10. Analysis on Occupants’ Satisfaction for Safety Performance Assessment in Low Cost Housing

    Directory of Open Access Journals (Sweden)

    Husin Husrul Nizam

    2014-01-01

    Full Text Available The delivery performance of the low cost housing is questioned since the occupants are prone towards safety hazards in the housing complex, such as structural instability and falling building fragments. Without defining the occupants’ requirements for the development of low cost housing, the prevailing safety factors are hard to be determined. This paper explores the rationale of safety performance assessment in the low cost housing by considering the occupants’ participation to achieve a better safety provision during occupancy period. Questionnaire survey was distributed to 380 occupants of the low cost housing in Kuala Lumpur and Selangor, Malaysia. The result shows that 80.8% of the respondents had expressed their dissatisfaction with the safety performance of the lift. By referring to the mode of ranking level, the most significant aspect rated by the respondents is Building Safety Features, with 51.6% respondents. The attained aspects can be fundamental parameters which can be considered in the future development of low cost housing.

  11. Use of low density lipoprotein particle number levels as an aid in statin treatment decisions for intermediate risk patients: a cost-effectiveness analysis.

    Science.gov (United States)

    Shiffman, Dov; Arellano, Andre R; Caulfield, Michael P; Louie, Judy Z; Bare, Lance A; Devlin, James J; Melander, Olle

    2016-12-07

    The 2013 ACC/AHA guideline recommended either no statin therapy or moderate-intensity statin therapy (MST) for intermediate risk patients-those with 5-7.5% 10-year risk and without cardiovascular disease (CVD), hypercholesterolemia or diabetes. The guideline further suggested that the therapy choice be based on patient-clinician discussions of risks and benefits. Since low-density lipoprotein particle (LDL-P) levels were reported to be associated with CVD independently of traditional risk factors in intermediate and low risk patients, we investigated the cost-effectiveness of using LDL-P levels to identify intermediate risk patients likely to benefit from initiating or intensifying statin therapy. We evaluated 5 care strategies for intermediate risk patients. These included the strategies suggested by the guideline: no-statin therapy and MST. We compared each of these strategies to a related strategy that incorporated LDL-P testing. No-statin therapy was compared with the strategy of MST for those with high LDL-P levels and no statin therapy for all other patients (test-and-MST). MST was compared with the strategy of high-intensity statin therapy (HST) for those with high LDL-P levels and MST for all other patients (test-and-HST). We also evaluated the strategy of HST for all. Costs (payer perspective) and utilities were assessed over a 5-year time horizon in a Markov model of 100,000 hypothetical intermediate risk patients. HST dominated all other strategies, costing less and-despite causing 739 more cases of diabetes than did MST-resulting in more quality adjusted life-years (QALYs). For patient-clinician discussions that would otherwise lead to the MST strategy, we found the test-and-HST strategy reduced costs by $4.67 MM and resulted in 134 fewer CVD events and 115 additional QALYs. For patient-clinician discussions that would otherwise lead to no statin therapy, we found that the test-and-MST strategy reduced costs by $3.25 MM, resulted in 97 fewer CVD events

  12. The uses of Man-Made diamond in wafering applications

    Science.gov (United States)

    Fallon, D. B.

    1982-01-01

    The continuing, rapid growth of the semiconductor industry requires the involvement of several specialized industries in the development of special products geared toward the unique requirements of this new industry. A specialized manufactured diamond to meet various material removal needs was discussed. The area of silicon wafer slicing has presented yet anothr challenge and it is met most effectively. The history, operation, and performance of Man-Made diamond and particularly as applied to silicon wafer slicing is discussed. Product development is underway to come up with a diamond specifically for sawing silicon wafers on an electroplated blade.

  13. Exploring the cross-level impact of market orientation on nursing innovation in hospitals.

    Science.gov (United States)

    Weng, Rhay-Hung; Huang, Ching-Yuan; Lin, Tzu-En

    2013-01-01

    Recently, many hospitals have been enthusiastically encouraging nurses to pursue nursing innovation to improve health care quality and increase nursing productivity by proposing innovative training methods, products, services, care skills, and care methods. This study tried to explore the cross-level impact of market orientation on nursing innovation. In our study, 3 to 7 nurses and 1 manager were selected from each nursing team to act as respondents. The questionnaire survey began after the managers of each nursing team and the nurses had been anonymously coded and paired up in Taiwan in 2009-2010. A total of 808 valid questionnaires were collected, including 172 valid teams. Hierarchical linear modeling was used for the analysis. Nursing innovation is the sum of knowledge creation, innovation behavior, and innovation diffusion displayed by the nurses during nursing care. The level of knowledge creation, as perceived by the nurses, was the highest, whereas the level of innovation diffusion was the lowest. Results of hierarchical linear modeling showed that only competitor orientation yielded a significant positive influence on knowledge creation, innovation behavior, or innovation diffusion. The r values were 0.53, 0.49, and 0.61, respectively. Customer orientation and interfunctional coordination did not have significant effects on nursing innovation. Hospital nurses exhibited better performance in knowledge creation than in innovation behavior and diffusion. Only competitor orientation had a significantly positive and cross-level influence on nursing innovation. However, competitor orientation was observed to be the lowest dimension of market orientation, which indicates that this factor should be the focus when improving nursing innovations in the future. Therefore, managers should continually understand the strategies, advantages, and methods of their competitors.

  14. Informal Innovation

    DEFF Research Database (Denmark)

    Hartmann, Mia Rosa Koss; Hartmann, Rasmus Koss

    Informal innovation, defined as the development and putting-into-use of novel solutions by non-R&D employees without prior formal approval from or subsequent revealing to superiors, has been recurrently observed in organizational research. But even as it is increasingly recognized that R&D is not......Informal innovation, defined as the development and putting-into-use of novel solutions by non-R&D employees without prior formal approval from or subsequent revealing to superiors, has been recurrently observed in organizational research. But even as it is increasingly recognized that R......&D is not the only plausible source of innovation inside organizations, informal innovation has yet to be systematically explored or theorized. We propose a theory of informal innovation based on analyses of prior literature and mixed-method, multi-site studies of innovation at the working level of two extreme......-case organizations. We propose that informal innovation occurs as 1) employees personally experience problems that they believe are not recognized or prioritized by superiors; 2) some employees are able to develop solutions, essentially at no cost; 3) innovators face no benefits from revealing to superiors, but can...

  15. Development of thin film measurement program of wafer for spin etcher

    International Nuclear Information System (INIS)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul; Cho, Jung Keun; Bae, Jung Yong

    2001-01-01

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  16. Development of thin film measurement program of wafer for spin etcher

    Energy Technology Data Exchange (ETDEWEB)

    Seo, Hak Suk; Kim, No Hyu; Kim, Young Chul [Korea University of Technology and Education, Cheonan (Korea, Republic of); Cho, Jung Keun; Bae, Jung Yong [Korea DNS, Cheonan (Korea, Republic of)

    2001-11-15

    This paper proposes a thickness measurement method of silicon-oxide and poly-silicon film deposited on 12 inch silicon wafer for spin etcher. Krypton lamp is used as a light source for generating a wide-band spectrum, which is guided and focused on the wafer surface through a optical fiber cable. Interference signal from the film is detected by optical sensor to determine the thickness of the film using spectrum analysis and several signal processing techniques including curve-fitting and filtering. Test wafers with two kinds of priori-known films, silicon-oxide(100nm) and poly-silicon(300nm), are measured under the condition that the wafer is spinning at 20Hz and DI water flowing on the wafer surface. From experiment results the algorithm presented in the paper is proved to be effective with accuracy of maximum 6.5% error.

  17. Study of the semiconductor properties by irradiation, 8. Study of trapping center by. gamma. -ray on Si wafer

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Koji; Shioya, Hitoshi; Nagamatsu, Yasuhiko; Ogura, Shoji [Miyazaki Univ. (Japan). Faculty of Engineering

    1983-08-01

    In order to know the effects of ..gamma..-ray irradiation on n-type Si-wafers, the author did ..gamma..-ray irradiation experiments on n-type Si-wafers. They then observed the trapping center by using DLTS and ICTS equipments. The trapping center level, which is produced by ..gamma..-ray, is about 0.49 eV. In addition, the authors discuss the recombination rate.

  18. A preliminary evaluation of alternatives for disposal of INEL low-level waste and low-level mixed waste

    International Nuclear Information System (INIS)

    Smith, T.H.; Roesener, W.S.; Jorgenson-Waters, M.J.

    1993-07-01

    The Mixed and Low-Level Waste Disposal Facility (MLLWDF) project was established in 1992 by the US Department of Energy Idaho Operations Office to provide enhanced disposal capabilities for Idaho National Engineering Laboratory (INEL) low-level mixed waste and low-level waste. This Preliminary Evaluation of Alternatives for Disposal of INEL Low-Level Waste and Low-Level Mixed Waste identifies and evaluates-on a preliminary, overview basis-the alternatives for disposal of that waste. Five disposal alternatives, ranging from of no-action'' to constructing and operating the MLLWDF, are identified and evaluated. Several subalternatives are formulated within the MLLWDF alternative. The subalternatives involve various disposal technologies as well as various scenarios related to the waste volumes and waste forms to be received for disposal. The evaluations include qualitative comparisons of the projected isolation performance for each alternative, and facility, health and safety, environmental, institutional, schedule, and rough order-of-magnitude life-cycle cost comparisons. The performance of each alternative is evaluated against lists of ''musts'' and ''wants.'' Also included is a discussion of other key considerations for decisionmaking. The analysis of results indicated further study is necessary to obtain the best estimate of long-term future waste volume and characteristics from the INEL Environmental Restoration activities and the expanded INEL Decontamination and Decommissioning Program

  19. Principle and modelling of Transient Current Technique for interface traps characterization in monolithic pixel detectors obtained by CMOS-compatible wafer bonding

    CERN Document Server

    Bronuzzi, J.; Moll, M.; Sallese, J.M.

    2016-01-01

    In the framework of monolithic silicon radiation detectors, a fabrication process based on a recently developed silicon wafer bonding technique at low temperature was proposed. Ideally, this new process would enable direct bonding of a read-out electronic chip wafer on a highly resistive silicon substrate wafer, which is expected to present many advantages since it would combine high performance IC's with high sensitive ultra-low doped bulk silicon detectors. But electrical properties of the bonded interface are critical for this kind of application since the mobile charges generated by radiation inside the bonded bulk are expected to transit through the interface in order to be collected by the read-out electronics. In this work, we propose to explore and develop a model for the so-called Transient Current Technique (TCT) to identify the presence of deep traps at the bonded interface. For this purpose, we consider a simple PIN diode reversely biased where the ultra-low doped active region of interest is set ...

  20. Restaurant innovativeness: A case study of Vojvodina

    Directory of Open Access Journals (Sweden)

    Snježana Gagić

    2016-11-01

    Full Text Available It is of vital importance to continuously work on the improvement and identification of significant factors to ensure and sustain the desired level of quality. The paper aims to analyze the innovativeness level of restaurants in Vojvodina in view of the fact that it keeps their portfolio competitive and thereby achieves a long-term competitive advantage. The innovativeness level was defined on the basis of the instrument designed for measuring innovation in the field of products and services, marketing, processes and socially responsible behavior. In order to determine the level of innovativeness, it was necessary to first determine the percentage of innovativeness based on the number of innovations introduced by the restaurants in all of the four study areas. The results show that a significant number of restaurants pays attention to innovations in order to become more appealing to guests and increase their profitability. On the other hand, more than half of the observed restaurants have a very low or low degree of innovation, which indicates that we still do not pay enough attention to innovations and advancement of their business implementation.

  1. Penggunaan Limbah Kopi Sebagai Bahan Penyusun Ransum Itik Peking dalam Bentuk Wafer Ransum Komplit

    Directory of Open Access Journals (Sweden)

    Muhammad Daud

    2013-04-01

    Full Text Available Effect of coffee waste as component of compiler ration peking duck in the form of wafer complete ration ABSTRACT. Coffee waste is a by-product of coffee processing that potential to be used as feed stuff for peking duck. The weakness of this coffee waste, among others, is perishable, voluminous (bulky and the availability was fluctuated so the processing technology is needed to make this vegetable waste to be durable, easy to stored and to be given to livestock. To solve this problem vegetable waste could be formed as wafer. This research was conducted to study effectiveness of coffee waste as component of compiler ration peking duck in the form of wafer complete ration This experiment was run in completely randomized design which consist of 4 feed treatment and 3 replications.  Ration used was consisted of  P0 = wafer complete ration 0% coffee waste (control, P1 = wafer complete ration 2,5% coffee waste, P2 = wafer complete ration 5% coffee waste, and P3 = Wafer complete ration 7,5% coffee waste. The Variables observed were: physical characteristic (aroma, color, and wafer density and palatability of wafer complete ration. Data collected was analyzed with ANOVA and Duncan Range Test would be used if the result was significantly different. The result showed that the density of wafer complete ration coffee waste was significantly (P< 0.05 differences between of treatment. Mean density wafer complete ration equal to: P0= 0,52±0,03, P1 =0,67±0,04, P2 =0,72±0,03, and P3 = 0,76±0.05 g/cm3. Wafer complete ration coffee waste palatability was significantly (P< 0.05 differences between of treatment. It is concluded that of wafer complete ration composition 5 and 7,5% coffee waste was significantly wafer palatability and gave a highest wafer density. The ration P0 was the most palatable compare to other treatments for the experimental peking duck.

  2. Innovative approach towards understanding optics

    International Nuclear Information System (INIS)

    Garg, Amit; Bharadwaj, Sadashiv Raj; Kumar, Raj; Shudhanshu, Avinash Kumar; Verma, Deepak Kumar

    2016-01-01

    Over the last few years, there has been a decline in the students’ interest towards Science and Optics. Use of technology in the form of various types of sensors and data acquisition systems has come as a saviour. Till date, manual routine tools and techniques are used to perform various experimental procedures in most of the science/optics laboratories in our country. The manual tools are cumbersome whereas the automated ones are costly. It does not enthuse young researchers towards the science laboratories. There is a need to develop applications which can be easily integrated, tailored at school and undergraduate level laboratories and are economical at the same time. Equipments with advanced technologies are available but they are uneconomical and have complicated working principle with a black box approach. The present work describes development of portable tools and applications which are user-friendly. This is being implemented using open-source physical computing platform based on a simple low cost microcontroller board and a development environment for writing software. The present paper reports the development of an automated spectrometer, an instrument used in almost all optics experiments at undergraduate level, and students’ response to this innovation. These tools will inspire young researchers towards science and facilitate development of advance low cost equipments making life easier for Indian as well as developing nations. (paper)

  3. A High Gain-Bandwidth Product Distributed Transimpedance Amplifier IC for High-Speed Optical Transmission Using Low-Cost GaAs Technology.

    OpenAIRE

    Giannini, F.; Limiti, E.; Orengo, G.; Serino, A.; De Dominicis, M.

    2002-01-01

    This paper reports a distributed baseband transimpedance amplifier for optical links up to 10 Gb/s. The amplifier operates as a baseband amplifier with a transimpedance gain of 48 dB Ω and a DC-to-9 GHz bandwidth. Some innovative design techniques to improve gain-bandwidth performance at low and high frequency with an available low-cost GaAs MESFET technology have been developed.

  4. NREL Funds Research into Low-Cost Solar Electricity

    Science.gov (United States)

    to the introduction of innovative thin film PV modules. R&D Partners are universities and be awarded under the Thin Film PV (photovoltaic) Partnership program at the U.S. Department of . The goal is to develop thin film technology that can reduce that cost to $1 a watt, making clean

  5. Development of ITM Oxygen Technology for Low-cost and Low-emission Gasification and Other Industrial Applications

    Energy Technology Data Exchange (ETDEWEB)

    Fogash, Kevin [Air Products and Chemicals, Inc., Allentown, PA (United States)

    2015-12-15

    Air Products carried out a scope of work under DOE Award No. DE-FE0012065 “Development of ITM Oxygen Technology for Low-cost and Low-emission Gasification and Other Industrial Applications” with subcontractors Ceramatec, Penn State, and WorleyParsons. The scope of work under this award was aimed at furthering the development of the Ion Transport Membrane (ITM) Oxygen production process toward a demonstration-scale facility known as the Oxygen Development Facility (ODF). Specific activities will help to enable design and construction of the ODF through advancement of a number of challenging technical elements that are required to manage risk in the initial deployment of ITM technology. Major objectives of the work included developing ITM Oxygen ceramic membrane materials with improved performance and reliability, optimizing ceramic module geometry and fabrication methods, testing module performance, trialing the improved fabrication process at commercial scale in the Ceramic Membrane Module Fabrication Facility (CerFab), and advancing engineering development of the ITM oxygen production process, including vessel design and contaminant control measures to prepare for deployment of the ODF. The comprehensive report that follows details the team’s work, which includes several notable accomplishments: 1) compressive creep, a likely limiter of ceramic module lifetime in service, was demonstrated to be retarded by an order of magnitude by changes in material formulation, module joining dimensions, and internal wafer geometry; 2) two promising new materials were shown to be superior to the incumbent ITM material in a key material parameter related to oxygen flux; 3) module degradation mechanisms were identified following operation in large pilot-scale equipment; 4) options for utilizing ITM in a coal-to-liquids (CTL) facility to enable liquids production with carbon capture were identified and studied; and 5) the benefits of potential improvements to the technology

  6. Development of ITM Oxygen Technology for Low-cost and Low-emission Gasification and Other Industrial Applications

    Energy Technology Data Exchange (ETDEWEB)

    Fogash, Kevin [Air Products and Chemicals, Inc., Allentown, PA (United States)

    2017-05-17

    Air Products carried out a scope of work under DOE Award No. DE-FE0012065 “Development of ITM Oxygen Technology for Low-cost and Low-emission Gasification and Other Industrial Applications” with subcontractors Ceramatec, Penn State, and WorleyParsons. The scope of work under this award was aimed at furthering the development of the Ion Transport Membrane (ITM) Oxygen production process toward a demonstration-scale facility known as the Oxygen Development Facility (ODF). Specific activities will help to enable design and construction of the ODF through advancement of a number of challenging technical elements that are required to manage risk in the initial deployment of ITM technology. Major objectives of the work included developing ITM Oxygen ceramic membrane materials with improved performance and reliability, optimizing ceramic module geometry and fabrication methods, testing module performance, trialing the improved fabrication process at commercial scale in the Ceramic Membrane Module Fabrication Facility (CerFab), and advancing engineering development of the ITM oxygen production process, including vessel design and contaminant control measures to prepare for deployment of the ODF. The comprehensive report that follows details the team’s work, which includes several notable accomplishments: 1) compressive creep, a likely limiter of ceramic module lifetime in service, was demonstrated to be retarded by an order of magnitude by changes in material formulation, module joining dimensions, and internal wafer geometry; 2) two promising new materials were shown to be superior to the incumbent ITM material in a key material parameter related to oxygen flux; 3) module degradation mechanisms were identified following operation in large pilot-scale equipment; 4) options for utilizing ITM in a coal-to-liquids (CTL) facility to enable liquids production with carbon capture were identified and studied; and 5) the benefits of potential improvements to the technology

  7. System-level integration of active silicon photonic biosensors

    Science.gov (United States)

    Laplatine, L.; Al'Mrayat, O.; Luan, E.; Fang, C.; Rezaiezadeh, S.; Ratner, D. M.; Cheung, K.; Dattner, Y.; Chrostowski, L.

    2017-02-01

    Biosensors based on silicon photonic integrated circuits have attracted a growing interest in recent years. The use of sub-micron silicon waveguides to propagate near-infrared light allows for the drastic reduction of the optical system size, while increasing its complexity and sensitivity. Using silicon as the propagating medium also leverages the fabrication capabilities of CMOS foundries, which offer low-cost mass production. Researchers have deeply investigated photonic sensor devices, such as ring resonators, interferometers and photonic crystals, but the practical integration of silicon photonic biochips as part of a complete system has received less attention. Herein, we present a practical system-level architecture which can be employed to integrate the aforementioned photonic biosensors. We describe a system based on 1 mm2 dies that integrate germanium photodetectors and a single light coupling device. The die are embedded into a 16x16 mm2 epoxy package to enable microfluidic and electrical integration. First, we demonstrate a simple process to mimic Fan-Out Wafer-level-Packaging, which enables low-cost mass production. We then characterize the photodetectors in the photovoltaic mode, which exhibit high sensitivity at low optical power. Finally, we present a new grating coupler concept to relax the lateral alignment tolerance down to +/- 50 μm at 1-dB (80%) power penalty, which should permit non-experts to use the biochips in a"plug-and-play" style. The system-level integration demonstrated in this study paves the way towards the mass production of low-cost and highly sensitive biosensors, and can facilitate their wide adoption for biomedical and agro-environmental applications.

  8. Advice concerning the advantages of a reference incinerator for low-level and intermediate-level radioactive waste processing

    International Nuclear Information System (INIS)

    Luyten, G.B.

    1985-05-01

    In this report, an inventory is presented of new incinerators and flue gas filters used in low and intermediate-level radioactive waste combustion. It is argued that a 'reference equipment' for the combustion of solid and liquid low- and intermediate-level wastes best meets existing Dutch radiation protection standards. A cost-benefit analysis of such an equipment is given including annual costs of investment, capital and exploration. A separate combustion process of organic liquids and carrions is considered finally. (G.J.P.)

  9. Edge printability: techniques used to evaluate and improve extreme wafer edge printability

    Science.gov (United States)

    Roberts, Bill; Demmert, Cort; Jekauc, Igor; Tiffany, Jason P.

    2004-05-01

    The economics of semiconductor manufacturing have forced process engineers to develop techniques to increase wafer yield. Improvements in process controls and uniformities in all areas of the fab have reduced film thickness variations at the very edge of the wafer surface. This improved uniformity has provided the opportunity to consider decreasing edge exclusions, and now the outermost extents of the wafer must be considered in the yield model and expectations. These changes have increased the requirements on lithography to improve wafer edge printability in areas that previously were not even coated. This has taxed all software and hardware components used in defining the optical focal plane at the wafer edge. We have explored techniques to determine the capabilities of extreme wafer edge printability and the components of the systems that influence this printability. We will present current capabilities and new detection techniques and the influence that the individual hardware and software components have on edge printability. We will show effects of focus sensor designs, wafer layout, utilization of dummy edge fields, the use of non-zero overlay targets and chemical/optical edge bead optimization.

  10. Using Multi-Robot Systems for Engineering Education: Teaching and Outreach with Large Numbers of an Advanced, Low-Cost Robot

    Science.gov (United States)

    McLurkin, J.; Rykowski, J.; John, M.; Kaseman, Q.; Lynch, A. J.

    2013-01-01

    This paper describes the experiences of using an advanced, low-cost robot in science, technology, engineering, and mathematics (STEM) education. It presents three innovations: It is a powerful, cheap, robust, and small advanced personal robot; it forms the foundation of a problem-based learning curriculum; and it enables a novel multi-robot…

  11. Novel uses of rice-husk-ash (a natural silica-carbon matrix) in low-cost water purification applications

    Science.gov (United States)

    Malhotra, Chetan; Patil, Rajshree; Kausley, Shankar; Ahmad, Dilshad

    2013-06-01

    Rice-husk-ash is used as the base material for developing novel compositions to deal with the challenge of purifying drinking water in low-income households in India. For example, rice-husk-ash cast in a matrix of cement and pebbles can be formed into a filtration bed which can trap up to 95% of turbidity and bacteria present in water. This innovation was proliferated in villages across India as a do-it-yourself rural water filter. Another innovation involves embedding silver nanoparticles within the rice husk ash matrix to create a bactericidal filtration bed which has now been commercialized in India as a low-cost for-profit household water purifier. Other innovations include the impregnation of rice-husk-ash with iron hydroxide for the removal of arsenic from water and the impregnation of rice-husk ash with aluminum hydroxide for the removal of fluoride ions from water which together have the potential to benefit over 100 million people across India who are suffering from the health effects of drinking groundwater contaminated with arsenic and fluoride.

  12. Hybrid” airlines – Generating value between low-cost and traditional

    Directory of Open Access Journals (Sweden)

    Stoenescu Cristina

    2017-07-01

    Full Text Available Over the last years, the rise of low-cost airlines has determined significant changes in the airline industry and has shaped the evolution of the existing business models. Low-cost airlines started by offering basic services at very low prices; traditional airlines responded by equally cutting costs and reinventing the services offered, with an orientation towards braking down the fare and implementing add-ons, in order to become cost-efficient. As traditional airlines developed strategies to become competitive in this new environment, low-cost airlines started focusing on new ways of enhancing passenger experience and attracting new market segments. As a result, the fragmentation of the market segments addressed by low cost carriers and traditional airlines became less obvious and the characteristics of both business models started to blend at all levels (airline operation, distribution channels, loyalty programs, fleet selection. Thus, this new competition became the foundation of the development of a new „hybrid” carrier, between the low-cost and the traditional models. This article investigates the characteristics of the newly created business model, both from a theoretical perspective and by analysing several case studies. A particular attention will be granted to the evolution of the Romanian carrier Blue Air towards the “hybrid” model. The article focuses on determining the position of the “hybrid” airline in a market with carriers situated along both sides of this business model: lower cost vs. “better” experience and raises the question on how value can be generated in this context. Another aspect tackled is the understanding of the new segmentation of the market, as a consequence of the development of the new business model. In order to achieve this purpose, a survey has been conducted, aiming to mark out the travel preferences of the passengers travelling through the Henri Coandă International Airport.

  13. Wafer-shape metrics based foundry lithography

    Science.gov (United States)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  14. Efficacy of Low-Cost PC-Based Aviation Training Devices

    Directory of Open Access Journals (Sweden)

    Savern l Reweti

    2017-03-01

    instruction could significantly reduce the overall cost of pilot training Future Research: A new study is being undertaken to compare the effectiveness of a PCATD and a FTD at training transfer of other VFR task procedures such as forced landing training, forced landing after take-off, and low-level navigation exercises.

  15. Wafer Surface Charge Reversal as a Method of Simplifying Nanosphere Lithography for Reactive Ion Etch Texturing of Solar Cells

    Directory of Open Access Journals (Sweden)

    Daniel Inns

    2007-01-01

    Full Text Available A simplified nanosphere lithography process has been developed which allows fast and low-waste maskings of Si surfaces for subsequent reactive ion etching (RIE texturing. Initially, a positive surface charge is applied to a wafer surface by dipping in a solution of aluminum nitrate. Dipping the positive-coated wafer into a solution of negatively charged silica beads (nanospheres results in the spheres becoming electrostatically attracted to the wafer surface. These nanospheres form an etch mask for RIE. After RIE texturing, the reflection of the surface is reduced as effectively as any other nanosphere lithography method, while this batch process used for masking is much faster, making it more industrially relevant.

  16. Nonlinear resonance ultrasonic vibrations in Czochralski-silicon wafers

    Science.gov (United States)

    Ostapenko, S.; Tarasov, I.

    2000-04-01

    A resonance effect of generation of subharmonic acoustic vibrations is observed in as-grown, oxidized, and epitaxial silicon wafers. Ultrasonic vibrations were generated into a standard 200 mm Czochralski-silicon (Cz-Si) wafer using a circular ultrasound transducer with major frequency of the radial vibrations at about 26 kHz. By tuning frequency (f) of the transducer within a resonance curve, we observed a generation of intense f/2 subharmonic acoustic mode assigned as a "whistle." The whistle mode has a threshold amplitude behavior and narrow frequency band. The whistle is attributed to a nonlinear acoustic vibration of a silicon plate. It is demonstrated that characteristics of the whistle mode are sensitive to internal stress and can be used for quality control and in-line diagnostics of oxidized and epitaxial Cz-Si wafers.

  17. AlGaN/GaN high electron mobility transistors with a low sub-threshold swing on free-standing GaN wafer

    Directory of Open Access Journals (Sweden)

    Xinke Liu

    2017-09-01

    Full Text Available This paper reported AlGaN/GaN high electron mobility transistors (HEMTs with low sub-threshold swing SS on free-standing GaN wafer. High quality AlGaN/GaN epi-layer has been grown by metal-organic chemical vapor deposition (MOCVD on free-standing GaN, small full-width hall maximum (FWHM of 42.9 arcsec for (0002 GaN XRD peaks and ultralow dislocation density (∼104-105 cm-2 were obtained. Due to these extremely high quality material properties, the fabricated AlGaN/GaN HEMTs achieve a low SS (∼60 mV/decade, low hysteresis of 54 mV, and high peak electron mobility μeff of ∼1456 cm2V-1s-1. Systematic study of materials properties and device characteristics exhibits that GaN-on-GaN AlGaN/GaN HEMTs are promising candidate for next generation high power device applications.

  18. Low energy, low cost, efficient CO{sub 2} capture

    Energy Technology Data Exchange (ETDEWEB)

    Michael C. Trachtenberg; Lihong Bao; David A. Smith; Remy Dumortier [Carbozyme, Inc., Monmouth Junction, NJ (United States)

    2006-07-01

    This paper discusses the development and some characteristics of a new, enzyme-based, contained liquid membrane contactor to capture CO{sub 2}. The enzyme carbonic anhydrase catalyzes the removal of CO{sub 2} while the membrane contactor increases the surface area to allow the reduction of the size of the system. The modular system design is easily scaled to any required size reducing the investment costs. The system captures CO{sub 2} at a low energy and low cost promising to be a cost effective technology for CO{sub 2} capture. 5 refs., 7 figs.

  19. Innovative grinding wheel design for cost-effective machining of advanced ceramics

    Energy Technology Data Exchange (ETDEWEB)

    Licht, R.H.; Kuo, P.; Liu, S.; Murphy, D.; Picone, J.W.; Ramanath, S.

    2000-05-01

    This Final Report covers the Phase II Innovative Grinding Wheel (IGW) program in which Norton Company successfully developed a novel grinding wheel for cost-effective cylindrical grinding of advanced ceramics. In 1995, Norton Company successfully completed the 16-month Phase I technical effort to define requirements, design, develop, and evaluate a next-generation grinding wheel for cost-effective cylindrical grinding of advanced ceramics using small prototype wheels. The Phase II program was initiated to scale-up the new superabrasive wheel specification to larger diameters, 305-mm to 406-mm, required for most production grinding of cylindrical ceramic parts, and to perform in-house and independent validation grinding tests.

  20. Energy Levels and Co-evolution of Product Innovation in Supply Chain Clusters

    Science.gov (United States)

    Ji, Guojun

    In the last decade supply chain clusters phenomenon has emerged as a new approach in product innovation studies. This article makes three contributions to the approach by addressing some open issues. The first contribution is to explicitly incorporate the energy levels in the analysis. Hence, the unit of analysis is widened from sectoral systems of innovation to socio-technical systems. Hence, the unit of analysis is widened from sectoral systems of innovation to socio-technical systems. The second contribution is to suggest an analytical distinction between different evolution method, actors involved in them, and the institutions which guide actor's perceptions and activities. Thirdly, the article opens up the black box of institutions, making them an integral part of supply chain. The article provides a coherent conceptual multi-level perspective, using insights from sociology, institutional theory and innovation studies. The perspective is particularly useful to analyze long-term dynamics supply chain clusters phenomenon, shifts from one energy level to another and the co-evolution of product innovation.

  1. LPGC, Levelized Steam Electric Power Generator Cost

    International Nuclear Information System (INIS)

    Coen, J.J.; Delene, J.G.

    1994-01-01

    1 - Description of program or function: LPGC is a set of nine microcomputer programs for estimating power generation costs for large steam-electric power plants. These programs permit rapid evaluation using various sets of economic and technical ground rules. The levelized power generation costs calculated may be used to compare the relative economics of nuclear and coal-fired plants based on life-cycle costs. Cost calculations include capital investment cost, operation and maintenance cost, fuel cycle cost, decommissioning cost, and total levelized power generation cost. These programs can be used for quick analyses of power generation costs using alternative economic parameters, such as interest rate, escalation rate, inflation rate, plant lead times, capacity factor, fuel prices, etc. The two major types of electric generating plants considered are pressurized-water reactor (PWR) and pulverized coal-fired plants. Data are also provided for the Large Scale Prototype Breeder (LSPB) type liquid metal reactor. Costs for plant having either one or two units may be obtained. 2 - Method of solution: LPGC consists of nine individual menu-driven programs controlled by a driver program, MAINPWR. The individual programs are PLANTCAP, for calculating capital investment costs; NUCLOM, for determining operation and maintenance (O and M) costs for nuclear plants; COALOM, for computing O and M costs for coal-fired plants; NFUEL, for calculating levelized fuel costs for nuclear plants; COALCOST, for determining levelized fuel costs for coal-fired plants; FCRATE, for computing the fixed charge rate on the capital investment; LEVEL, for calculating levelized power generation costs; CAPITAL, for determining capitalized cost from overnight cost; and MASSGEN, for generating, deleting, or changing fuel cycle mass balance data for use with NFUEL. LPGC has three modes of operation. In the first, each individual code can be executed independently to determine one aspect of the total

  2. Steam Reforming of Low-Level Mixed Waste

    Energy Technology Data Exchange (ETDEWEB)

    None

    1998-01-01

    Under DOE Contract No. DE-AR21-95MC32091, Steam Reforming of Low-Level Mixed Waste, ThermoChem has successfully designed, fabricated and operated a nominal 90 pound per hour Process Development Unit (PDU) on various low-level mixed waste surrogates. The design construction, and testing of the PDU as well as performance and economic projections for a 500- lb/hr demonstration and commercial system are described. The overall system offers an environmentally safe, non-incinerating, cost-effective, and publicly acceptable method of processing LLMW. The steam-reforming technology was ranked the No. 1 non-incineration technology for destruction of hazardous organic wastes in a study commissioned by the Mixed Waste Focus Area published April 1997.1 The ThermoChem steam-reforming system has been developed over the last 13 years culminating in this successful test campaign on LLMW surrogates. Six surrogates were successfidly tested including a 750-hour test on material simulating a PCB- and Uranium- contaminated solid waste found at the Portsmouth Gaseous Diffusion Plant. The test results indicated essentially total (>99.9999oA) destruction of RCRA and TSCA hazardous halogenated organics, significant levels of volume reduction (> 400 to 1), and retention of radlonuclides in the volume-reduced solids. Cost studies have shown the steam-reforming system to be very cost competitive with more conventional and other emerging technologies.

  3. Marginal costs for intensified energy-efficiency measures

    International Nuclear Information System (INIS)

    Jakob, J.; Jochem, E.; Christen, K.

    2002-01-01

    The costs and benefits of investments in measures designed to improve the energy efficiency of residential buildings (in particular investments in heat insulation) were calculated as a function of increasing energy efficiency for new and renovated buildings and for single-family homes and apartment buildings. These investments in measures to improve efficiency mostly involve with the building envelope and ventilation systems and aim to successively reduce the space-heating needs of the buildings. The measures range from present-day building and renovation methods through to the 'Minergie' and 'Passive House' ('Minergie-P' in Switzerland) standards for low and very-low energy consumption buildings. Cost-benefit ratios were determined for individual building components, individual building concepts and for the whole of Switzerland, using both the average-cost as well as the pure marginal-cost methods (energy-economics level). The collection of empirical data (especially on costs) was an integral and important part of the project. The marginal costs were then compared with the benefits arising from the costs for space heating that were avoided, and, using a few typical cases as examples, with the so-called co-benefits, which are to be implemented in part by private persons and companies. For their quantification, methods were developed and used in case studies; in addition, avoided external costs are also considered. The marginal costs were also calculated for periods of time in the future, whereby they were made dynamic, according to their share of innovation, using the learning-curve method (learning and scaling effects). As far as the findings are concerned, there can be no doubt that the potential to be opened up for increasing energy efficiency using heat insulation measures is high, both for renovations and new construction work. A large portion of this potential is already economically viable and even more so when the possible risks of energy price increases

  4. Guideposts for Low Carbon Finance

    International Nuclear Information System (INIS)

    Pizer, Billy

    2015-01-01

    The author proposes four guideposts for efficient low carbon finance: remove subsidies for high-carbon technologies, improve the cost-effectiveness of low-carbon subsidies, encourage private sector innovation and maintain transparent public policy tools that support cost-benefit accounting

  5. Wafer-level integration of NiTi shape memory alloy on silicon using Au–Si eutectic bonding

    International Nuclear Information System (INIS)

    Gradin, Henrik; Bushra, Sobia; Braun, Stefan; Stemme, Göran; Van der Wijngaart, Wouter

    2013-01-01

    This paper reports on the wafer level integration of NiTi shape memory alloy (SMA) sheets with silicon substrates through Au–Si eutectic bonding. Different bond parameters, such as Au layer thicknesses and substrate surface treatments were evaluated. The amount of gold in the bond interface is the most important parameter to achieve a high bond yield; the amount can be determined by the barrier layers between the Au and Si or by the amount of Au deposition. Deposition of a gold layer of more than 1 μm thickness before bonding gives the most promising results. Through patterning of the SMA sheet and by limiting bonding to small areas, stresses created by the thermal mismatch between Si and NiTi are reduced. With a gold layer of 1 μm thickness and bond areas between 200 × 200 and 800 × 800 μm 2 a high bond strength and a yield above 90% is demonstrated. (paper)

  6. Synchrotron radiation total reflection x-ray fluorescence analysis; of polymer coated silicon wafers

    International Nuclear Information System (INIS)

    Brehm, L.; Kregsamer, P.; Pianetta, P.

    2000-01-01

    It is well known that total reflection x-ray fluorescence (TXRF) provides an efficient method for analyzing trace metal contamination on silicon wafer surfaces. New polymeric materials used as interlayer dielectrics in microprocessors are applied to the surface of silicon wafers by a spin-coating process. Analysis of these polymer coated wafers present a new challenge for TXRF analysis. Polymer solutions are typically analyzed for bulk metal contamination prior to application on the wafer using inductively coupled plasma mass spectrometry (ICP-MS). Questions have arisen about how to relate results of surface contamination analysis (TXRF) of a polymer coated wafer to bulk trace analysis (ICP-MS) of the polymer solutions. Experiments were done to explore this issue using synchrotron radiation (SR) TXRF. Polymer solutions were spiked with several different concentrations of metals. These solutions were applied to silicon wafers using the normal spin-coating process. The polymer coated wafers were then measured using the SR-TXRF instrument set-up at the Stanford Synchrotron Radiation Laboratory (SSRL). Several methods of quantitation were evaluated. The best results were obtained by developing calibration curves (intensity versus ppb) using the spiked polymer coated wafers as standards. Conversion of SR-TXRF surface analysis results (atoms/cm 2 ) to a volume related concentration was also investigated. (author)

  7. DISPOSALSITE, Low-Level Radioactive Waste Storage Cost Analysis

    International Nuclear Information System (INIS)

    Smith, P.R.

    1990-01-01

    1 - Description of program or function: The Disposal Site Economic Model calculates the average generator price, or average price per cubic foot charged by a disposal facility to a waste generator, one measure of comparing the economic attractiveness of different waste disposal site and disposal technology combinations. The generator price is calculated to recover all costs necessary to develop, construct, operate, close, and care for a site through the end of the institutional care period and to provide the necessary financial returns to the site developer and lender (when used). Six alternative disposal technologies, based on either private or public financing, can be considered - shallow land disposal, intermediate depth disposal, above or below ground vaults, modular concrete canister disposal, and earth mounded concrete bunkers - based on either private or public development. 2 - Method of solution: The economic models incorporate default cost data from the Conceptual Design Report (DOE/LLW-60T, June 1987), a study by Rodgers Associated Engineering Corporation. Because all costs are in constant 1986 dollars, the figures must be modified to account for inflation. Interest during construction is either capitalized for the private developer or rolled into the loan for the public developer. All capital costs during construction are depreciated over the operating life of the site using straight-line depreciation for the private sector. 3 - Restrictions on the complexity of the problem - Maxima of: 100 years post-operating period, 30 years operating period, 15 years pre-operating period. The model should be used with caution outside the range of 1.8 to 10.5 million cubic feet of total volume. Depreciation is not recognized with public development

  8. Innovative system for delivery of low temperature district heating

    Directory of Open Access Journals (Sweden)

    Anton Ivanov Ianakiev

    2017-01-01

    Full Text Available An innovative Low Temperature District Heating (LTDH local network is developed in Nottingham, supported by REMOURBAN project, part of the H2020 Smart City and Community Lighthouse scheme. It was proposed that a branch emanating from the return pipe of the of the existing district heating system in Nottingham would be created to use low temperature heating for the first time in UK. The development is aimed to extract wasted (unused heat from existing district heating system and make it more efficient and profitable. Four maisonette blocks of 94 low-raised flats, at Nottingham demo site of the REMOURBAN project will be connected to this new LTDH system. The scheme will provide a primary supply of heat and hot water at approximately 50oC to 60oC. Innovated solutions have been put forward to overcome certain barriers, such as legionella related risks and peak loads during extreme heating seasons and occasional maintenance.

  9. Investigation of the Static and Dynamic Characteristics for a Wafer-Fused C-band VCSEL in the Mode of the Optical-Electric Converter

    Science.gov (United States)

    Belkin, M. E.

    2018-01-01

    The results of an experimental study for a long wavelength vertical cavity surface-emitting laser of a wafer-fused construction as an effective resonant cavity enhanced photodetector of analog optical signals are described. The device is of interest for a number of promising microwave photonics applications and for creation of a low-cost photoreceiver in a high-speed fiber optics telecommunication system with dense wavelength division multiplexing. The schematic of the testbed, the original technique allowing to calculate the passband of the built-in optical cavity, and the results of measuring dark current, current responsivity, amplitude- and phase-frequency characteristics during the process of photo-detection are demonstrated.

  10. Wafer-scale fabrication of polymer distributed feedback lasers

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Schøler, Mikkel; Balslev, Søren

    2006-01-01

    The authors demonstrate wafer-scale, parallel process fabrication of distributed feedback (DFB) polymer dye lasers by two different nanoimprint techniques: By thermal nanoimprint lithography (TNIL) in polymethyl methacrylate and by combined nanoimprint and photolithography (CNP) in SU-8. In both...... techniques, a thin film of polymer, doped with rhodamine-6G laser dye, is spin coated onto a Borofloat glass buffer substrate and shaped into a planar waveguide slab with first order DFB surface corrugations forming the laser resonator. When optically pumped at 532 nm, lasing is obtained in the wavelength...... range between 576 and 607 nm, determined by the grating period. The results, where 13 laser devices are defined across a 10 cm diameter wafer substrate, demonstrate the feasibility of NIL and CNP for parallel wafer-scale fabrication of advanced nanostructured active optical polymer components...

  11. In vitro and in vivo evaluation of a sublingual fentanyl wafer formulation

    Science.gov (United States)

    Lim, Stephen CB; Paech, Michael J; Sunderland, Bruce; Liu, Yandi

    2013-01-01

    Background The objective of this study was to prepare a novel fentanyl wafer formulation by a freeze-drying method, and to evaluate its in vitro and in vivo release characteristics, including its bioavailability via the sublingual route. Methods The wafer formulation was prepared by freeze-drying an aqueous dispersion of fentanyl containing sodium carboxymethylcellulose and amylogum as matrix formers. Uniformity of weight, friability, and dissolution testing of the fentanyl wafer was achieved using standard methods, and the residual moisture content was measured. The fentanyl wafer was also examined using scanning electron microscopy and x-ray diffraction. The absolute bioavailability of the fentanyl wafer was evaluated in 11 opioid-naïve adult female patients using a randomized crossover design. Results In vitro release showed that almost 90% of the fentanyl dissolved in one minute. In vivo, the first detectable plasma fentanyl concentration was observed after 3.5 minutes and the peak plasma concentration between 61.5 and 67 minutes. The median absolute bioavailability was 53.0%. Conclusion These results indicate that this wafer has potential as an alternative sublingual fentanyl formulation. PMID:23596347

  12. High Speed On-Wafer Characterization Laboratory

    Data.gov (United States)

    Federal Laboratory Consortium — At the High Speed On-Wafer Characterization Laboratory, researchers characterize and model devices operating at terahertz (THz) and millimeter-wave frequencies. The...

  13. Robust Spectrum Sensing Demonstration Using a Low-Cost Front-End Receiver

    Directory of Open Access Journals (Sweden)

    Daniele Borio

    2015-01-01

    Full Text Available Spectrum Sensing (SS is an important function in Cognitive Radio (CR to detect primary users. The design of SS algorithms is one of the most challenging tasks in CR and requires innovative hardware and software solutions to enhance detection probability and minimize low false alarm probability. Although several SS algorithms have been developed in the specialized literature, limited work has been done to practically demonstrate the feasibility of this function on platforms with significant computational and hardware constraints. In this paper, SS is demonstrated using a low cost TV tuner as agile front-end for sensing a large portion of the Ultra-High Frequency (UHF spectrum. The problems encountered and the limitations imposed by the front-end are analysed along with the solutions adopted. Finally, the spectrum sensor developed is implemented on an Android device and SS implementation is demonstrated using a smartphone.

  14. Cost avoidance realized through transportation and disposal of Fernald mixed low-level waste

    International Nuclear Information System (INIS)

    Sparks, A.K.; Dilday, D.R.; Rast, D.M.

    1995-11-01

    Currently, Department of Energy (DOE) facilities are undergoing a transformation from shipping radiologically contaminated waste within the DOE structure for disposal to now include Mixed Low Level Waste (MLLW) shipments to a permitted commercial disposal facility (PCDF) final disposition. Implementing this change can be confusing and is perceived as being more difficult than it actually is. Lack of experience and disposal capacity, sometimes and/or confusing regulatory guidance, and expense of transportation and disposal of MLLW ar contributing factors to many DOE facilities opting to simply store their MLLW. Fernald Environmental Restoration Management Company (FERMCO) established itself as a leader i addressing MLLW transportation and disposal by being one of the first DOE facilities to ship mixed waste to a PCDF (Envirocare of Utah) for disposal. FERMCO's proactive approach in establishing a MLLW Disposal Program produces long-term cost savings while generating interim mixed waste storage space to support FERMCO's cleanup mission. FERMCO's goal for all MLLW shipments was to develop a cost efficient system to accurately characterize, sample and analyze the waste, prepare containers and shipping paperwork, and achieve regulatory compliance while satisfying disposal facility waste acceptance criteria (WAC). This goal required the ability to evolve with the regulations, to address waste streams of varying matrices and contaminants, and to learn from each MLLW shipment campaign. These efforts have produced a successful MLLW Disposal Program at the Fernald Environmental Management Project (FEMP). FERMCO has a massed lessons learned from development of this fledgling program which may be applied complex-wide to ultimately save facilities time and money traditionally wasted by maintaining the status quo

  15. Micromachined Joule-Thomson coolers for cooling low-temperature detectors and electronics

    Science.gov (United States)

    ter Brake, Marcel; Lerou, P. P. P. M.; Burger, J. F.; Holland, H. J.; Derking, J. H.; Rogalla, H.

    2017-11-01

    The performance of electronic devices can often be improved by lowering the operating temperature resulting in lower noise and larger speed. Also, new phenomena can be applied at low temperatures, as for instance superconductivity. In order to fully exploit lowtemperature electronic devices, the cryogenic system (cooler plus interface) should be `invisible' to the user. It should be small, low-cost, low-interference, and above all very reliable (long-life). The realization of cryogenic systems fulfilling these requirements is the topic of research of the Cooling and Instrumentation group at the University of Twente. A MEMS-based cold stage was designed and prototypes were realized and tested. The cooler operates on basis of the Joule-Thomson effect. Here, a high-pressure gas expands adiabatically over a flow restriction and thus cools and liquefies. Heat from the environment (e.g., an optical detector) can be absorbed in the evaporation of the liquid. The evaporated working fluid returns to the low-pressure side of the system via a counter-flow heat exchanger. In passing this heat exchanger, it takes up heat from the incoming high-pressure gas that thus is precooled on its way to the restriction. The cold stage consists of a stack of three glass wafers. In the top wafer, a high-pressure channel is etched that ends in a flow restriction with a height of typically 300 nm. An evaporator volume crosses the center wafer into the bottom wafer. This bottom wafer contains the lowpressure channel thus forming a counter-flow heat exchanger. A design aiming at a net cooling power of 10 mW at 96 K and operating with nitrogen as the working fluid was optimized based on the minimization of entropy production. The optimum cold finger measures 28 mm x 2.2 mm x 0.8 mm operating with a nitrogen flow of 1 mg/s at a high pressure of 80 bar and a low pressure of 6 bar. The design and fabrication of the coolers will be discussed along with experimental results.

  16. Fabrication of pseudo-spin-MOSFETs using a multi-project wafer CMOS chip

    Science.gov (United States)

    Nakane, R.; Shuto, Y.; Sukegawa, H.; Wen, Z. C.; Yamamoto, S.; Mitani, S.; Tanaka, M.; Inomata, K.; Sugahara, S.

    2014-12-01

    We demonstrate monolithic integration of pseudo-spin-MOSFETs (PS-MOSFETs) using vendor-made MOSFETs fabricated in a low-cost multi-project wafer (MPW) product and lab-made magnetic tunnel junctions (MTJs) formed on the topmost passivation film of the MPW chip. The tunneling magnetoresistance (TMR) ratio of the fabricated MTJs strongly depends on the surface roughness of the passivation film. Nevertheless, after the chip surface was atomically flattened by SiO2 deposition on it and successive chemical-mechanical polish (CMP) process for the surface, the fabricated MTJs on the chip exhibits a sufficiently large TMR ratio (>140%) adaptable to the PS-MOSFET application. The implemented PS-MOSFETs show clear modulation of the output current controlled by the magnetization configuration of the MTJs, and a maximum magnetocurrent ratio of 90% is achieved. These magnetocurrent behaviour is quantitatively consistent with those predicted by HSPICE simulations. The developed integration technique using a MPW CMOS chip would also be applied to monolithic integration of CMOS devices/circuits and other various functional devices/materials, which would open the door for exploring CMOS-based new functional hybrid circuits.

  17. Low-cost carriers fare competition effect

    NARCIS (Netherlands)

    Carmona Benitez, R.B.; Lodewijks, G.

    2010-01-01

    This paper examines the effects that low-cost carriers (LCC’s) produce when entering new routes operated only by full-service carriers (FSC’s) and routes operated by low-cost carriers in competition with full-service carriers. A mathematical model has been developed to determine what routes should

  18. Problem framing in new innovation spaces

    DEFF Research Database (Denmark)

    Lema, Rasmus

    2015-01-01

    This paper is concerned with the global distribution of innovation activity. It uses the case of the software industry to show that there is considerable scope for innovation as an incremental extension of routine outsourcing low-cost countries. To show this, the paper develops a new vocabulary...... for the analysis of innovation outsourcing in global value chains. It then draws on qualitative case study research focused on outsourcing from firms in OECD countries to firms in Bangalore, India. Some buyers are willing to farm out ‘advanced’ activities to firms of the new innovation spaces. But such advanced...... to developing countries fails to recognize that innovation tasks, even advanced ones, are becoming increasingly mobile. It also explains why innovative software activities including problem framing activities are likely to follow the relocation of routine ‘software production’ activities to the new innovation...

  19. Transforming Ordinary Buildings into Smart Buildings via Low-Cost, Self-Powering Wireless Sensors & Sensor Networks

    Energy Technology Data Exchange (ETDEWEB)

    Feng, Philip [Case Western Reserve Univ., Cleveland, OH (United States)

    2017-06-09

    The research objective of this project is to design and demonstrate a low-cost, compact, easy-to-deploy, maintenance-free sensor node technology, and a network of such sensors, which enable the monitoring of multiphysical parameters and can transform today’s ordinary buildings into smart buildings with environmental awareness. We develop the sensor node and network via engineering and integration of existing technologies, including high-efficiency mechanical energy harvesting, and ultralow-power integrated circuits (ICs) for sensing and wireless communication. Through integration and innovative power management via specifically designed low-power control circuits for wireless sensing applications, and tailoring energy-harvesting components to indoor applications, the target products will have smaller volume, higher efficiency, and much lower cost (in both manufacturing and maintenance) than the baseline technology. Our development and commercialization objective is to create prototypes for our target products under the CWRU-Intwine collaboration.

  20. Low-Cost Spectral Sensor Development Description.

    Energy Technology Data Exchange (ETDEWEB)

    Armijo, Kenneth Miguel; Yellowhair, Julius

    2014-11-01

    Solar spectral data for all parts of the US is limited due in part to the high cost of commercial spectrometers. Solar spectral information is necessary for accurate photovoltaic (PV) performance forecasting, especially for large utility-scale PV installations. A low-cost solar spectral sensor would address the obstacles and needs. In this report, a novel low-cost, discrete- band sensor device, comprised of five narrow-band sensors, is described. The hardware is comprised of commercial-off-the-shelf components to keep the cost low. Data processing algorithms were developed and are being refined for robustness. PV module short-circuit current ( I sc ) prediction methods were developed based on interaction-terms regression methodology and spectrum reconstruction methodology for computing I sc . The results suggest the computed spectrum using the reconstruction method agreed well with the measured spectrum from the wide-band spectrometer (RMS error of 38.2 W/m 2 -nm). Further analysis of computed I sc found a close correspondence of 0.05 A RMS error. The goal is for ubiquitous adoption of the low-cost spectral sensor in solar PV and other applications such as weather forecasting.

  1. International Collaboration: the Virtuous Cycle of Low Carbon Innovation and Diffusion. An Analysis of Solar Photovoltaic, Concentrating Solar Power and Carbon Capture and Storage

    International Nuclear Information System (INIS)

    Dominique, Katheen

    2010-01-01

    International collaboration can be leveraged to accelerate the innovation and diffusion of low carbon technologies required to realize the shift to a low carbon trajectory. A collaborative approach to innovation has the potential to capture several benefits, including: pooling risks and achieving scale; knowledge sharing that accommodates competition and cooperation; the creation of a global market; facilitation of policy learning and exchange; and the alignment of technology, finance and policy. International Collaboration: the Virtuous Cycle of Low Carbon Innovation and Diffusion An Analysis of Solar Photovoltaic, Concentrating Solar Power and Carbon Capture and Storage A range of obstacles to the diffusion of low carbon technologies provides ample opportunity for international collaboration in global market creation and capacity building, expanding beyond conventional modes of technology transfer. Current collaborative efforts for carbon capture and storage, solar photovoltaic and concentrating solar power technologies are active in all stages of innovation and diffusion and involve a wide range of actors. Yet, current efforts are not sufficient to achieve the necessary level of emission mitigation at the pace required to avoid catastrophic levels of atmospheric destabilization. This analysis sets forth recommendation to scale up current endeavors and create new ones. The analysis begins by describing the fundamental characteristics of innovation and diffusion processes that create opportunities for international collaboration. It then illustrates a broad array of on-going collaborative activities, depicting how these efforts contribute to innovation and diffusion. Finally, highlighting the gap between the current level of collaborative activities and technology targets deemed critical for emission mitigation, the report sets forth several recommendations to build on current efforts and construct new endeavors

  2. Hydrocarbons - In the era of low-cost oil

    International Nuclear Information System (INIS)

    Dupin, Ludovic; Delamarche, Myrtille; Cognasse, Olivier; De Jaegher, Thibaut; Fleitour, Gaelle

    2015-01-01

    A set of articles addresses the current context of low cost oil and steep drop in oil prices. Graphs illustrate the evolution of oil prices, of supply and demand, of exploitation costs. This drop is partly due to the high level of production in Russia, Iraq and USA. This context results in drastic reductions of investments by companies involved in the oil sector, and therefore in job reduction, and in reduction of service costs. These reductions impact actors of the seismic analysis sector. Other consequences are more positive for consumers and refiners, and a trend towards diversification and consolidation for operators. The chairman of Technip answers some questions on Technip activities, situation and strategy. The case of the petrochemical sector is also addressed

  3. Research and Innovation in Physics Education: Transforming Classrooms, Teaching, and Student Learning at the Tertiary Level

    Science.gov (United States)

    Jolly, Pratibha

    2009-04-01

    It is well recognized that science and technology and the quality of scientifically trained manpower crucially determines the development and economic growth of nations and the future of humankind. At the same time, there is growing global concern about flight of talent from physics in particular, and the need to make physics teaching and learning effective and careers in physics attractive. This presentation presents the findings of seminal physics education research on students' learning that are impacting global praxis and motivating changes in content, context, instruments, and ways of teaching and learning physics, focusing on active learning environments that integrate the use of a variety of resources to create experiences that are both hands-on and minds-on. Initiatives to bring about innovative changes in a university system are described, including a triadic model that entails indigenous development of PHYSARE using low-cost technologies. Transfer of pedagogic innovations into the formal classroom is facilitated by professional development programs that provide experiential learning of research-based innovative teaching practices, catalyze the process of reflection through classroom research, and establish a collaborative network of teachers empowered to usher radical transformation.

  4. Business Model Innovation in Airlines

    Directory of Open Access Journals (Sweden)

    Bruno Alencar Pereira

    2017-03-01

    Full Text Available The business models innovation in airlines can contribute to the creation of value, competitive advantage and profitability with new possibilities of action. The proposed paper aimed to identify the business models adopted by airlines and identify how the innovation occurs at these organizations. The methodology adopted is characterized as empirical, exploratory and descriptive research by multiple case study with three major Brazilian airlines. The results demonstrate that the search for paradigm breaks, related to the dichotomic traditional models of low-cost and full-service, toward hybrid business models occur linearly, as examples highlighted by companies, in which internal changes in business models are considered major organizational innovations.

  5. Cost-effective treatment of low-risk carcinoma not invading bladder muscle.

    Science.gov (United States)

    Green, David A; Rink, Michael; Cha, Eugene K; Xylinas, Evanguelos; Chughtai, Bilal; Scherr, Douglas S; Shariat, Shahrokh F; Lee, Richard K

    2013-03-01

    Study Type - Therapy (cost effectiveness analysis) Level of Evidence 2a What's known on the subject? and What does the study add? Bladder cancer is one of the costliest malignancies to treat throughout the life of a patient. The most cost-effective management for low-risk non-muscle-invasive bladder cancer is not known. The current study shows that employing cystoscopic office fulguration for low-risk appearing bladder cancer recurrences can materially impact the cost-effectiveness of therapy. In a follow-up protocol where office fulguration is routinely employed for low-risk bladder cancers, peri-operative intravesical chemotherapy may not provide any additional cost-effectiveness benefit. To examine the cost-effectiveness of fulguration vs transurethral resection of bladder tumour (TURBT) with and without perioperative intravesical chemotherapy (PIC) for managing low-risk carcinoma not invading bladder muscle (NMIBC). Low-risk NMIBC carries a low progression rate, lending support to the use of office-based fulguration for small recurrences rather than traditional TURBT. A Markov state transition model was created to simulate treatment of NMIBC with vs without PIC, with recurrence treated by formal TURBT vs treatment with fulguration. Costing data were obtained from the Medicare Resource Based Relative Value Scale. Data regarding the success of PIC were obtained from the peer-reviewed literature, as were corresponding utilities for bladder cancer-related procedures. Sensitivity analyses were performed. At 5-year follow-up, a strategy of fulguration without PIC was the most cost-effective (mean cost-effectiveness = US $654.8/quality-adjusted life year), despite a lower recurrence rate with PIC. Both fulguration strategies dominated each TURBT strategy. Sensitivity analysis showed that fulguration without PIC dominated all other strategies when the recurrence rate after PIC was increased to ≥14.2% per year. Similarly, the cost-effectiveness of TURBT becomes more

  6. Grand Challenge Portfolio: Driving Innovation in Industrial Energy Efficiency

    Energy Technology Data Exchange (ETDEWEB)

    None

    2011-01-04

    Under the Recovery Act, AMO provided cost-shared funding for early-stage, low-cost, "concept definition studies" of 47 promising innovations for next-generation manufacturing, energy-intensive processes, advanced materials, and greenhouse gas emissions reduction. The brochure provides information on each of these projects.

  7. Low-Cost Experimentation for the Study of Droplet Microfluidics

    Science.gov (United States)

    Bardin, David; Lee, Abraham P.

    2014-01-01

    The continued growth of microfluidics into industry settings in areas such as point-of-care diagnostics and targeted therapeutics necessitates a workforce trained in microfluidic technologies and experimental methods. Laboratory courses for students at the university and high school levels will require cost-effective in-class demonstrations that instruct in chip design, fabrication, and experimentation at the microscale. We present a hand-operated pressure pumping system to form monodisperse picoliter to nanoliter droplet streams at low cost, and a series of exercises aimed at instructing in the specific art of droplet formation. Using this setup, the student is able to generate and observe the modes of droplet formation in flow-focusing devices, and the effect of device dimensions on the characteristics of formed droplets. Lastly, at ultra-low cost we demonstrate large plug formation in a T-junction using coffee stirrers as a master mold substitute. Our method reduces the cost of experimentation to enable intuitive instruction in droplet formation, with additional implications for creating droplets in the field or at point-of-care. PMID:25133595

  8. Low cost balancing unit design

    Science.gov (United States)

    Golembiovsky, Matej; Dedek, Jan; Slanina, Zdenek

    2017-06-01

    This article deals with the design of a low-cost balancing system which consist of battery balancing units, accumulator pack units and coordinator unit with interface for higher level of battery management system. This solution allows decentralized mode of operation and the aim of this work is implementation of controlling and diagnostic mechanism into an electric scooter project realized at Technical university of Ostrava. In todays world which now fully enjoys the prime of electromobility, off-grid battery systems and other, it is important to seek the optimal balance between functionality and the economy side of BMS that being electronics which deals with secondary cells of batery packs. There were numerous sophisticated, but not too practical BMS models in the past, such as centralized system or standalone balance modules of individual cells. This article aims at development of standalone balance modules which are able to communicate with the coordinator, adjust their parameters and ensure their cells safety in case of a communication failure. With the current worldwide cutting cost trend in mind, the emphasis was put on the lowest price possible for individual component. The article is divided into two major categories, the first one being desing of power electronics with emphasis on quality, safety (cooling) and also cost. The second part describes development of a communication interface with reliability and cost in mind. The article contains numerous graphs from practical measurements. The outcome of the work and its possible future is defined in the conclusion.

  9. 1366 Project Silicon: Reclaiming US Silicon PV Leadership

    Energy Technology Data Exchange (ETDEWEB)

    Lorenz, Adam [1366 Technologies, Bedford, MA (United States)

    2016-02-16

    1366 Technologies’ Project Silicon addresses two of the major goals of the DOE’s PV Manufacturing Initiative Part 2 program: 1) How to reclaim a strong silicon PV manufacturing presence and; 2) How to lower the levelized cost of electricity (“LCOE”) for solar to $0.05-$0.07/kWh, enabling wide-scale U.S. market adoption. To achieve these two goals, US companies must commercialize disruptive, high-value technologies that are capable of rapid scaling, defensible from foreign competition, and suited for US manufacturing. These are the aims of 1366 Technologies Direct Wafer ™ process. The research conducted during Project Silicon led to the first industrial scaling of 1366’s Direct Wafer™ process – an innovative, US-friendly (efficient, low-labor content) manufacturing process that destroys the main cost barrier limiting silicon PV cost-reductions: the 35-year-old grand challenge of making quality wafers (40% of the cost of modules) without the cost and waste of sawing. The SunPath program made it possible for 1366 Technologies to build its demonstration factory, a key and critical step in the Company’s evolution. The demonstration factory allowed 1366 to build every step of the process flow at production size, eliminating potential risk and ensuring the success of the Company’s subsequent scaling for a 1 GW factory to be constructed in Western New York in 2016 and 2017. Moreover, the commercial viability of the Direct Wafer process and its resulting wafers were established as 1366 formed key strategic partnerships, gained entry into the $8B/year multi-Si wafer market, and installed modules featuring Direct Wafer products – the veritable proving grounds for the technology. The program also contributed to the development of three Generation 3 Direct Wafer furnaces. These furnaces are the platform for copying intelligently and preparing our supply chain – large-scale expansion will not require a bigger machine but more machines. SunPath filled the

  10. Rugged, Low Cost, Environmental Sensors for a Turbulent World

    Science.gov (United States)

    Schulz, B.; Sandell, C. T.; Wickert, A. D.

    2017-12-01

    Ongoing scientific research and resource management require a diverse range of high-quality and low-cost sensors to maximize the number and type of measurements that can be obtained. To accomplish this, we have developed a series of diversified sensors for common environmental applications. The TP-DownHole is an ultra-compact temperature and pressure sensor designed for use in CMT (Continuous Multi-channel Tubing) multi-level wells. Its 1 mm water depth resolution, 30 cm altitude resolution, and rugged design make it ideal for both water level measurements and monitoring barometric pressure and associated temperature changes. The TP-DownHole sensor has also been incorporated into a self-contained, fully independent data recorder for extreme and remote environments. This device (the TP-Solo) is based around the TP-DownHole design, but has self-contained power and data storage and is designed to collect data independently for up to 6 months (logging at once an hour), creating a specialized tool for extreme environment data collection. To gather spectral information, we have also developed a very low cost photodiode-based Lux sensor to measure spectral irradiance; while this does not measure the entire solar radiation spectrum, simple modeling to rescale the remainder of the solar spectrum makes this a cost-effective alternative to a thermopile pyranometer. Lastly, we have developed an instrumentation amplifier which is designed to interface a wide range of sensitive instruments to common data logging systems, such as thermopile pyranometers, thermocouples, and many other analog output sensors. These three instruments are the first in a diverse family aimed to give researchers a set of powerful and low-cost tools for environmental instrumentation.

  11. Business models for frugal innovation : the role of Resource-Constraints

    OpenAIRE

    Winterhalter, Stephan; Zeschky, Marco; Gassmann, Oliver; Weiblen, Tobias

    2014-01-01

    Frugal Innovation is an extreme case of innovation: radically new applications are innovated for an environment of extreme resource and cost constraints. While the phenomenon of frugal innovation has been described from a product perspective, very little is known about how firms organize frugal innovation on a business model level. This study is based on a multiple case study approach investigating five business models for frugal innovation in the context of the medical equipment market in em...

  12. Synchrotron Radiation Total Reflection X-ray Fluorescence Spectroscopy for Microcontamination Analysis on Silicon Wafer Surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Takaura, Norikatsu

    1997-10-01

    As dimensions in state-of-the-art CMOS devices shrink to less than 0.1 pm, even low levels of impurities on wafer surfaces can cause device degradation. Conventionally, metal contamination on wafer surfaces is measured using Total Reflection X-Ray Fluorescence Spectroscopy (TXRF). However, commercially available TXRF systems do not have the necessary sensitivity for measuring the lower levels of contamination required to develop new CMOS technologies. In an attempt to improve the sensitivity of TXRF, this research investigates Synchrotron Radiation TXRF (SR TXRF). The advantages of SR TXRF over conventional TXRF are higher incident photon flux, energy tunability, and linear polarization. We made use of these advantages to develop an optimized SR TXRF system at the Stanford Synchrotron Radiation Laboratory (SSRL). The results of measurements show that the Minimum Detection Limits (MDLs) of SR TXRF for 3-d transition metals are typically at a level-of 3x10{sup 8} atoms/cm{sup 2}, which is better than conventional TXRF by about a factor of 20. However, to use our SR TXRF system for practical applications, it was necessary to modify a commercially available Si (Li) detector which generates parasitic fluorescence signals. With the modified detector, we could achieve true MDLs of 3x10{sup 8} atoms/cm{sup 2} for 3-d transition metals. In addition, the analysis of Al on Si wafers is described. Al analysis is difficult because strong Si signals overlap the Al signals. In this work, the Si signals are greatly reduced by tuning the incident beam energy below the Si K edge. The results of our measurements show that the sensitivity for Al is limited by x-ray Raman scattering. Furthermore, we show the results of theoretical modeling of SR TXRF backgrounds consisting of the bremsstrahlung generated by photoelectrons, Compton scattering, and Raman scattering. To model these backgrounds, we extended conventional theoretical models by taking into account several aspects particular

  13. Strategies to fight low-cost rivals.

    Science.gov (United States)

    Kumar, Nirmalya

    2006-12-01

    Companies find it challenging and yet strangely reassuring to take on opponents whose strategies, strengths, and weaknesses resemble their own. Their obsession with familiar rivals, however, has blinded them to threats from disruptive, low-cost competitors. Successful price warriors, such as the German retailer Aldi, are changing the nature of competition by employing several tactics: focusing on just one or a few consumer segments, delivering the basic product or providing one benefit better than rivals do, and backing low prices with superefficient operations. Ignoring cutprice rivals is a mistake because they eventually force companies to vacate entire market segments. Price wars are not the answer, either: Slashing prices usually lowers profits for incumbents without driving the low-cost entrants out of business. Companies take various approaches to competing against cut-price players. Some differentiate their products--a strategy that works only in certain circumstances. Others launch low-cost businesses of their own, as many airlines did in the 1990s--a so-called dual strategy that succeeds only if companies can generate synergies between the existing businesses and the new ventures, as the financial service providers HSBC and ING did. Without synergies, corporations are better off trying to transform themselves into low-cost players, a difficult feat that Ryanair accomplished in the 1990s, or into solution providers. There will always be room for both low-cost and value-added players. How much room each will have depends not only on the industry and customers' preferences, but also on the strategies traditional businesses deploy.

  14. Household level innovation diffusion model of photo-voltaic (PV) solar cells from stated preference data

    International Nuclear Information System (INIS)

    Islam, Towhidul

    2014-01-01

    We focus on predicting the adoption time probabilities of photo-voltaic solar panels by households using discrete choice experiments and an innovation diffusion model. The primary objective of this research is cohesively mapping the theory of disruptive innovation into diffusion of innovations to aid policy makers by linking two critical uncertainties of new technology: (1) whether households prefer the new attributes of the new technology and how these preferences vary by market segments? and (2) when are they going to adopt (if at all)? Our study uses recent developments of discrete choice experiments and establishes a causal link between the attributes of the technology, attitudinal constructs and socio-demographics, and adoption time probabilities using the Bass diffusion model. The data was collected from Ontario, a province of Canada. The innovation diffusion model allows us to compute the cumulative probability of adoption over time per household. Technology awareness and energy cost saving have a significant effect on the adoption probability, reinforcing the need for effective education. These findings also suggest that campaigns should explain more about investment criteria, feed-in tariffs and environmental attributes. This study findings call for a need to use seeding strategies to accelerate exogenous Word-of-Mouth (WOM) for this new technology. - Highlights: • Adoption of renewable energy (i.e. solar PV panels) and theory of disruptive innovations. • Household level innovation diffusion model from discrete choice experiments with time intent questions. • Variation in adoption probabilities by market segments. • Promotions should explain new attributes and lack of consistency of households especially on market develop policies. • Data from Ontario, province of Canada, with generous feed-in tariff for solar households

  15. low-cost apparatus from locally available materials for teaching

    African Journals Online (AJOL)

    unesco

    twofold: i) to design and produce appropriate low cost apparatus from locally .... How are the low-cost and manufactured apparatus compared in terms of cost and efficiency? ... BASIC TOOLS FOR THE LOW COST APPARATUS PRODUCTION.

  16. High frequency guided wave propagation in monocrystalline silicon wafers

    Science.gov (United States)

    Pizzolato, Marco; Masserey, Bernard; Robyr, Jean-Luc; Fromme, Paul

    2017-04-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. The cutting process can introduce micro-cracks in the thin wafers and lead to varying thickness. High frequency guided ultrasonic waves are considered for the structural monitoring of the wafers. The anisotropy of the monocrystalline silicon leads to variations of the wave characteristics, depending on the propagation direction relative to the crystal orientation. Full three-dimensional Finite Element simulations of the guided wave propagation were conducted to visualize and quantify these effects for a line source. The phase velocity (slowness) and skew angle of the two fundamental Lamb wave modes (first anti-symmetric mode A0 and first symmetric mode S0) for varying propagation directions relative to the crystal orientation were measured experimentally. Selective mode excitation was achieved using a contact piezoelectric transducer with a custom-made wedge and holder to achieve a controlled contact pressure. The out-of-plane component of the guided wave propagation was measured using a noncontact laser interferometer. Good agreement was found with the simulation results and theoretical predictions based on nominal material properties of the silicon wafer.

  17. Development of technology for thin substrate polycrystalline solar cells for practical use. Development of manufacturing technologies for low-cost substrates (low-cost Si sheets by continuous casting method); Usugata takessho taiyo denchi seizo gijutsu no jitsuyoka kenkyu. Tei cost kiban seizo gijutsu kaihatsu (renzoku cast ho ni yoru tei cost Si kiban seizo gijutsu kaihatsu)

    Energy Technology Data Exchange (ETDEWEB)

    Tatsuta, M [New Energy and Industrial Technology Development Organization, Tokyo (Japan)

    1994-12-01

    This paper reports the study results on manufacturing of low-cost Si substrates by continuous casting method in fiscal 1994. (1) On manufacturing of ingots of 16 piece size, the ingot of nearly 170kg was manufactured by batch process using the Si melt injection unit prepared in last year. (2) On oxygen and carbon contents in wafers, the contents were measured by FT-IR after slicing of the ingot. As a result, the oxygen and carbon contents could be successfully reduced to the targets of 10ppma and 5ppma or less, respectively. (3) The resistivity distribution of the ingot ranged over the target of 1-2ohm-cm. (4) Cells of 100 {times} 100mm{sup 2} wide and 350{mu}m thick were verified by in-house evaluation process. Although lower cell conversion efficiency was found at the center top of the ingot, a vertical efficiency stability was nearly sufficient as a whole. (5) On the crystal growth unit prepared in fiscal 1994, any problems were not found on automatic driving and vibration during moving. 8 figs.

  18. Development of parametric material, energy, and emission inventories for wafer fabrication in the semiconductor industry.

    Science.gov (United States)

    Murphy, Cynthia F; Kenig, George A; Allen, David T; Laurent, Jean-Philippe; Dyer, David E

    2003-12-01

    Currently available data suggest that most of the energy and material consumption related to the production of an integrated circuit is due to the wafer fabrication process. The complexity of wafer manufacturing, requiring hundreds of steps that vary from product to product and from facility to facility and which change every few years, has discouraged the development of material, energy, and emission inventory modules for the purpose of insertion into life cycle assessments. To address this difficulty, a flexible, process-based system for estimating material requirements, energy requirements, and emissions in wafer fabrication has been developed. The method accounts for mass and energy use atthe unit operation level. Parametric unit operation modules have been developed that can be used to predict changes in inventory as the result of changes in product design, equipment selection, or process flow. A case study of the application of the modules is given for energy consumption, but a similar methodology can be used for materials, individually or aggregated.

  19. CREATIVITY AND INNOVATIVENESS IN PUBLIC SECTOR

    OpenAIRE

    Anamarija Kejžar; Franc Vidic

    2014-01-01

    There are many factors that influence the community performance. In order to sustain competitive advantage and to increase performance, a community needs to offer high-quality products at low cost. Many firms have responded to these competitive demands by being innovative. The main objective of this paper is to better understand the innovation process and social capital in “knowledge era”. The paper specifies some competencies and values and their relationship with social interactions at work...

  20. Influence of Si wafer thinning processes on (sub)surface defects

    Energy Technology Data Exchange (ETDEWEB)

    Inoue, Fumihiro, E-mail: fumihiro.inoue@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Uedono, Akira [Division of Applied Physics, Faculty of Pure and Applied Science, University of Tsukuba, Tsukuba, Ibaraki 305-8573 (Japan)

    2017-05-15

    Highlights: • Mono-vacancy free Si-thinning can be accomplished by combining several thinning techniques. • The grinding damage needs to be removed prior to dry etching, otherwise vacancies remain in the Si at a depth around 0.5 to 2 μm after Si wafer thickness below 5 μm. • The surface of grinding + CMP + dry etching is equivalent mono vacancy level as that of grinding + CMP. - Abstract: Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5–2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in

  1. High sensitivity detection and characterization of the chemical state of trace element contamination on silicon wafers

    CERN Document Server

    Pianetta, Piero A; Baur, K; Brennan, S; Homma, T; Kubo, N

    2003-01-01

    Increasing the speed and complexity of semiconductor integrated circuits requires advanced processes that put extreme constraints on the level of metal contamination allowed on the surfaces of silicon wafers. Such contamination degrades the performance of the ultrathin SiO sub 2 gate dielectrics that form the heart of the individual transistors. Ultimately, reliability and yield are reduced to levels that must be improved before new processes can be put into production. It should be noted that much of this metal contamination occurs during the wet chemical etching and rinsing steps required for the manufacture of integrated circuits and industry is actively developing new processes that have already brought the metal contamination to levels beyond the measurement capabilities of conventional analytical techniques. The measurement of these extremely low contamination levels has required the use of synchrotron radiation total reflection X-ray fluorescence (SR-TXRF) where sensitivities 100 times better than conv...

  2. Legal and Regulatory Barriers to Reverse Innovation.

    Science.gov (United States)

    Rowthorn, Virginia; Plum, Alexander J; Zervos, John

    Reverse innovation, or the importation of new, affordable, and efficacious models to high-income countries from the developing world, has emerged as a way to improve the health care system in the United States. Reverse innovation has been identified as a key emerging trend in global health systems in part because low-resourced settings are particularly good laboratories for low-cost/high-impact innovations that are developed out of necessity. A difficult question receiving scant attention is that of legal and regulatory barriers. The objective of this paper is to understand and elucidate the legal barriers faced by innovators bringing health interventions to the United States. Semistructured qualitative interviews were conducted with 9 key informants who have directly participated in the introduction of global health care approaches to the United States health system. A purposive sampling scheme was employed to identify participants. Phone interviews were conducted over one week in July 2016 with each participant and lasted an average of 35 minutes each. Purely legal barriers included questions surrounding tort liability, standard of care, and concerns around patient-administered self-care. Regulatory burdens included issues of international medical licensure, reimbursement, and task shifting and scope of work challenges among nonprofessionals (e.g. community health workers). Finally, perceived (i.e. not realized or experienced) legal and regulatory barriers to innovative modalities served as disincentives to bringing products or services developed outside of the United States to the United States market. Conflicting interests within the health care system, safety concerns, and little value placed on low-cost interventions inhibit innovation. Legal and regulatory barriers rank among, and contribute to, an anti-innovation atmosphere in healthcare for domestic and reverse innovators alike. Reverse innovation should be fostered through the thoughtful development of

  3. Commercial Motion Sensor Based Low-Cost and Convenient Interactive Treadmill

    Directory of Open Access Journals (Sweden)

    Jonghyun Kim

    2015-09-01

    Full Text Available Interactive treadmills were developed to improve the simulation of overground walking when compared to conventional treadmills. However, currently available interactive treadmills are expensive and inconvenient, which limits their use. We propose a low-cost and convenient version of the interactive treadmill that does not require expensive equipment and a complicated setup. As a substitute for high-cost sensors, such as motion capture systems, a low-cost motion sensor was used to recognize the subject’s intention for speed changing. Moreover, the sensor enables the subject to make a convenient and safe stop using gesture recognition. For further cost reduction, the novel interactive treadmill was based on an inexpensive treadmill platform and a novel high-level speed control scheme was applied to maximize performance for simulating overground walking. Pilot tests with ten healthy subjects were conducted and results demonstrated that the proposed treadmill achieves similar performance to a typical, costly, interactive treadmill that contains a motion capture system and an instrumented treadmill, while providing a convenient and safe method for stopping.

  4. TXRF with synchrotron radiation. Analysis of Ni on Si-wafer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wobrauschek, P [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Kregsamer, P [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Ladisich, W [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Streli, C [Atominstitut der Oesterreichischen Universitaeten, Vienna (Austria); Pahlke, S [Wacker Chemitronic GmbH, D-84479 Burghausen (Germany); Fabry, L [Wacker Chemitronic GmbH, D-84479 Burghausen (Germany); Garbe, S [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany); Haller, M [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany); Knoechel, A [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany); Radtke, M [Institut fuer Anorg. u. Angew. Chemie, Universitaet Hamburg, Martin-Luther King-Pl.6, D-20146 Hamburg (Germany)

    1995-09-11

    SR-TXRF (Synchrotron Radiation excited Total Reflection X-ray Fluorescence Analysis) with monoenergetic radiation produced by a W/C multilayer monochromator has been applied to the analysis of Ni on a Si-wafer surface. An intentionally contaminated wafer with 100 pg has been used to determine the detection limits. 13 fg have been achieved for Ni at a beam current of 73 mA and extrapolated to 1000 s. This technique simulates the sample preparation technique of Vapour Phase Decomposition (VPD) on a wafer surface. (orig.).

  5. TXRF with synchrotron radiation. Analysis of Ni on Si-wafer surfaces

    International Nuclear Information System (INIS)

    Wobrauschek, P.; Kregsamer, P.; Ladisich, W.; Streli, C.; Pahlke, S.; Fabry, L.; Garbe, S.; Haller, M.; Knoechel, A.; Radtke, M.

    1995-01-01

    SR-TXRF (Synchrotron Radiation excited Total Reflection X-ray Fluorescence Analysis) with monoenergetic radiation produced by a W/C multilayer monochromator has been applied to the analysis of Ni on a Si-wafer surface. An intentionally contaminated wafer with 100 pg has been used to determine the detection limits. 13 fg have been achieved for Ni at a beam current of 73 mA and extrapolated to 1000 s. This technique simulates the sample preparation technique of Vapour Phase Decomposition (VPD) on a wafer surface. (orig.)

  6. Low Cost Advanced Thermoelectric (TE) Technology for Automotive Waste Heat Recovery

    Science.gov (United States)

    Meisner, G. P.

    2014-03-01

    Low cost, fully integrated TE generators (TEGs) to recover waste heat from vehicle exhaust will reduce transportation sector energy consumption and emissions. TEGs will be the first application of high-temperature TE materials for high-volume use and establish new industrial sectors with scaled up production capability of TEG materials and components. We will create a potential supply chain for practical automotive TEGs and identify manufacturing and assembly processes for large scale production of TEG materials and components. Our work focusses on several innovative R&D paths: (1) enhanced TE material performance by doping and compositional tuning, (2) optimized TE material fabrication and processing to reduce thermal conductivity and improve fracture strength, (3) high volume production for successful skutterudite commercialization, (4) new material, nanostructure, and nanoscale approaches to reduce thermal interface and electrical contact resistances, (5) innovative heat exchangers for high efficiency heat flows and optimum temperature profiles despite highly variable exhaust gas operating conditions, (6) new modeling and simulation tools, and (7) inexpensive materials for thermal insulation and coatings for TE encapsulation. Recent results will be presented. Supported by the U.S. DOE Vehicle Technology Program.

  7. A low cost liquid metal reactor design

    International Nuclear Information System (INIS)

    Arnold, W.H.; Anderson, C.A.; Mangus, J.D.

    1984-01-01

    A new, compact Liquid Metal Reactor (LMR) plant arrangement designed by Westinghouse, featuring factory-fabricated modules and an integrated fuel cycle facility, has made it possible to project a commercially competitive LMR plant for the near future. This innovative liquid metal-cooled plant design will allow a combination of capital, fuel, operation and maintenance costs that could be lower than today's fossil-fueled or light water reactor plant costs, and incorporate features which enhance public safety even beyond current high standards. Following early core loadings, the plant feeds only on depleted uranium. No shipment of fuel is required. And the plant can be tailored to produce enough plutonium to meet its need or to provide fuel for other nuclear plants

  8. Intense volume reduction of mixed and low-level waste, solidification in sulphur polymer concrete, and excellent disposal at minimum cost

    International Nuclear Information System (INIS)

    Darnell, G.R.

    1990-01-01

    Progressive changes in regulations governing the disposal of the nation's radioactive and hazardous wastes demand the development of more advanced treatment and disposal systems. The U.S. Department of Energy's Radioactive Waste Technology Support Program (formerly the Defense Low-Level Waste Management Program) was given the task of demonstrating the degree of excellence that could be achieved at reasonable cost using existing technology. The resulting concept is a Waste Treatment and Disposal Complex that will fully treat contact-handled mixed and low-level radioactive waste to a disposable product that is totally liquid-free and approximately 98% inorganic. An excellent volume reduction factor is achieved through sorting, sizing, incineration, vitrification, and final grouting. Inorganic waste items larger than 1/4 in. will be placed in inexpensive, uniform-sized, smooth-sided, thin-walled steel boxes. The smaller particles will be mixed with sulfur polymer concrete and pumped into the boxes, filling most voids. The appendage-free boxes measuring 1 by 1 by 1 m will be stacked tightly in an abovegrade, earth-mounded, concrete disposal vault where a temporary roof will protect them from rain and snow. A concrete roof poured directly on top of the dense, essentially voidless waste stack will be topped by an engineered, water-shedding earthen cover. Total cost for design, construction, testing, 30 years of treatment and disposal, administration, decontamination and decommissioning, site closure, and postclosure monitoring and maintenance will cost less per cubic foot than is currently expended for subsurface disposal. A radiological performance assessment shows this concept will exceed the nation's existing disposal systems and governmental performance objectives for the protection of the general public by a factor of 30,000

  9. Predictors of Individual-Level Innovation at Work: A Meta-Analysis

    OpenAIRE

    Hammond, Michelle M; Neff, Nicole L; Farr, James L; Schwall, Alexander R; Zhao, Xinyuan

    2011-01-01

    peer-reviewed Numerous narrative reviews related to innovation in work organizations have been published, yet very few quantitative reviews have been conducted. The present meta-analysis investigates the relationships between four predictor types (individual differences, motivation, job characteristics, and contextual influences) and individual-level workplace innovation. Results indicated that individual factors, characteristics of the job, and factors of the environment were moderately a...

  10. EFFECT OF CORPORATE INNOVATION ABILITY ON THE CHOICE BETWEEN PRODUCT INNOVATION AND PROCESS INNOVATION

    OpenAIRE

    Zeng Wu; Tian Zhilong

    2010-01-01

    With the method of duopoly game theory, the effect of firms’ innovation ability on the choice between product and process innovation is studied. The concept of cost coefficient of product innovation is introduced, and the criterion equation for the innovation type is derived. The following conclusions are made: the more the product innovation ability, the more the possibility for the firms to carry out the product innovation in both the Bertrand and the Cournot competitions. Wi...

  11. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming

    2014-10-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  12. Silicon wafer wettability and aging behaviors: Impact on gold thin-film morphology

    KAUST Repository

    Yang, Xiaoming; Zhong, Zhaowei; Diallo, Elhadj; Wang, Zhihong; Yue, Weisheng

    2014-01-01

    This paper reports on the wettability and aging behaviors of the silicon wafers that had been cleaned using a piranha (3:1 mixture of sulfuric acid (H2SO4, 96%) and hydrogen peroxide (H2O 2, 30%), 120 °C), SC1 (1:1:5 mixture of NH4OH, H 2O2 and H2O, at 80°C) or HF solution (6 parts of 40% NH4F and 1 part of 49% HF, at room temperature) solution, and treated with gaseous plasma. The silicon wafers cleaned using the piranha or SC1 solution were hydrophilic, and the water contact angles on the surfaces would increase along with aging time, until they reached the saturated points of around 70°. The contact angle increase rate of these wafers in a vacuum was much faster than that in the open air, because of loss of water, which was physically adsorbed on the wafer surfaces. The silicon wafers cleaned with the HF solution were hydrophobic. Their contact angle decreased in the atmosphere, while it increased in the vacuum up to 95°. Gold thin films deposited on the hydrophilic wafers were smoother than that deposited on the hydrophobic wafers, because the numerous oxygen groups formed on the hydrophilic surfaces would react with gold adatoms in the sputtering process to form a continuous thin film at the nucleation stage. The argon, nitrogen, oxygen gas plasma treatments could change the silicon wafer surfaces from hydrophobic to hydrophilic by creating a thin (around 2.5 nm) silicon dioxide film, which could be utilized to improve the roughness and adhesion of the gold thin film. © 2014 Elsevier Ltd. All rights reserved.

  13. Pilot Comparative Case Study on Eco-innovation Dynamics at firm level

    DEFF Research Database (Denmark)

    Yang, Yan; Du, Xiangyun; SHAO, Yunfei

    2010-01-01

    This paper pays special attention to eco-innovations dynamics at firm level. Triple helix twins are employed to disclose the complex collaborations within and across the case companies, as it provides us the possibilities of looking beyond the simpler, linear model of innovation and. Grundfos...... and environmental regulations. Dong Fang (China) is identified as a company at the very beginning of eco-innovation, as its compliance with environmental standards such as ISO 9001, ISO14001, OHSAS 18001 is absent at present; its collaborations with government and universities or research institutions...... helix twins and eco-innovation dynamics is addressed....

  14. Bondability of processed glass wafers

    NARCIS (Netherlands)

    Pandraud, G.; Gui, C.; Lambeck, Paul; Pigeon, F.; Parriaux, O.; Gorecki, Christophe

    1999-01-01

    The mechanism of direct bonding at room temperature has been attributed to the short range inter-molecular and inter-atomic attraction forces, such as Van der Waals forces. Consequently, the wafer surface smoothness becomes one of the most critical parameters in this process. High surface roughness

  15. Low Cost Benefit Suggestions.

    Science.gov (United States)

    Doyel, Hoyt W.; McMillan, John D.

    1980-01-01

    Outlines eight low-cost employee benefits and summarizes their relative advantages. The eight include a stock ownership program, a sick leave pool, flexible working hours, production incentives, and group purchase plans. (IRT)

  16. ILT based defect simulation of inspection images accurately predicts mask defect printability on wafer

    Science.gov (United States)

    Deep, Prakash; Paninjath, Sankaranarayanan; Pereira, Mark; Buck, Peter

    2016-05-01

    printability of defects at wafer level and automates the process of defect dispositioning from images captured using high resolution inspection machine. It first eliminates false defects due to registration, focus errors, image capture errors and random noise caused during inspection. For the remaining real defects, actual mask-like contours are generated using the Calibre® ILT solution [1][2], which is enhanced to predict the actual mask contours from high resolution defect images. It enables accurate prediction of defect contours, which is not possible from images captured using inspection machine because some information is already lost due to optical effects. Calibre's simulation engine is used to generate images at wafer level using scanner optical conditions and mask-like contours as input. The tool then analyses simulated images and predicts defect printability. It automatically calculates maximum CD variation and decides which defects are severe to affect patterns on wafer. In this paper, we assess the printability of defects for the mask of advanced technology nodes. In particular, we will compare the recovered mask contours with contours extracted from SEM image of the mask and compare simulation results with AIMSTM for a variety of defects and patterns. The results of printability assessment and the accuracy of comparison are presented in this paper. We also suggest how this method can be extended to predict printability of defects identified on EUV photomasks.

  17. Lamb wave propagation in monocrystalline silicon wafers.

    Science.gov (United States)

    Fromme, Paul; Pizzolato, Marco; Robyr, Jean-Luc; Masserey, Bernard

    2018-01-01

    Monocrystalline silicon wafers are widely used in the photovoltaic industry for solar panels with high conversion efficiency. Guided ultrasonic waves offer the potential to efficiently detect micro-cracks in the thin wafers. Previous studies of ultrasonic wave propagation in silicon focused on effects of material anisotropy on bulk ultrasonic waves, but the dependence of the wave propagation characteristics on the material anisotropy is not well understood for Lamb waves. The phase slowness and beam skewing of the two fundamental Lamb wave modes A 0 and S 0 were investigated. Experimental measurements using contact wedge transducer excitation and laser measurement were conducted. Good agreement was found between the theoretically calculated angular dependency of the phase slowness and measurements for different propagation directions relative to the crystal orientation. Significant wave skew and beam widening was observed experimentally due to the anisotropy, especially for the S 0 mode. Explicit finite element simulations were conducted to visualize and quantify the guided wave beam skew. Good agreement was found for the A 0 mode, but a systematic discrepancy was observed for the S 0 mode. These effects need to be considered for the non-destructive testing of wafers using guided waves.

  18. Manufacturing of Low Cost, Durable Membrane Electrode Assemblies Engineered for Rapid Conditioning

    Energy Technology Data Exchange (ETDEWEB)

    Busby, Colin [W. L. Gore & Associates Inc., Newark, DE (United States)

    2017-05-23

    Over the past 20 years significant progress in membrane-electrode assembly (MEA) technology development for polymer electrolyte fuel cells (PEMFCs) has resulted in the PEMFC technology approaching a commercial reality for transportation applications. However, there remain two primary technical challenges to be addressed in the MEA. First and foremost is meeting the automotive cost targets: Producing a fuel cell stack cost competitive with today’s internal combustion engine. In addition to the material cost, MEA (and other components) and stack assembly production methods must be amenable for use in low cost, high speed, automotive assembly line. One impediment to this latter goal is that stack components must currently go through a long and tedious conditioning procedure before they produce optimal power. This so-called “break-in” can take many hours, and can involve quite complex voltage, temperature and/or pressure steps. These break-in procedures must be simplified and the time required reduced if fuel cells are to become a viable automotive engine. The second challenge is to achieve the durability targets in real-world automotive duty cycle operations. Significant improvements in cost, break-in time, and durability for the key component of fuel cell stacks, MEAs were achieved in this project. Advanced modeling was used to guide design of the new MEA to maximize performance and durability. A new, innovative process and manufacturing approach utilizing direct in-line coating using scalable, cost-competitive, continuous high volume 3-layer rolled-good manufacturing processes was developed and validated by single cell and short stack testing. In addition, the direct coating methods employed were shown to reduce the cost for sacrificial films. Furthermore, Gore has demonstrated a 10 µm reinforced membrane that is used in the new low-cost process and can meet automotive power density and durability targets. Across a wide range of operating conditions, the

  19. Low-level radwaste engineering economics

    International Nuclear Information System (INIS)

    Jacobs, M.H.; Miller, C.C.; Young, L.G.

    1984-07-01

    This topical report on engineering economics for low-level radwaste systems details the methodologies used for economic analyses of radwaste treatment systems and provides examples of radwaste economic evaluations. All of the parameters and cost items used in an evaluation are defined. Examples of the present-value-of-revenue-requirements method, levelized-revenue-requirements method, and the equivalent-capital-investment method are provided. Also, the calculation to determine the maximum justifiable capital expenditure for a radwaste system is illustrated. The report also provides examples of economic evaluations for many current radwaste treatment options. These options include evaporation versus demineralization, dewatering resins versus solidification of resins, and several volume reduction systems. 15 figures, 6 tables

  20. Low Cost, Low Power, High Sensitivity Magnetometer

    Science.gov (United States)

    2008-12-01

    which are used to measure the small magnetic signals from brain. Other types of vector magnetometers are fluxgate , coil based, and magnetoresistance...concentrator with the magnetometer currently used in Army multimodal sensor systems, the Brown fluxgate . One sees the MEMS fluxgate magnetometer is...Guedes, A.; et al., 2008: Hybrid - LOW COST, LOW POWER, HIGH SENSITIVITY MAGNETOMETER A.S. Edelstein*, James E. Burnette, Greg A. Fischer, M.G

  1. Determination of wafer center position during the transfer process by using the beam-breaking method

    International Nuclear Information System (INIS)

    Chen, Yi-Cheng; Wang, Zhi-Gen; Huang, Bo-Kai

    2014-01-01

    A wafer on a robot blade may slip due to inertia sliding during the acceleration or deceleration process. This study presents the implementation and experimental verification of a novel real-time wafer positioning system to be used during the transfer process. A system-integration computer program involving a human–machine interface (HMI) was also developed, exhibiting the following functions: (a) moving direction judgment; (b) notch-passing judgment; (c) indicating the sensor by which the notch passes; and (d) computing the wafer center in real time. The position of the wafer center is calculated based on the time-sequence of the beam-breaking signals from two optical sensors, and the geometric relations among the sensing points of the robot blade and wafer. When using eight-inch wafers, the experimental results indicated the capabilities of the proposed positioning system under various conditions, including distinct parameters regarding the moving direction, wafer displacement and notch-passing sensors. The accuracy and precision (repeatability) of the measurement in various conditions were calculated and discussed. Furthermore, the experimental results demonstrate that, after combining the novel wafer positioning system and HMI program, the proposed method can be used to compute the position of the wafer center in real time in various conditions. (paper)

  2. Understanding low-level radioactive waste. National Low-Level Radioactive Waste Management Program

    International Nuclear Information System (INIS)

    1983-10-01

    Chapters are devoted to: background and policymaking for low-level waste management; commercial low-level waste generation; Department of Energy low-level waste generation; low-level waste treatment; packaging and transportation; commercial low-level waste disposal; Department of Energy low-level waste disposal; Department of Energy low-level waste management program; and laws and regulations

  3. An innovative multivariate tool for fuel consumption and costs estimation of agricultural operations

    Directory of Open Access Journals (Sweden)

    Mirko Guerrieri

    2016-12-01

    Full Text Available The estimation of operating costs of agricultural and forestry machineries is a key factor in both planning agricultural policies and farm management. Few works have tried to estimate operating costs and the produced models are normally based on deterministic approaches. Conversely, in the statistical model randomness is present and variable states are not described by unique values, but rather by probability distributions. In this study, for the first time, a multivariate statistical model based on Partial Least Squares (PLS was adopted to predict the fuel consumption and costs of six agricultural operations such as: ploughing, harrowing, fertilization, sowing, weed control and shredding. The prediction was conducted on two steps: first of all few initial selected parameters (time per surface-area unit, maximum engine power, purchase price of the tractor and purchase price of the operating machinery were used to estimate the fuel consumption; then the predicted fuel consumption together with the initial parameters were used to estimate the operational costs. Since the obtained models were based on an input dataset very heterogeneous, these resulted to be extremely efficient and so generalizable and robust. In details the results show prediction values in the test with r always ≥ 0.91. Thus, the approach may results extremely useful for both farmers (in terms of economic advantages and at institutional level (representing an innovative and efficient tool for planning future Rural Development Programmes and the Common Agricultural Policy. In light of these advantages the proposed approach may as well be implemented on a web platform and made available to all the stakeholders.

  4. An innovative multivariate tool for fuel consumption and costs estimation of agricultural operations

    Energy Technology Data Exchange (ETDEWEB)

    Guerrieri, M.; Fedrizzi, M.; Antonucci, F.; Pallottino, F.; Sperandio, G.; Pagano, M.; Figorilli, S.; Menesatti, P.; Costa, C.

    2016-07-01

    The estimation of operating costs of agricultural and forestry machineries is a key factor in both planning agricultural policies and farm management. Few works have tried to estimate operating costs and the produced models are normally based on deterministic approaches. Conversely, in the statistical model randomness is present and variable states are not described by unique values, but rather by probability distributions. In this study, for the first time, a multivariate statistical model based on Partial Least Squares (PLS) was adopted to predict the fuel consumption and costs of six agricultural operations such as: ploughing, harrowing, fertilization, sowing, weed control and shredding. The prediction was conducted on two steps: first of all few initial selected parameters (time per surface-area unit, maximum engine power, purchase price of the tractor and purchase price of the operating machinery) were used to estimate the fuel consumption; then the predicted fuel consumption together with the initial parameters were used to estimate the operational costs. Since the obtained models were based on an input dataset very heterogeneous, these resulted to be extremely efficient and so generalizable and robust. In details the results show prediction values in the test with r always ≥ 0.91. Thus, the approach may results extremely useful for both farmers (in terms of economic advantages) and at institutional level (representing an innovative and efficient tool for planning future Rural Development Programmes and the Common Agricultural Policy). In light of these advantages the proposed approach may as well be implemented on a web platform and made available to all the stakeholders.

  5. An innovative multivariate tool for fuel consumption and costs estimation of agricultural operations

    International Nuclear Information System (INIS)

    Guerrieri, M.; Fedrizzi, M.; Antonucci, F.; Pallottino, F.; Sperandio, G.; Pagano, M.; Figorilli, S.; Menesatti, P.; Costa, C.

    2016-01-01

    The estimation of operating costs of agricultural and forestry machineries is a key factor in both planning agricultural policies and farm management. Few works have tried to estimate operating costs and the produced models are normally based on deterministic approaches. Conversely, in the statistical model randomness is present and variable states are not described by unique values, but rather by probability distributions. In this study, for the first time, a multivariate statistical model based on Partial Least Squares (PLS) was adopted to predict the fuel consumption and costs of six agricultural operations such as: ploughing, harrowing, fertilization, sowing, weed control and shredding. The prediction was conducted on two steps: first of all few initial selected parameters (time per surface-area unit, maximum engine power, purchase price of the tractor and purchase price of the operating machinery) were used to estimate the fuel consumption; then the predicted fuel consumption together with the initial parameters were used to estimate the operational costs. Since the obtained models were based on an input dataset very heterogeneous, these resulted to be extremely efficient and so generalizable and robust. In details the results show prediction values in the test with r always ≥ 0.91. Thus, the approach may results extremely useful for both farmers (in terms of economic advantages) and at institutional level (representing an innovative and efficient tool for planning future Rural Development Programmes and the Common Agricultural Policy). In light of these advantages the proposed approach may as well be implemented on a web platform and made available to all the stakeholders.

  6. Using in situ bioventing to minimize soil vapor extraction costs

    International Nuclear Information System (INIS)

    Downey, D.C.; Frishmuth, R.A.; Archabal, S.R.; Pluhar, C.J.; Blystone, P.G.; Miller, R.N.

    1995-01-01

    Gasoline-contaminated soils may be difficult to remediate with bioventing because high concentrations of gasoline vapors become mobile when air is injected into the soil. Because outward vapor migration is often unacceptable on small commercial sites, soil vapor extraction (SVE) or innovative bioventing techniques are required to control vapors and to increase soil gas oxygen levels to stimulate hydrocarbon biodegradation. Combinations of SVE, off-gas treatment, and bioventing have been used to reduce the costs normally associated with remediation of gasoline-contaminated sites. At Site 1, low rates of pulsed air injection were used to provide oxygen while minimizing vapor migration. At Site 2, a period of high-rate SVE and off-gas treatment was followed by long-term air injection. Site 3 used an innovative approach that combined regenerative resin for ex situ vapor treatment with in situ bioventing to reduce the overall cost of site remediation. At each of these Air Force sites, bioventing provided cost savings when compared to more traditional SVE methods

  7. GIS to support cost-effective decisions on renewable sources applications for low temperature geothermal energy

    CERN Document Server

    Gemelli, Alberto; Diamantini, Claudia; Longhi, Sauro

    2013-01-01

    Through the results of a developed case study of information system for low temperature geothermal energy, GIS to Support Cost-effective Decisions on Renewable Sources addresses the issue of the use of Geographic Information Systems (GIS) in evaluating cost-effectiveness of renewable resource exploitation regional scale. Focusing on the design of a Decision Support System, a process is presented aimed to transform geographic data into knowledge useful for analysis and decision-making on the economic exploitation of geothermal energy. This detailed description includes a literature review and technical issues related to data collection, data mining, decision analysis for the informative system developed for the case study. A multi-disciplinary approach to GIS design is presented which is also an innovative example of fusion of georeferenced data acquired from multiple sources including remote sensing, networks of sensors and socio-economic censuses. GIS to Support Cost-effective Decisions on Renewable Sources ...

  8. Report on waste burial charges. Escalation of decommissioning waste disposal costs at low-level waste burial facilities, Revision 4

    International Nuclear Information System (INIS)

    1994-06-01

    One of the requirements placed upon nuclear power reactor licensees by the U.S. Nuclear Regulatory Commission (NRC) is for the licensees to periodically adjust the estimate of the cost of decommissioning their plants, in dollars of the current year, as part of the process to provide reasonable assurance that adequate funds for decommissioning will be available when needed. This report, which is scheduled to be revised periodically, contains the development of a formula for escalating decommissioning cost estimates that is acceptable to the NRC. The sources of information to be used in the escalation formula are identified, and the values developed for the escalation of radioactive waste burial costs, by site and by year, are given. The licensees may use the formula, the coefficients, and the burial escalation factors from this report in their escalation analyses, or they may use an escalation rate at least equal to the escalation approach presented herein. This fourth revision of NUREG-1307 contains revised spreadsheet results for the disposal costs for the reference PWR and the reference BWR and the ratios of disposal costs at the Washington, Nevada, and South Carolina sites for the years 1986, 1988, 1991 and 1993, superseding the values given in the May 1993 issue of this report. Burial cost surcharges mandated by the Low-Level Radioactive Waste Policy Amendments Act of 1985 (LLRWPAA) have been incorporated into the revised ratio tables for those years. In addition, spreadsheet results for the disposal costs for the reference reactors and ratios of disposal costs at the two remaining burial sites in Washington and South Carolina for the year 1994 are provided. These latter results do not include any LLRWPAA surcharges, since those provisions of the Act expired at the end of 1992. An example calculation for escalated disposal cost is presented, demonstrating the use of the data contained in this report

  9. A Reuse Evaluation for Solar-Cell Silicon Wafers via Shift Revolution and Tool Rotation Using Magnetic Assistance in Ultrasonic Electrochemical Micromachining

    Directory of Open Access Journals (Sweden)

    P. S. Pa

    2013-01-01

    Full Text Available A new reuse fabrication using a tool module with rotation and revolution through a process of magnetic assistance in ultrasonic electrochemical micromachining (UEMM for removal of the surface layers from silicon wafers of solar cells is demonstrated. The target of the proposed reuse fabrication method is to replace the current approach, which uses strong acid and grinding and may damage the physical structure of silicon wafers and pollute to the environment. A precisely engineered clean production approach to removal of surface microstructure layers from silicon wafers is to develop a mass production system for recycling defective or discarded silicon wafers of solar cells that can reduce pollution and cost. The high revolution speed of the shift with the high rotation speed of the designed tool increases the discharge mobility and improves the removal effect associated with the high feed rate of the workpiece. High frequency and high power of ultrasonic with large electrolyte flow rate and high magnetic strengths with a small distance between the two magnets provide a large discharge effect and good removal; only a short period of time is required to remove the epoxy film and Si3N4 layer easily and cleanly.

  10. Analysis and wafer-level design of a high-order silicon vibration isolator for resonating MEMS devices

    International Nuclear Information System (INIS)

    Yoon, Sang Won; Lee, Sangwoo; Najafi, Khalil; Perkins, Noel C

    2011-01-01

    This paper presents the analysis and preliminary design, fabrication, and measurement for mechanical vibration-isolation platforms especially designed for resonating MEMS devices including gyroscopes. Important parameters for designing isolation platforms are specified and the first platform (in designs with cascaded multiple platforms) is crucial for improving vibration-isolation performance and minimizing side-effects on integrated gyroscopes. This isolation platform, made from a thick silicon wafer substrate for an environment-resistant MEMS package, incorporates the functionalities of a previous design including vacuum packaging and thermal resistance with no additional resources. This platform consists of platform mass, isolation beams, vertical feedthroughs, and bonding pads. Two isolation platform designs follow from two isolation beam designs: lateral clamped–clamped beams and vertical torsion beams. The beams function simultaneously as mechanical springs and electrical interconnects. The vibration-isolation platform can yield a multi-dimensional, high-order mechanical low pass filter. The isolation platform possesses eight interconnects within a 12.2 × 12.2 mm 2 footprint. The contact resistance ranges from 4–11 Ω depending on the beam design. Vibration measurements using a laser-Doppler vibrometer demonstrate that the lateral vibration-isolation platform suppresses external vibration having frequencies exceeding 2.1 kHz.

  11. Design for Reliability of Wafer Level MEMS packaging

    NARCIS (Netherlands)

    Zaal, J.J.M.

    2012-01-01

    The world has seen an unrivaled spread of semiconductor technology into virtually any part of society. The main enablers of this semiconductor rush are the decreasing feature size and the constantly decreasing costs of semiconductors. The decreasing costs of semiconductors in general are caused by

  12. Evaluation of Uniform Cost Accounting System to Fully Capture Depot Level Repair Costs.

    Science.gov (United States)

    1985-12-01

    RD-RI65 522 EVALUATION OF UNIFORM COST ACCOUNTING SYSTEM TO FULLY i/I CAPTURE DEPOT LEVEL REPAIR COSTS (U) NAVAL POSTGRADUATE SCHOOL MONTEREY CA D R...8217.LECTE B ,- THESIS EVALUATION OF UNIFORM COST ACCOUNTING SYSTEM 0TO FULLY CAPTURE DEPOT LEVEL REPAIR COSTS Jby __jDavid Richmond O’Brien lj,,, December...Include Security Classification) EVALUATION OF UNIFORM COST ACCOUNTING SYSTEM TO FULLY CAPTURE DEPOT LEVEL REPAIR COSTS 12 PERSONAL AUTHOR(S) O’Brien- David

  13. Dynamic evaluation of the levelized cost of wind power generation

    International Nuclear Information System (INIS)

    Díaz, Guzmán; Gómez-Aleixandre, Javier; Coto, José

    2015-01-01

    Highlights: • Conventional levelized cost of energy is static and does not consider flexibility. • This paper defines a dynamic version by means of stochastic programming. • A penalty for early exercising is proposed to differentiate static and dynamic. • Results show the effects of feed-in tariff support in low wind sites. • Policy implications are derived on the basis of the static and dynamic measures. - Abstract: This paper discusses an alternative computation method of the levelized cost of energy of distributed wind power generators. Unlike in the conventional procedures, it includes time of commencement as an optimization variable. For that purpose, a methodology from Longstaff and Schwartz’s dynamic program for pricing financial American options is derived, which provides the ability to find the optimum time and value while coping with uncertainty revenues from energy sales and variable capital costs. The results obtained from the analysis of wind records of 50 sites entail that the conventional levelized cost of energy can be broken down into an optimum, minimum (time-dependent) value and a penalty for early exercising, which can be employed to define investment strategies and support policies

  14. The use of innovation action research approach in the preparation of a regulation on costing standard 

    Directory of Open Access Journals (Sweden)

    Monika Raulinajtys-Grzybek

    2016-04-01

    Full Text Available The article analyzes the applicability of the innovation action research method for activities related to the preparation of a concept of a costing standard for healthcare providers which is subject to legal regula- tion. This legislation regulates the way providers, reporting data for the purpose of the regulated pricing of health services, identify and calculate costs. A 39-month long research project was carried out in ac- cordance with the innovation action research approach, which resulted in the creation of a novel concept of a costing model. The generation of new knowledge occurred as a result of a collaboration between researchers and practitioners, which is a basic assumption of action research. The consecutive steps of the research have been characterized in order to present the influence of the research method on the devel- opment and modification of the initial concept.

  15. Low level waste solidification practice in Japan

    International Nuclear Information System (INIS)

    Sakata, S.; Kuribayashi, H.; Kono, Y.

    1981-01-01

    Both sea dumping and land isolation are planned to be accomplished for low level waste disposal in Japan. The conceptual design of land isolation facilities has been completed, and site selection will presently get underway. With respect to ocean dumping, safety surveys are being performed along the lines of the London Dumping Convention and the Revised Definitions and Recommendations of the IAEA, and the review of Japanese regulations and applicable criteria is being expedited. This paper discusses the present approach to waste solidification practices in Japan. It reports that the bitumen solidification process and the plastic solidification process are being increasingly used in Japan. Despite higher investment costs, both processes have advantages in operating cost, and are comparable to the cement solidification process in overall costs

  16. Preparation and characterisation of immobilised humic acid on silicon wafer

    International Nuclear Information System (INIS)

    Szabo, Gy.; Guczi, J.; Telegdi, J.; Pashalidis, I.; Szymczak, W.; Buckau, G.

    2005-01-01

    Full text of publication follows: The chemistry of the interactions of radionuclides with humic acid needs to be understood in details so that humate-mediated migration of radionuclides through the environment can be predicted. To achieve such a data in microscopic scale, several detective techniques, such as atomic force microscopy (AFM), chemical force microscopy (CFM), nuclear microprobe analysis (NMA) and X-ray photoelectron spectroscopy (XPS) can be used to measure intermolecular forces and to visualize the surface morphology. The main aim of this work was to provide humic material with specific properties in order to study with different spectroscopic techniques, the complexation behaviour of surface bound humic acid in microscopic scale. Namely, humic acid has been immobilised on silicon wafers in order to mimic surface bound humic substances in natural aquatic systems. In this communication, we present a simple protocol to immobilize humic acid on silicon wafer surface. A tri-functional silane reagent 3-amino-propyl-tri-methoxy-silane (APTES) was used to modify the surface of silicon wafers and appeared to be able to strongly attached soluble humic acid through their carboxylic groups to solid support. Characterisation of the surfaces, after any preparation steps, was done by ATR-FTIR, AFM and TOF-SIMS. These methods have proved that the humic acid forms a relatively homogeneous layer on the wafers. Immobilisation of humic acid on silicon wafer was further proved by binding isotherm of Am/Nd. (authors)

  17. Low-cost satellite mechanical design and construction

    Science.gov (United States)

    Boisjolie-Gair, Nathaniel; Straub, Jeremy

    2017-05-01

    This paper presents a discussion of techniques for low-cost design and construction of a CubeSat mechanical structure that can serve as a basis for academic programs and a starting point for government, military and commercial large-scale sensing networks, where the cost of each node must be minimized to facilitate system affordability and lower the cost and associated risk of losing any node. Spacecraft Design plays a large role in manufacturability. An intentionally simplified mechanical design is presented which reduces machining costs, as compared to more intricate designs that were considered. Several fabrication approaches are evaluated relative to the low-cost goal.

  18. Measuring PM and related air pollutants using low-cost sensors

    Science.gov (United States)

    Emerging air quality sensors may play a key role in better characterizing levels of air pollution in a variety of settings There are a wide range of low-cost (sensors on the market, but few have been characterized. If accurate, this new generation of inexpensive sens...

  19. Low-cost housing developments in South Africa miss the opportunities for household level urban greening

    NARCIS (Netherlands)

    Chackleton, C.; Hebinck, P.G.M.; Kaoma, M.; Chishaleshale, M.; Shackleton, S.; Gambiza, J.; Gumbo, D.

    2014-01-01

    Most developing countries of the world are experiencing large-scale migration from rural to urban areas. Many new migrants end up in low-cost or informal areas and slums with attendant environmental concerns. One dimension of improved urban sustainability is the provision of green spaces and trees.

  20. Opportunities and challenges for innovation in the design of low-carbon energy technologies

    DEFF Research Database (Denmark)

    Bjarklev, Araceli; Kjær, Tyge; Andersen, Jan

    2012-01-01

    into account to be able to achieve efficient reductions of energy and CO2 emissions and at the same time design a product attractive for the consumer, in terms of price, level of service and aesthetical demands, to ensure its strategic implementation. This paper takes the Danish office lighting sector......-psychological aspects such as consumers’ demands (aesthetic disposition, habits and different light tastes and needs). This is done by integrating relevant elements from eco-innovation and Service –Product System frameworks. Our empirical material is based on iterative interviews with relevant actors and experts within......Though there is broad consensus that one of the solutions to the current environmental challenge will be based on the use of low-carbon technologies, and even though there is a big potential to turn to a more sustainable design and innovation, there are several elements that need to be taken...