WorldWideScience

Sample records for inkjet metrology high-accuracy

  1. Accuracy and Metrological Reliability Enhancing of Thermoelectric Transducers

    Directory of Open Access Journals (Sweden)

    Bogdan Stadnyk

    2010-12-01

    Full Text Available This article is devoted to development and use of thermoelectric thermotransducers with an enhanced accuracy and metrological reliability. The actuality of a problem is stipulated. Investigating changes at typical external environments, the mechanisms of transformation function instability are considered; possibilities of thermodynamic presentation use are analyzed concerning a thermometric substance. The algorithm of thermotransducer instrumental errors’ minimization is developed.

  2. Coordinate metrology accuracy of systems and measurements

    CERN Document Server

    Sładek, Jerzy A

    2016-01-01

    This book focuses on effective methods for assessing the accuracy of both coordinate measuring systems and coordinate measurements. It mainly reports on original research work conducted by Sladek’s team at Cracow University of Technology’s Laboratory of Coordinate Metrology. The book describes the implementation of different methods, including artificial neural networks, the Matrix Method, the Monte Carlo method and the virtual CMM (Coordinate Measuring Machine), and demonstrates how these methods can be effectively used in practice to gauge the accuracy of coordinate measurements. Moreover, the book includes an introduction to the theory of measurement uncertainty and to key techniques for assessing measurement accuracy. All methods and tools are presented in detail, using suitable mathematical formulations and illustrated with numerous examples. The book fills an important gap in the literature, providing readers with an advanced text on a topic that has been rapidly developing in recent years. The book...

  3. Inkjet Printing of Paper-Based Wideband and High Gain Antennas

    KAUST Repository

    Cook, Benjamin

    2011-12-07

    This thesis represents a major contribution to wideband and high gain inkjet-printed antennas on paper. This work includes the complete characterization of the inkjet printing process for passive microwave devices on paper substrate as well as several ultra-wideband and high gain antenna designs. The characterization work includes the electrical characterization of the permittivity and loss tangent for paper substrate through 10 GHz, ink conductivity data for variable sintering conditions, and minimum feature sizes obtainable by today’s current inkjet processes for metallic nanoparticles. For the first time ever, inkjet-printed antennas are demonstrated that operate over the entire UWB band and demonstrate gains up to 8dB. This work also presents the first fractal-based inkjet-printed antennas with enhanced bandwidth and reduced production costs, and a novel slow wave log periodic dipole array which shows minimizations of 20% in width over conventional log periodic antennas.

  4. Realizing "value-added" metrology

    Science.gov (United States)

    Bunday, Benjamin; Lipscomb, Pete; Allgair, John; Patel, Dilip; Caldwell, Mark; Solecky, Eric; Archie, Chas; Morningstar, Jennifer; Rice, Bryan J.; Singh, Bhanwar; Cain, Jason; Emami, Iraj; Banke, Bill, Jr.; Herrera, Alfredo; Ukraintsev, Vladamir; Schlessinger, Jerry; Ritchison, Jeff

    2007-03-01

    The conventional premise that metrology is a "non-value-added necessary evil" is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled "value-added" processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction (OPC), design for manufacturability (DFM), and advanced process control (APC) are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to "key enabler of large revenues," debunking the out-of-date premise that metrology is "non-value-added." Examples used will be from critical dimension (CD

  5. Highly Conductive Nano-Silver Circuits by Inkjet Printing

    Science.gov (United States)

    Zhu, Dongbin; Wu, Minqiang

    2018-06-01

    Inkjet technology has become popular in the field of printed electronics due to its superior properties such as simple processes and printable complex patterns. Electrical conductivity of the circuits is one of the key factors in measuring the performance of printed electronics, which requires great material properties and a manufactured process. With excellent conductivity and ductility, silver is an ideal material as the wire connecting components. This review summarizes the progress of conductivity studies on inkjet printed nano-silver lines, including ink composition and nanoparticle morphology, deposition of nano-silver lines with uniform and high aspect ratios, sintering mechanisms and alternative methods of thermal sintering. Finally, the research direction on inkjet printed electronics is proposed.

  6. Enhanced resolution and accuracy of freeform metrology through Subaperture Stitching Interferometry

    Science.gov (United States)

    Supranowitz, Chris; Maloney, Chris; Murphy, Paul; Dumas, Paul

    2017-10-01

    Recent advances in polishing and metrology have addressed many of the challenges in the fabrication and metrology of freeform surfaces, and the manufacture of these surfaces is possible today. However, achieving the form and mid-spatial frequency (MSF) specifications that are typical of visible imaging systems remains a challenge. Interferometric metrology for freeform surfaces is thus highly desirable for such applications, but the capability is currently quite limited for freeforms. In this paper, we provide preliminary results that demonstrate accurate, high-resolution measurements of freeform surfaces using prototype software on QED's ASI™ (Aspheric Stitching Interferometer).

  7. Metrology of image placement

    International Nuclear Information System (INIS)

    Starikov, Alexander

    1998-01-01

    Metrology of registration, overlay and alignment offset in microlithography are discussed. Requirements and limitations are traced to the device ground rules and the definitions of edge, linewidth and centerline. Precision, accuracy, system performance and metrology in applications are discussed. The impact of image acquisition and data handling on performance is elucidated. Much attention is given to the manufacturing environment and effects of processing. General new methods of metrology error diagnostics and technology characterization are introduced and illustrated. Applications of these diagnostics to tests of tool performance, error diagnostics and culling, as well as to process integration in manufacturing are described. Realistic overlay reference materials and results of accuracy evaluations are discussed. Requirements in primary standards and alternative metrology are explained. The role and capability of SEM based overlay metrology is described, along with applications to device overlay metrology

  8. Improving the accuracy of CT dimensional metrology by a novel beam hardening correction method

    International Nuclear Information System (INIS)

    Zhang, Xiang; Li, Lei; Zhang, Feng; Xi, Xiaoqi; Deng, Lin; Yan, Bin

    2015-01-01

    Its powerful nondestructive characteristics are attracting more and more research into the study of computed tomography (CT) for dimensional metrology, which offers a practical alternative to the common measurement methods. However, the inaccuracy and uncertainty severely limit the further utilization of CT for dimensional metrology due to many factors, among which the beam hardening (BH) effect plays a vital role. This paper mainly focuses on eliminating the influence of the BH effect in the accuracy of CT dimensional metrology. To correct the BH effect, a novel exponential correction model is proposed. The parameters of the model are determined by minimizing the gray entropy of the reconstructed volume. In order to maintain the consistency and contrast of the corrected volume, a punishment term is added to the cost function, enabling more accurate measurement results to be obtained by the simple global threshold method. The proposed method is efficient, and especially suited to the case where there is a large difference in gray value between material and background. Different spheres with known diameters are used to verify the accuracy of dimensional measurement. Both simulation and real experimental results demonstrate the improvement in measurement precision. Moreover, a more complex workpiece is also tested to show that the proposed method is of general feasibility. (paper)

  9. Application of Inkjet Printing in High-Density Pixelated RGB Quantum Dot-Hybrid LEDs

    KAUST Repository

    Haverinen, Hanna

    2012-05-23

    Recently, an intriguing solution to obtain better color purity has been to introduce inorganic emissive quantum dots (QDs) into an otherwise OLED structure. The emphasis of this chapter is to present a simple discussion of the first attempts to fabricate high-density, pixelated (quarter video graphics array (QVGA) format), monochromatic and RGB quantum dots light-emitting diodes (QDLEDs), where inkjet printing is used to deposit the light-emitting layer of QDs. It shows some of the factors that have to be considered in order to achieve the desired accuracy and printing quality. The successful operation of the RGB printed devices indicates the potential of the inkjet printing approach in the fabrication of full-color QDLEDs for display application. However, further optimization of print quality is still needed in order to eliminate the formation of pinholes, thus maximizing energy transfer from organic layers to the QDs and in turn increasing the performance of the devices. Controlled Vocabulary Terms: ink jet printing; LED displays; LED lamps; organic light emitting diodes; quantum dots

  10. High-accuracy mass spectrometry for fundamental studies.

    Science.gov (United States)

    Kluge, H-Jürgen

    2010-01-01

    Mass spectrometry for fundamental studies in metrology and atomic, nuclear and particle physics requires extreme sensitivity and efficiency as well as ultimate resolving power and accuracy. An overview will be given on the global status of high-accuracy mass spectrometry for fundamental physics and metrology. Three quite different examples of modern mass spectrometric experiments in physics are presented: (i) the retardation spectrometer KATRIN at the Forschungszentrum Karlsruhe, employing electrostatic filtering in combination with magnetic-adiabatic collimation-the biggest mass spectrometer for determining the smallest mass, i.e. the mass of the electron anti-neutrino, (ii) the Experimental Cooler-Storage Ring at GSI-a mass spectrometer of medium size, relative to other accelerators, for determining medium-heavy masses and (iii) the Penning trap facility, SHIPTRAP, at GSI-the smallest mass spectrometer for determining the heaviest masses, those of super-heavy elements. Finally, a short view into the future will address the GSI project HITRAP at GSI for fundamental studies with highly-charged ions.

  11. All Inkjet-Printed Amperometric Multiplexed Biosensors Based on Nanostructured Conductive Hydrogel Electrodes.

    Science.gov (United States)

    Li, Lanlan; Pan, Lijia; Ma, Zhong; Yan, Ke; Cheng, Wen; Shi, Yi; Yu, Guihua

    2018-02-12

    Multiplexing, one of the main trends in biosensors, aims to detect several analytes simultaneously by integrating miniature sensors on a chip. However, precisely depositing electrode materials and selective enzymes on distinct microelectrode arrays remains an obstacle to massively produced multiplexed sensors. Here, we report on a "drop-on-demand" inkjet printing process to fabricate multiplexed biosensors based on nanostructured conductive hydrogels in which the electrode material and several kinds of enzymes were printed on the electrode arrays one by one by employing a multinozzle inkjet system. The whole inkjet printing process can be finished within three rounds of printing and only one round of alignment. For a page of sensor arrays containing 96 working electrodes, the printing process took merely ∼5 min. The multiplexed assays can detect glucose, lactate, and triglycerides in real time with good selectivity and high sensitivity, and the results in phosphate buffer solutions and calibration serum samples are comparable. The inkjet printing process exhibited advantages of high efficiency and accuracy, which opens substantial possibilities for massive fabrication of integrated multiplexed biosensors for human health monitoring.

  12. Digital Inkjet Textile Printing

    OpenAIRE

    Wang, Meichun

    2017-01-01

    Digital inkjet textile printing is an emerging technology developed with the rise of the digital world. It offers a possibility to print high-resolution images with unlimited color selection on fabrics. Digital inkjet printing brings a revolutionary chance for the textile printing industry. The history of textile printing shows the law how new technology replaces the traditional way of printing. This indicates the future of digital inkjet textile printing is relatively positive. Differen...

  13. Inkjet color-printer control interface

    Science.gov (United States)

    Kistler, R.; Kriegler, F. J.; Marshall, R. E.

    1977-01-01

    Special purpose interface permits computer-driven control of inkjet printers. Inkjet printers are answer to problem of high-speed peripheral output devices for computer systems. Control interface was developed to provide high-resolution color-classification maps quickly and economically from multispectral data.

  14. Application of advanced diffraction based optical metrology overlay capabilities for high-volume manufacturing

    Science.gov (United States)

    Chen, Kai-Hsiung; Huang, Guo-Tsai; Hsieh, Hung-Chih; Ni, Wei-Feng; Chuang, S. M.; Chuang, T. K.; Ke, Chih-Ming; Huang, Jacky; Rao, Shiuan-An; Cumurcu Gysen, Aysegul; d'Alfonso, Maxime; Yueh, Jenny; Izikson, Pavel; Soco, Aileen; Wu, Jon; Nooitgedagt, Tjitte; Ottens, Jeroen; Kim, Yong Ho; Ebert, Martin

    2017-03-01

    On-product overlay requirements are becoming more challenging with every next technology node due to the continued decrease of the device dimensions and process tolerances. Therefore, current and future technology nodes require demanding metrology capabilities such as target designs that are robust towards process variations and high overlay measurement density (e.g. for higher order process corrections) to enable advanced process control solutions. The impact of advanced control solutions based on YieldStar overlay data is being presented in this paper. Multi patterning techniques are applied for critical layers and leading to additional overlay measurement demands. The use of 1D process steps results in the need of overlay measurements relative to more than one layer. Dealing with the increased number of overlay measurements while keeping the high measurement density and metrology accuracy at the same time presents a challenge for high volume manufacturing (HVM). These challenges are addressed by the capability to measure multi-layer targets with the recently introduced YieldStar metrology tool, YS350. On-product overlay results of such multi-layers and standard targets are presented including measurement stability performance.

  15. A new ultra-high-accuracy angle generator: current status and future direction

    Science.gov (United States)

    Guertin, Christian F.; Geckeler, Ralf D.

    2017-09-01

    Lack of an extreme high-accuracy angular positioning device available in the United States has left a gap in industrial and scientific efforts conducted there, requiring certain user groups to undertake time-consuming work with overseas laboratories. Specifically, in x-ray mirror metrology the global research community is advancing the state-of-the-art to unprecedented levels. We aim to fill this U.S. gap by developing a versatile high-accuracy angle generator as a part of the national metrology tool set for x-ray mirror metrology and other important industries. Using an established calibration technique to measure the errors of the encoder scale graduations for full-rotation rotary encoders, we implemented an optimized arrangement of sensors positioned to minimize propagation of calibration errors. Our initial feasibility research shows that upon scaling to a full prototype and including additional calibration techniques we can expect to achieve uncertainties at the level of 0.01 arcsec (50 nrad) or better and offer the immense advantage of a highly automatable and customizable product to the commercial market.

  16. At-wavelength Optical Metrology Development at the ALS

    International Nuclear Information System (INIS)

    Yuan, Sheng Sam; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; Mochi, Iacopo; Macdougall, James; Morrison, Gregory Y.; Smith, Brian V.; Domning, Edward E.; McKinney, Wayne R.; Warwick, Tony

    2010-01-01

    Nano-focusing and brightness preservation for ever brighter synchrotron radiation and free electron laser beamlines require surface slope tolerances of x-ray optics on the order of 100 nrad. While the accuracy of fabrication and ex situ metrology of x-ray mirrors has improved over time, beamline in situ performance of the optics is often limited by application specific factors such as x-ray beam heat loading, temperature drift, alignment, vibration, etc. In the present work, we discuss the recent results from the Advanced Light Source developing high accuracy, in situ, at-wavelength wavefront measurement techniques to surpass 100-nrad accuracy surface slope measurements with reflecting x-ray optics. The techniques will ultimately allow closed-loop feedback systems to be implemented for x-ray nano-focusing. In addition, we present a dedicated metrology beamline endstation, applicable to a wide range of in situ metrology and test experiments. The design and performance of a bendable Kirkpatrick-Baez (KB) mirror with active temperature stabilization will also be presented. The mirror is currently used to study, refine, and optimize in situ mirror alignment, bending and metrology methods essential for nano-focusing application.

  17. Fabricating high-resolution offset color-filter black matrix by integrating heterostructured substrate with inkjet printing

    International Nuclear Information System (INIS)

    Lu, Guo-Shin; You, Po-Chin; Lin, Kai-Lun; Hong, Chien-Chong; Liou, Tong-Miin

    2014-01-01

    This paper presents a self-aligning ink by integrating an inkjet printing technique and heterostructures to fabricate a black matrix with a micrometer-scale tunable thickness. The black matrix is a grid-like structure used in color filters. Traditionally, a black matrix has been fabricated using photolithography techniques, the disadvantages of which are high material consumption, less fabrication flexibility, complex processing procedures, and high chemical pollution. Inkjet printing technology has garnered attention because of its low material costs, high fabrication flexibility, and reduced processing procedures and pollution. In this study, a fabricating process combining an inkjet printing technique with heterostructures to form stripe-arranged and delta-arranged thickness-tunable black matrices has been demonstrated. The deformation and self-aligning process of ink droplet impingement onto gutters are driven by designed heterogeneous surface properties. The minimum track width attained is 10 µm, which is competitive for color filter resolutions for thin-film transistor liquid crystal displays. The developed technology surmounts the bottlenecks of inkjet printing resolution, and saves more than 75% black material than modern photolithography. (paper)

  18. Pentacene-Based Thin Film Transistor with Inkjet-Printed Nanocomposite High-K Dielectrics

    Directory of Open Access Journals (Sweden)

    Chao-Te Liu

    2012-01-01

    Full Text Available The nanocomposite gate insulating film of a pentacene-based thin film transistor was deposited by inkjet printing. In this study, utilizing the pearl miller to crumble the agglomerations and the dispersant to well stabilize the dispersion of nano-TiO2 particles in the polymer matrix of the ink increases the dose concentration for pico-jetting, which could be as the gate dielectric film made by inkjet printing without the photography process. Finally, we realized top contact pentacene-TFTs and successfully accomplished the purpose of directly patternability and increase the performance of the device based on the nanocomposite by inkjet printing. These devices exhibited p-channel TFT characteristics with a high field-effect mobility (a saturation mobility of ̃0.58 cm2 V−1 s−1, a large current ratio (>103 and a low operation voltage (<6 V. Furthermore, we accorded the deposited mechanisms which caused the interface difference between of inkjet printing and spin coating. And we used XRD, SEM, Raman spectroscopy to help us analyze the transfer characteristics of pentacene films and the performance of OTFTs.

  19. Economic benefits of metrology in manufacturing

    DEFF Research Database (Denmark)

    Savio, Enrico; De Chiffre, Leonardo; Carmignato, S.

    2016-01-01

    examples from industrial production, in which the added value of metrology in manufacturing is discussed and quantified. Case studies include: general manufacturing, forging, machining, and related metrology. The focus of the paper is on the improved effectiveness of metrology when used at product...... and process design stages, as well as on the improved accuracy and efficiency of manufacturing through better measuring equipment and process chains with integrated metrology for process control.......In streamlined manufacturing systems, the added value of inspection activities is often questioned, and metrology in particular is sometimes considered only as an avoidable expense. Documented quantification of economic benefits of metrology is generally not available. This work presents concrete...

  20. Toward reliable and repeatable automated STEM-EDS metrology with high throughput

    Science.gov (United States)

    Zhong, Zhenxin; Donald, Jason; Dutrow, Gavin; Roller, Justin; Ugurlu, Ozan; Verheijen, Martin; Bidiuk, Oleksii

    2018-03-01

    New materials and designs in complex 3D architectures in logic and memory devices have raised complexity in S/TEM metrology. In this paper, we report about a newly developed, automated, scanning transmission electron microscopy (STEM) based, energy dispersive X-ray spectroscopy (STEM-EDS) metrology method that addresses these challenges. Different methodologies toward repeatable and efficient, automated STEM-EDS metrology with high throughput are presented: we introduce the best known auto-EDS acquisition and quantification methods for robust and reliable metrology and present how electron exposure dose impacts the EDS metrology reproducibility, either due to poor signalto-noise ratio (SNR) at low dose or due to sample modifications at high dose conditions. Finally, we discuss the limitations of the STEM-EDS metrology technique and propose strategies to optimize the process both in terms of throughput and metrology reliability.

  1. Computed tomography for dimensional metrology

    DEFF Research Database (Denmark)

    Kruth, J.P.; Bartscher, M.; Carmignato, S.

    2011-01-01

    metrology, putting emphasis on issues as accuracy, traceability to the unit of length (the meter) and measurement uncertainty. It provides a state of the art (anno 2011) and application examples, showing the aptitude of CT metrology to: (i) check internal dimensions that cannot be measured using traditional...

  2. Inkjet-based micromanufacturing

    CERN Document Server

    Korvink, Jan G; Shin, Dong-Youn; Brand, Oliver; Fedder, Gary K; Hierold, Christofer; Tabata, Osamu

    2012-01-01

    Inkjet-based Micromanufacturing Inkjet technology goes way beyond putting ink on paper: it enables simpler, faster and more reliable manufacturing processes in the fields of micro- and nanotechnology. Modern inkjet heads are per se precision instruments that deposit droplets of fluids on a variety of surfaces in programmable, repeating patterns, allowing, after suitable modifications and adaptations, the manufacturing of devices such as thin-film transistors, polymer-based displays and photovoltaic elements. Moreover, inkjet technology facilitates the large-scale production of flexible RFID tr

  3. Inverse-based feedforward control for an inkjet printhead

    NARCIS (Netherlands)

    Ezzeldin Mahdy Abdelmonem, M.; Bosch, van den P.P.J.; Weiland, S.

    2011-01-01

    Inkjet is an important technology in document printing and many new industrial applications. As inkjet developments are moving towards higher productivity and quality, it is required to achieve droplets which are small and fired at a high jetting frequency. Inkjet printers are now widely used to

  4. High yield growth of patterned vertically aligned carbon nanotubes using inkjet-printed catalyst.

    Science.gov (United States)

    Beard, James D; Stringer, Jonathan; Ghita, Oana R; Smith, Patrick J

    2013-10-09

    This study reports on the fabrication of vertically aligned carbon nanotubes localized at specific sites on a growth substrate by deposition of a nanoparticle suspension using inkjet printing. Carbon nanotubes were grown with high yield as vertically aligned forests to a length of approximately 400 μm. The use of inkjet printing for catalyst fabrication considerably improves the production rate of vertically aligned patterned nanotube forests compared with conventional patterning techniques, for example, electron beam lithography or photolithography.

  5. Calibration technique and study on metrological characteristics of a high-voltage inverse square-law function generator

    International Nuclear Information System (INIS)

    Popov, V.P.; Semenov, A.L.

    1987-01-01

    The calibration technique is described, and the metrological characteristics of a high-voltage generator of the inverse-quadratic function (HGF), being a functional unit of the diagnostic system of an electrodynamic analyser of a ionic component of a laser plasma, is analysed. The results of HGF testing in the range of time constants of the τ=(5-25)μs function are given. Analysis of metrologic and experimental characteristics shows, that HGF with automatic calibration has quite high accurate parameters. The high accuracy of function generation is provided with the possibility of calibration and adjustment conduction under experimental working conditions. Increase of the generated pulse amplitude to several tens of kilovelts is possible. Besides, the possibility of timely function adjustment to the necessary parameter (τ) increases essentially the HGF functional possibilities

  6. Means to verify the accuracy of CT systems for metrology applications (In the Absence of Established International Standards)

    International Nuclear Information System (INIS)

    Lettenbauer, H.; Georgi, B.; Weib, D.

    2007-01-01

    X-ray computed tomography (CT) reconstructs an unknown object from X-ray projections and has long been used for qualitative investigation of internal structures in industrial applications. Recently there has been increased interest in applying X-ray cone beam CT to the task of high-precision dimensional measurements of machined parts, since it is a relatively fast method of measuring both inner and outer geometries of arbitrary complexity. The important information for the user in dimensional metrology is if measured elements of a machined part are within the defined tolerances or not. In order to qualify cone beam CT as an established measurement technology, it must be qualified in the same manner as established measurement technologies such as coordinate measurement machines (CMMs) with tactile or optical sensors. In international standards artefacts are defined that are calibrated by certified institutions. These artefacts are defined by certain geometrical elements. CT measurements are performed on the reconstructed object volume, either directly or using an intermediate surface-extraction step. The results of these measurements have to be compared to the values of the calibrated elements; the level of agreement of the results defines the accuracy of the measurements. By using established methods to define measurement uncertainty a very high level of acceptance in dimensional metrology can be reached for the user. Only if results are comparable to standards of the established technologies the barriers of entry into metrology will be removed and all benefits of this technology will be available for the user. (authors)

  7. Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

    Science.gov (United States)

    Banke, Bill, Jr.; Archie, Charles N.; Sendelbach, Matthew; Robert, Jim; Slinkman, James A.; Kaszuba, Phil; Kontra, Rick; DeVries, Mick; Solecky, Eric P.

    2004-05-01

    Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will

  8. Inkjet Printed Radio Frequency Passive Components

    KAUST Repository

    McKerricher, Garret

    2015-12-01

    Inkjet printing is a mature technique for colourful graphic arts. It excels at customized, large area, high resolution, and small volume production. With the developments in conductive, and dielectric inks, there is potential for large area inkjet electronics fabrication. Passive radio frequency devices can benefit greatly from a printing process, since the size of these devices is defined by the frequency of operation. The large size of radio frequency passives means that they either take up expensive space “on chip” or that they are fabricated on a separate lower cost substrate and somehow bonded to the chips. This has hindered cost-sensitive high volume applications such as radio frequency identification tags. Substantial work has been undertaken on inkjet-printed conductors for passive antennas on microwave substrates and even paper, yet there has been little work on the printing of the dielectric materials aimed at radio frequency passives. Both the conductor and dielectric need to be integrated to create a multilayer inkjet printing process that is capable of making quality passives such as capacitors and inductors. Three inkjet printed dielectrics are investigated in this thesis: a ceramic (alumina), a thermal-cured polymer (poly 4 vinyl phenol), and a UV-cured polymer (acrylic based). For the conductor, both a silver nanoparticle ink as well as a custom in-house formulated particle-free silver ink are explored. The focus is on passives, mainly capacitors and inductors. Compared to low frequency electronics, radio frequency components have additional sensitivity regarding skin depth of the conductor and surface roughness, as well as dielectric constant and loss tangent of the dielectric. These concerns are investigated with the aim of making the highest quality components possible and to understand the current limitations of inkjet-fabricated radio frequency devices. An inkjet-printed alumina dielectric that provides quality factors of 200 and high

  9. Overlay accuracy fundamentals

    Science.gov (United States)

    Kandel, Daniel; Levinski, Vladimir; Sapiens, Noam; Cohen, Guy; Amit, Eran; Klein, Dana; Vakshtein, Irina

    2012-03-01

    Currently, the performance of overlay metrology is evaluated mainly based on random error contributions such as precision and TIS variability. With the expected shrinkage of the overlay metrology budget to DBO (1st order diffraction based overlay). It is demonstrated that the sensitivity of DBO to overlay mark asymmetry is larger than the sensitivity of imaging overlay. Finally, we show that a recently developed measurement quality metric serves as a valuable tool for improving overlay metrology accuracy. Simulation results demonstrate that the accuracy of imaging overlay can be improved significantly by recipe setup optimized using the quality metric. We conclude that imaging overlay metrology, complemented by appropriate use of measurement quality metric, results in optimal overlay accuracy.

  10. High performance inkjet printed phosphorescent organic light emitting diodes based on small molecules commonly used in vacuum processes

    Energy Technology Data Exchange (ETDEWEB)

    Jung, Sung-Hoon [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Jang-Joo, E-mail: jjkim@snu.ac.kr [Department of Materials Science and Engineering, Seoul National University, Seoul, 151-742 (Korea, Republic of); Kim, Hyong-Jun, E-mail: hkim@kongju.ac.kr [Department of Chemical Engineering, Kongju National University, Cheonan, 330-717 (Korea, Republic of)

    2012-09-30

    High efficiency phosphorescent organic light emitting diodes (OLEDs) are realized by inkjet printing based on small molecules commonly used in vacuum processes in spite of the limitation of the limited solubility. The OLEDs used the inkjet printed 5 wt.% tris(2-phenylpyridine)iridium(III) (Ir(ppy){sub 3}) doped in 4,4 Prime -Bis(carbazol-9-yl)biphenyl (CBP) as the light emitting layer on various small molecule based hole transporting layers, which are widely used in the fabrication of OLEDs by vacuum processes. The OLEDs resulted in the high power and the external quantum efficiencies of 29.9 lm/W and 11.7%, respectively, by inkjet printing the CBP:Ir(ppy){sub 3} on a 40 nm thick 4,4 Prime ,4 Double-Prime -tris(carbazol-9-yl)triphenylamine layer. The performance was very close to a vacuum deposited device with a similar structure. - Highlights: Black-Right-Pointing-Pointer Effective inkjet printed organic light emitting diode (OLED) technique is explored. Black-Right-Pointing-Pointer Solution process on commonly used hole transporting material (HTM) is demonstrated. Black-Right-Pointing-Pointer Triplet energy overlap of HTM and emitting material is the key to the performance. Black-Right-Pointing-Pointer Simple inkjet printed OLED provides the high current efficiency of 40 cd/A.

  11. Inkjet Printing of Paper-Based Wideband and High Gain Antennas

    KAUST Repository

    Cook, Benjamin

    2011-01-01

    For the first time ever, inkjet-printed antennas are demonstrated that operate over the entire UWB band and demonstrate gains up to 8dB. This work also presents the first fractal-based inkjet-printed antennas with enhanced bandwidth and reduced production costs, and a novel slow wave log periodic dipole array which shows minimizations of 20% in width over conventional log periodic antennas.

  12. The quality of measurements a metrological reference

    CERN Document Server

    Fridman, A E

    2012-01-01

    This book provides a detailed discussion and commentary on the fundamentals of metrology. The fundamentals of metrology, the principles underlying the design of the SI International System of units, the theory of measurement error, a new methodology for estimation of measurement accuracy based on uncertainty, and methods for reduction of measured results and estimation of measurement uncertainty are all discussed from a modern point of view. The concept of uncertainty is shown to be consistent with the classical theory of accuracy. The theory of random measurement errors is supplemented by a very general description based on the generalized normal distribution; systematic instrumental error is described in terms of a methodology for normalizing the metrological characteristics of measuring instruments. A new international system for assuring uniformity of measurements based on agreements between national metrological institutes is discussed, in addition to the role and procedure for performance of key compari...

  13. Coffee-Ring Defined Short Channels for Inkjet-Printed Metal Oxide Thin-Film Transistors.

    Science.gov (United States)

    Li, Yuzhi; Lan, Linfeng; Xiao, Peng; Sun, Sheng; Lin, Zhenguo; Song, Wei; Song, Erlong; Gao, Peixiong; Wu, Weijing; Peng, Junbiao

    2016-08-03

    Short-channel electronic devices several micrometers in length are difficult to implement by direct inkjet printing due to the limitation of position accuracy of the common inkjet printer system and the spread of functional ink on substrates. In this report, metal oxide thin-film transistors (TFTs) with channel lengths of 3.5 ± 0.7 μm were successfully fabricated with a common inkjet printer without any photolithography steps. Hydrophobic CYTOP coffee stripes, made by inkjet-printing and plasma-treating processes, were utilized to define the channel area of TFTs with channel lengths as short as ∼3.5 μm by dewetting the inks of the source/drain (S/D) precursors. Furthermore, by introduction of an ultrathin layer of PVA to modify the S/D surfaces, the spreading of precursor ink of the InOx semiconductor layer was well-controlled. The inkjet-printed short-channel TFTs exhibited a maximum mobility of 4.9 cm(2) V(-1) s(-1) and an on/off ratio of larger than 10(9). This approach of fabricating short-channel TFTs by inkjet printing will promote the large-area fabrication of short-channel TFTs in a cost-effective manner.

  14. High-Resolution Graphene Films for Electrochemical Sensing via Inkjet Maskless Lithography.

    Science.gov (United States)

    Hondred, John A; Stromberg, Loreen R; Mosher, Curtis L; Claussen, Jonathan C

    2017-10-24

    Solution-phase printing of nanomaterial-based graphene inks are rapidly gaining interest for fabrication of flexible electronics. However, scalable manufacturing techniques for high-resolution printed graphene circuits are still lacking. Here, we report a patterning technique [i.e., inkjet maskless lithography (IML)] to form high-resolution, flexible, graphene films (line widths down to 20 μm) that significantly exceed the current inkjet printing resolution of graphene (line widths ∼60 μm). IML uses an inkjet printed polymer lacquer as a sacrificial pattern, viscous spin-coated graphene, and a subsequent graphene lift-off to pattern films without the need for prefabricated stencils, templates, or cleanroom technology (e.g., photolithography). Laser annealing is employed to increase conductivity on thermally sensitive, flexible substrates [polyethylene terephthalate (PET)]. Laser annealing and subsequent platinum nanoparticle deposition substantially increases the electroactive nature of graphene as illustrated by electrochemical hydrogen peroxide (H 2 O 2 ) sensing [rapid response (5 s), broad linear sensing range (0.1-550 μm), high sensitivity (0.21 μM/μA), and low detection limit (0.21 μM)]. Moreover, high-resolution, complex graphene circuits [i.e., interdigitated electrodes (IDE) with varying finger width and spacing] were created with IML and characterized via potassium chloride (KCl) electrochemical impedance spectroscopy (EIS). Results indicated that sensitivity directly correlates to electrode feature size as the IDE with the smallest finger width and spacing (50 and 50 μm) displayed the largest response to changes in KCl concentration (∼21 kΩ). These results indicate that the developed IML patterning technique is well-suited for rapid, solution-phase graphene film prototyping on flexible substrates for numerous applications including electrochemical sensing.

  15. Air entrapment in piezo-driven inkjet printheads

    NARCIS (Netherlands)

    de Jong, J.; de Bruin, G.J.; de Bruin, Gerrit; Reinten, Hans; van den Berg, Marc; Wijshoff, Herman; Wijshoff, H.; Versluis, Michel; Lohse, Detlef

    2006-01-01

    The stability of inkjet printers is a major requirement for high-quality-printing. However, in piezo-driven inkjet printheads, air entrapment can lead to malfunctioning of the jet formation. The piezoactuator is employed to actively monitor the channel acoustics and to identify distortions at an

  16. Ink-Jet Printing of Gluconobacter oxydans: Micropatterned Coatings As High Surface-to-Volume Ratio Bio-Reactive Coatings

    Directory of Open Access Journals (Sweden)

    Marcello Fidaleo

    2013-12-01

    Full Text Available We formulated a latex ink for ink-jet deposition of viable Gram-negative bacterium Gluconobacter oxydans as a model adhesive, thin, highly bio-reactive microstructured microbial coating. Control of G. oxydans latex-based ink viscosity by dilution with water allowed ink-jet piezoelectric droplet deposition of 30 × 30 arrays of two or three droplets/dot microstructures on a polyester substrate. Profilometry analysis was used to study the resulting dry microstructures. Arrays of individual dots with base diameters of ~233–241 µm were obtained. Ring-shaped dots with dot edges higher than the center, 2.2 and 0.9 µm respectively, were obtained when a one-to-four diluted ink was used. With a less diluted ink (one-to-two diluted, the microstructure became more uniform with an average height of 3.0 µm, but the ink-jet printability was more difficult. Reactivity of the ink-jet deposited microstructures following drying and rehydration was studied in a non-growth medium by oxidation of 50 g/L D-sorbitol to L-sorbose, and a high dot volumetric reaction rate was measured (~435 g·L−1·h−1. These results indicate that latex ink microstructures generated by ink-jet printing may hold considerable potential for 3D fabrication of high surface-to-volume ratio biocoatings for use as microbial biosensors with the aim of coating microbes as reactive biosensors on electronic devices and circuit chips.

  17. Correlation methods in optical metrology with state-of-the-art x-ray mirrors

    Science.gov (United States)

    Yashchuk, Valeriy V.; Centers, Gary; Gevorkyan, Gevork S.; Lacey, Ian; Smith, Brian V.

    2018-01-01

    The development of fully coherent free electron lasers and diffraction limited storage ring x-ray sources has brought to focus the need for higher performing x-ray optics with unprecedented tolerances for surface slope and height errors and roughness. For example, the proposed beamlines for the future upgraded Advance Light Source, ALS-U, require optical elements characterized by a residual slope error of optics with a length of up to one meter. However, the current performance of x-ray optical fabrication and metrology generally falls short of these requirements. The major limitation comes from the lack of reliable and efficient surface metrology with required accuracy and with reasonably high measurement rate, suitable for integration into the modern deterministic surface figuring processes. The major problems of current surface metrology relate to the inherent instrumental temporal drifts, systematic errors, and/or an unacceptably high cost, as in the case of interferometry with computer-generated holograms as a reference. In this paper, we discuss the experimental methods and approaches based on correlation analysis to the acquisition and processing of metrology data developed at the ALS X-Ray Optical Laboratory (XROL). Using an example of surface topography measurements of a state-of-the-art x-ray mirror performed at the XROL, we demonstrate the efficiency of combining the developed experimental correlation methods to the advanced optimal scanning strategy (AOSS) technique. This allows a significant improvement in the accuracy and capacity of the measurements via suppression of the instrumental low frequency noise, temporal drift, and systematic error in a single measurement run. Practically speaking, implementation of the AOSS technique leads to an increase of the measurement accuracy, as well as the capacity of ex situ metrology by a factor of about four. The developed method is general and applicable to a broad spectrum of high accuracy measurements.

  18. DLP-based 3D metrology by structured light or projected fringe technology for life sciences and industrial metrology

    Science.gov (United States)

    Frankowski, G.; Hainich, R.

    2009-02-01

    Since the mid-eighties, a fundamental idea for achieving measuring accuracy in projected fringe technology was to consider the projected fringe pattern as an interferogram and evaluate it on the basis of advanced algorithms widely used for phase measuring in real-time interferometry. A fundamental requirement for obtaining a sufficiently high degree of measuring accuracy with this so-called "phase measuring projected fringe technology" is that the projected fringes, analogous to interference fringes, must have a cos2-shaped intensity distribution. Until the mid-nineties, this requirement for the projected fringe pattern measurement technology presented a basic handicap for its wide application in 3D metrology. This situation changed abruptly, when in the nineties Texas Instruments introduced to the market advanced digital light projection on the basis of micro mirror based projection systems, socalled DLP technology, which also facilitated the generation and projection of cos2-shaped intensity and/or fringe patterns. With this DLP technology, which from its original approach was actually oriented towards completely different applications such as multimedia projection, Texas Instruments boosted phase-measuring fringe projection in optical 3D metrology to a worldwide breakthrough both for medical as well as industrial applications. A subject matter of the lecture will be to present the fundamental principles and the resulting advantages of optical 3D metrology based on phase-measuring fringe projection using DLP technology. Further will be presented and discussed applications of the measurement technology in medical engineering and industrial metrology.

  19. Model-free optimization based feedforward control for an inkjet printhead

    NARCIS (Netherlands)

    Ezzeldin Mahdy Abdelmonem, M.; Bosch, van den P.P.J.; Jokic, A.; Waarsing, R.

    2010-01-01

    Inkjet is an important technology in document printing and many new industrial applications. As inkjet developments are moving towards higher productivity and quality, it is required to achieve small droplet size which is fired at a high jetting frequency. Inkjet printers are now widely used to form

  20. Inkjet metrology: high-accuracy mass measurements of microdroplets produced by a drop-on-demand dispenser.

    Science.gov (United States)

    Verkouteren, R Michael; Verkouteren, Jennifer R

    2009-10-15

    We describe gravimetric methods for measuring the mass of droplets generated by a drop-on-demand (DOD) microdispenser. Droplets are deposited, either continuously at a known frequency or as a burst of known number, into a cylinder positioned on a submicrogram balance. Mass measurements are acquired precisely by computer, and results are corrected for evaporation. Capabilities are demonstrated using isobutyl alcohol droplets. For ejection rates greater than 100 Hz, the repeatability of droplet mass measurements was 0.2%, while the combined relative standard uncertainty (u(c)) was 0.9%. When bursts of droplets were dispensed, the limit of quantitation was 72 microg (1490 droplets) with u(c) = 1.0%. Individual droplet size in a burst was evaluated by high-speed videography. Diameters were consistent from the tenth droplet onward, and the mass of an individual droplet was best estimated by the average droplet mass with a combined uncertainty of about 1%. Diameters of the first several droplets were anomalous, but their contribution was accounted for when dispensing bursts. Above the limits of quantitation, the gravimetric methods provided statistically equivalent results and permit detailed study of operational factors that influence droplet mass during dispensing, including the development of reliable microassays and standard materials using DOD technologies.

  1. Metrology/viewing system for next generation fusion reactors

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.

    1997-01-01

    Next generation fusion reactors require accurate measuring systems to verify sub-millimeter alignment of plasma-facing components in the reactor vessel. A metrology system capable of achieving such accuracy must be compatible with the vessel environment of high gamma radiation, high vacuum, elevated temperature, and magnetic field. This environment requires that the system must be remotely deployed. A coherent, frequency modulated laser radar system is being integrated with a remotely operated deployment system to meet these requirements. The metrology/viewing system consists of a compact laser transceiver optics module which is linked through fiber optics to the laser source and imaging units that are located outside of the harsh environment. The deployment mechanism is a telescopic-mast positioning system. This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision ranging and surface mapping system

  2. Integration of mask and silicon metrology in DFM

    Science.gov (United States)

    Matsuoka, Ryoichi; Mito, Hiroaki; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2009-03-01

    We have developed a highly integrated method of mask and silicon metrology. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used in mask CD-SEM and silicon CD-SEM. We have inspected the high accuracy, stability and reproducibility in the experiments of integration. The accuracy is comparable with that of the mask and silicon CD-SEM metrology. In this report, we introduce the experimental results and the application. As shrinkage of design rule for semiconductor device advances, OPC (Optical Proximity Correction) goes aggressively dense in RET (Resolution Enhancement Technology). However, from the view point of DFM (Design for Manufacturability), the cost of data process for advanced MDP (Mask Data Preparation) and mask producing is a problem. Such trade-off between RET and mask producing is a big issue in semiconductor market especially in mask business. Seeing silicon device production process, information sharing is not completely organized between design section and production section. Design data created with OPC and MDP should be linked to process control on production. But design data and process control data are optimized independently. Thus, we provided a solution of DFM: advanced integration of mask metrology and silicon metrology. The system we propose here is composed of followings. 1) Design based recipe creation: Specify patterns on the design data for metrology. This step is fully automated since they are interfaced with hot spot coordinate information detected by various verification methods. 2) Design based image acquisition: Acquire the images of mask and silicon automatically by a recipe based on the pattern design of CD-SEM.It is a robust automated step because a wide range of design data is used for the image acquisition. 3) Contour profiling and GDS data generation: An image profiling process is applied to the acquired image based

  3. Recent advances in inkjet dispensing technologies: applications in drug discovery.

    Science.gov (United States)

    Zhu, Xiangcheng; Zheng, Qiang; Yang, Hu; Cai, Jin; Huang, Lei; Duan, Yanwen; Xu, Zhinan; Cen, Peilin

    2012-09-01

    Inkjet dispensing technology is a promising fabrication methodology widely applied in drug discovery. The automated programmable characteristics and high-throughput efficiency makes this approach potentially very useful in miniaturizing the design patterns for assays and drug screening. Various custom-made inkjet dispensing systems as well as specialized bio-ink and substrates have been developed and applied to fulfill the increasing demands of basic drug discovery studies. The incorporation of other modern technologies has further exploited the potential of inkjet dispensing technology in drug discovery and development. This paper reviews and discusses the recent developments and practical applications of inkjet dispensing technology in several areas of drug discovery and development including fundamental assays of cells and proteins, microarrays, biosensors, tissue engineering, basic biological and pharmaceutical studies. Progression in a number of areas of research including biomaterials, inkjet mechanical systems and modern analytical techniques as well as the exploration and accumulation of profound biological knowledge has enabled different inkjet dispensing technologies to be developed and adapted for high-throughput pattern fabrication and miniaturization. This in turn presents a great opportunity to propel inkjet dispensing technology into drug discovery.

  4. In-cell overlay metrology by using optical metrology tool

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Park, Hyowon; Liang, Waley; Choi, DongSub; Kim, Nakyoon; Lee, Jeongpyo; Pandev, Stilian; Jeon, Sanghuck; Robinson, John C.

    2018-03-01

    Overlay is one of the most critical process control steps of semiconductor manufacturing technology. A typical advanced scheme includes an overlay feedback loop based on after litho optical imaging overlay metrology on scribeline targets. The after litho control loop typically involves high frequency sampling: every lot or nearly every lot. An after etch overlay metrology step is often included, at a lower sampling frequency, in order to characterize and compensate for bias. The after etch metrology step often involves CD-SEM metrology, in this case in-cell and ondevice. This work explores an alternative approach using spectroscopic ellipsometry (SE) metrology and a machine learning analysis technique. Advanced 1x nm DRAM wafers were prepared, including both nominal (POR) wafers with mean overlay offsets, as well as DOE wafers with intentional across wafer overlay modulation. After litho metrology was measured using optical imaging metrology, as well as after etch metrology using both SE and CD-SEM for comparison. We investigate 2 types of machine learning techniques with SE data: model-less and model-based, showing excellent performance for after etch in-cell on-device overlay metrology.

  5. Improving the surface metrology accuracy of optical profilers by using multiple measurements

    Science.gov (United States)

    Xu, Xudong; Huang, Qiushi; Shen, Zhengxiang; Wang, Zhanshan

    2016-10-01

    The performance of high-resolution optical systems is affected by small angle scattering at the mid-spatial-frequency irregularities of the optical surface. Characterizing these irregularities is, therefore, important. However, surface measurements obtained with optical profilers are influenced by additive white noise, as indicated by the heavy-tail effect observable on their power spectral density (PSD). A multiple-measurement method is used to reduce the effects of white noise by averaging individual measurements. The intensity of white noise is determined using a model based on the theoretical PSD of fractal surface measurements with additive white noise. The intensity of white noise decreases as the number of times of multiple measurements increases. Using multiple measurements also increases the highest observed spatial frequency; this increase is derived and calculated. Additionally, the accuracy obtained using multiple measurements is carefully studied, with the analysis of both the residual reference error after calibration, and the random errors appearing in the range of measured spatial frequencies. The resulting insights on the effects of white noise in optical profiler measurements and the methods to mitigate them may prove invaluable to improve the quality of surface metrology with optical profilers.

  6. Fabrication of microlens array with controllable high NA and tailored optical characteristics using confined ink-jetting

    Science.gov (United States)

    Wang, Li; Luo, Yu; Liu, ZengZeng; Feng, Xueming; Lu, Bingheng

    2018-06-01

    This work presents an economic and controllable fabricating method of high numerical aperture (NA) polymer microlens array (MLA) based on ink-jetting technology. The MLAs are ink-jetted to align on micro platforms patterned flexible PDMS substrate. The shape of a sole lens is constructed by the ink-jetted pre-cured polymer volume confined on a micro platform. In this way, MLAs with targeted geometries-as well as tailored optical characteristics-can be printed, leading to freely designed optical properties. High NA from 0.446 to 0.885 and focal lengths between 99.26 μm and 39.45 μm are demonstrated, confirming theoretical predictions. Particularly, both the simulations and experimental measurements in optical properties are carried out, demonstrating that microlenses with shapes beyond a hemisphere (CA > 90°) exhibits higher light utilization efficiency and wider viewing angle. Meanwhile, the MLAs are fabricated on flexible PDMS substrates and can be attached to other curved surfaces for wider field of view imaging and higher sensitivity.

  7. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy.

    Science.gov (United States)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-05-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or "tophat" beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  8. Inkjet-Printed Biofunctional Thermo-Plasmonic Interfaces for Patterned Neuromodulation.

    Science.gov (United States)

    Kang, Hongki; Lee, Gu-Haeng; Jung, Hyunjun; Lee, Jee Woong; Nam, Yoonkey

    2018-02-27

    Localized heat generation by the thermo-plasmonic effect of metal nanoparticles has great potential in biomedical engineering research. Precise patterning of the nanoparticles using inkjet printing can enable the application of the thermo-plasmonic effect in a well-controlled way (shape and intensity). However, a universally applicable inkjet printing process that allows good control in patterning and assembly of nanoparticles with good biocompatibility is missing. Here we developed inkjet-printing-based biofunctional thermo-plasmonic interfaces that can modulate biological activities. We found that inkjet printing of plasmonic nanoparticles on a polyelectrolyte layer-by-layer substrate coating enables high-quality, biocompatible thermo-plasmonic interfaces across various substrates (rigid/flexible, hydrophobic/hydrophilic) by induced contact line pinning and electrostatically assisted nanoparticle assembly. We experimentally confirmed that the generated heat from the inkjet-printed thermo-plasmonic patterns can be applied in micrometer resolution over a large area. Lastly, we demonstrated that the patterned thermo-plasmonic effect from the inkjet-printed gold nanorods can selectively modulate neuronal network activities. This inkjet printing process therefore can be a universal method for biofunctional thermo-plasmonic interfaces in various bioengineering applications.

  9. Application of Inkjet Printing in High-Density Pixelated RGB Quantum Dot-Hybrid LEDs

    KAUST Repository

    Haverinen, Hanna; Jabbour, Ghassan E.

    2012-01-01

    to fabricate high-density, pixelated (quarter video graphics array (QVGA) format), monochromatic and RGB quantum dots light-emitting diodes (QDLEDs), where inkjet printing is used to deposit the light-emitting layer of QDs. It shows some of the factors

  10. An OCD perspective of line edge and line width roughness metrology

    Science.gov (United States)

    Bonam, Ravi; Muthinti, Raja; Breton, Mary; Liu, Chi-Chun; Sieg, Stuart; Seshadri, Indira; Saulnier, Nicole; Shearer, Jeffrey; Patlolla, Raghuveer; Huang, Huai

    2017-03-01

    Metrology of nanoscale patterns poses multiple challenges that range from measurement noise, metrology errors, probe size etc. Optical Metrology has gained a lot of significance in the semiconductor industry due to its fast turn around and reliable accuracy, particularly to monitor in-line process variations. Apart from monitoring critical dimension, thickness of films, there are multiple parameters that can be extracted from Optical Metrology models3. Sidewall angles, material compositions etc., can also be modeled to acceptable accuracy. Line edge and Line Width roughness are much sought of metrology following critical dimension and its uniformity, although there has not been much development in them with optical metrology. Scanning Electron Microscopy is still used as a standard metrology technique for assessment of Line Edge and Line Width roughness. In this work we present an assessment of Optical Metrology and its ability to model roughness from a set of structures with intentional jogs to simulate both Line edge and Line width roughness at multiple amplitudes and frequencies. We also present multiple models to represent roughness and extract relevant parameters from Optical metrology. Another critical aspect of optical metrology setup is correlation of measurement to a complementary technique to calibrate models. In this work, we also present comparison of roughness parameters extracted and measured with variation of image processing conditions on a commercially available CD-SEM tool.

  11. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    International Nuclear Information System (INIS)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal

    2016-01-01

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  12. Speckle-based at-wavelength metrology of X-ray mirrors with super accuracy

    Energy Technology Data Exchange (ETDEWEB)

    Kashyap, Yogesh; Wang, Hongchang; Sawhney, Kawal, E-mail: kawal.sawhney@diamond.ac.uk [Diamond Light Source, Harwell Science and Innovation Campus, Didcot OX11 0DE (United Kingdom)

    2016-05-15

    X-ray active mirrors, such as bimorph and mechanically bendable mirrors, are increasingly being used on beamlines at modern synchrotron source facilities to generate either focused or “tophat” beams. As well as optical tests in the metrology lab, it is becoming increasingly important to optimise and characterise active optics under actual beamline operating conditions. Recently developed X-ray speckle-based at-wavelength metrology technique has shown great potential. The technique has been established and further developed at the Diamond Light Source and is increasingly being used to optimise active mirrors. Details of the X-ray speckle-based at-wavelength metrology technique and an example of its applicability in characterising and optimising a micro-focusing bimorph X-ray mirror are presented. Importantly, an unprecedented angular sensitivity in the range of two nanoradians for measuring the slope error of an optical surface has been demonstrated. Such a super precision metrology technique will be beneficial to the manufacturers of polished mirrors and also in optimization of beam shaping during experiments.

  13. INKJET PRINTING OF ALUMOOXIDE SOL FOR DEPOSITION OF ANTIREFLECTING COATINGS

    Directory of Open Access Journals (Sweden)

    E. A. Eremeeva

    2017-01-01

    Full Text Available Subject of Research. This work describes for the first time the formation of antireflective coating on the base of boehmite phase of AlOOH with low refractive index (1.35 by inkjet printing on the nonporous substrate. This method gives the possibility to increase the contrast of colorful interfering images by 32% obtaining by inkjet printing of titanium dioxide sol. The usage of this technology enables to obtain patterns with wide viewing angle and makes them highly stable. Methods. Traditional sol-gel method with peptizing agents and heating for 90oC was applied for sol synthesis. Then the mixture was under sonic treatment for the obtaining of viscous sol. The viscosity was determined by Brookfield HA/HB viscometer, and the surface tension by Kyowa DY-700 tensiometer. Aluminum oxide ink was deposited on polished slides (26×76 mm2, Paul Marienfeld, Germany, over titanium oxide layer. To print titania ink, we use a desktop office printer Canon Pixma IP 2840 and Dimatix DMP-2831. The thickness of an inkjet AlOOH layer after drying in the air and removal of the solvents did not exceed 150 nm with an RI not less than 1.35 in the entire visible range. Results. The stable colloidal ink was obtained for the first time on the base of aluminum oxide matrix with neutral pH. The rheology was regulated by controlling parameters of sol-gel method in the system of aqueous titanium dioxide sol and by adding ethanol that affects the charge of double electrical layer of disperse phase. The controllable coalesce of drops enables to apply antireflection coating within the thickness accuracy of 10 nm. The morphology of particles and the topology of printed structures were analyzed by optical, scanning electron and atomic-force microscopes. Practical Relevance. We have proposed the approach to obtain colorful, interference patterns using two types of high refractive inks with different refractive indexes. The inkjet printing method opens new opportunities for

  14. Modification of inkjet printer for polymer sensitive layer preparation on silicon-based gas sensors

    Directory of Open Access Journals (Sweden)

    Tianjian Li

    2015-04-01

    Full Text Available Inkjet printing is a versatile, low cost deposition technology with the capabilities for the localized deposition of high precision, patterned deposition in a programmable way, and the parallel deposition of a variety of materials. This paper demonstrates a new method of modifying the consumer inkjet printer to prepare polymer-sensitive layers on silicon wafer for gas sensor applications. A special printing tray for the modified inkjet printer to support a 4-inch silicon wafer is designed. The positioning accuracy of the deposition system is tested, based on the newly modified printer. The experimental data show that the positioning errors in the horizontal direction are negligibly small, while the positioning errors in the vertical direction rise with the increase of the printing distance of the wafer. The method for making suitable ink to be deposited to form the polymer-sensitive layer is also discussed. In the testing, a solution of 0.1 wt% polyvinyl alcohol (PVA was used as ink to prepare a sensitive layer with certain dimensions at a specific location on the surface of the silicon wafer, and the results prove the feasibility of the methods presented in this article.

  15. High pressure metrology for industrial applications

    Science.gov (United States)

    Sabuga, Wladimir; Rabault, Thierry; Wüthrich, Christian; Pražák, Dominik; Chytil, Miroslav; Brouwer, Ludwig; Ahmed, Ahmed D. S.

    2017-12-01

    To meet the needs of industries using high pressure technologies, in traceable, reliable and accurate pressure measurements, a joint research project of the five national metrology institutes and the university was carried out within the European Metrology Research Programme. In particular, finite element methods were established for stress-strain analysis of elastic and nonlinear elastic-plastic deformation, as well as of contact processes in pressure-measuring piston-cylinder assemblies, and high-pressure components at pressures above 1 GPa. New pressure measuring multipliers were developed and characterised, which allow realisation of the pressure scale up to 1.6 GPa. This characterisation is based on research including measurements of material elastic constants by the resonant ultrasound spectroscopy, hardness of materials of high pressure components, density and viscosity of pressure transmitting liquids at pressures up to 1.4 GPa and dimensional measurements on piston-cylinders. A 1.6 GPa pressure system was created for operation of the 1.6 GPa multipliers and calibration of high pressure transducers. A transfer standard for 1.5 GPa pressure range, based on pressure transducers, was built and tested. Herewith, the project developed the capability of measuring pressures up to 1.6 GPa, from which industrial users can calibrate their pressure measurement devices for accurate measurements up to 1.5 GPa.

  16. A High Sensitivity Three-Dimensional-Shape Sensing Patch Prepared by Lithography and Inkjet Printing

    Directory of Open Access Journals (Sweden)

    Cheng-Yao Lo

    2012-03-01

    Full Text Available A process combining conventional photolithography and a novel inkjet printing method for the manufacture of high sensitivity three-dimensional-shape (3DS sensing patches was proposed and demonstrated. The supporting curvature ranges from 1.41 to 6.24 ´ 10−2 mm−1 and the sensing patch has a thickness of less than 130 μm and 20 ´ 20 mm2 dimensions. A complete finite element method (FEM model with simulation results was calculated and performed based on the buckling of columns and the deflection equation. The results show high compatibility of the drop-on-demand (DOD inkjet printing with photolithography and the interferometer design also supports bi-directional detection of deformation. The 3DS sensing patch can be operated remotely without any power consumption. It provides a novel and alternative option compared with other optical curvature sensors.

  17. Current Trends on Medical and Pharmaceutical Applications of Inkjet Printing Technology.

    Science.gov (United States)

    Scoutaris, Nicolaos; Ross, Steven; Douroumis, Dennis

    2016-08-01

    Inkjet printing is an attractive material deposition and patterning technology that has received significant attention in the recent years. It has been exploited for novel applications including high throughput screening, pharmaceutical formulations, medical devices and implants. Moreover, inkjet printing has been implemented in cutting-edge 3D-printing healthcare areas such as tissue engineering and regenerative medicine. Recent inkjet advances enabled 3D printing of artificial cartilage and skin, or cell constructs for transplantation therapies. In the coming years inkjet printing is anticipated to revolutionize personalized medicine and push the innovation portfolio by offering new paths in patient - specific treatments.

  18. Inkjet printing and adhesion characterisation of conductive tracks on a commercial printed circuit board material

    International Nuclear Information System (INIS)

    Sridhar, A.; Dijk, D.J. van; Akkerman, R.

    2009-01-01

    Silver nanoparticle-based conductive tracks were inkjet printed using a piezoelectric drop-on-demand inkjet printer on a commercially available electronics grade fibre glass (E-glass) reinforced substrate material, and the experimental results have been summarised. Ink jetting was done on two variants of this substrate material, viz. etched and unetched, to determine the influence of substrate surface topography on adhesion and accuracy of the printed tracks. The pull-off adhesion test method was used to quantify adhesive strength. The dependence of the pull-off test results on local geometry of the test area are illustrated with the aid of scanning electron microscope images and interferometer studies. Based on the outcomes of the experiments, conclusions concerning the suitable surface topography for inkjet printing have been arrived at.

  19. Inkjet printing and inkjet infiltration of functional coatings for SOFCs fabrication

    Directory of Open Access Journals (Sweden)

    Tomov Rumen I.

    2016-01-01

    Full Text Available Inkjet printing fabrication and modification of electrodes and electrolytes of SOFCs were studied. Electromagnetic print-heads were utilized to reproducibly dispense droplets of inks at rates of several kHz on demand. Printing parameters including pressure, nozzle opening time and drop spreading were studied in order to optimize the inks jetting and delivery. Scanning electron microscopy revealed highly conformal ~ 6-10 μm thick dense electrolyte layers routinely produced on cermet and metal porous supports. Open circuit voltages ranging from 0.95 to 1.01 V, and a maximum power density of ~180 mW.cm−2 were measured at 750 °C on Ni-8YSZ/YSZ/LSM single cell 50×50 mm in size. The effect of anode and cathode microstructures on the electrochemical performance was investigated. Two - step fabrication of the electrodes using inkjet printing infiltration was implemented. In the first step the porous electrode scaffold was created printing suspension composite inks. During the second step inkjet printing infiltration was utilized for controllable loading of active elements and a formation of nano-grid decorations on the scaffolds radically reducing the activation polarization losses of both electrodes. Symmetrical cells of both types were characterized by impedance spectroscopy in order to reveal the relation between the microstructure and the electrochemical performance.

  20. A laser metrology/viewing system for ITER in-vessel inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Herndon, J.N.; Menon, M.M.; Slotwinski, A.; Dagher, M.A.; Yuen, J.L.

    1998-01-01

    This paper identifies the requirements for the International Thermonuclear Experimental Reactor metrology and viewing system, and describes a remotely operated precision surface mapping system. A metrology system capable of achieving sub-millimeter accuracy must operate in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser optics module linked through fiber optics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic-mast. Gamma irradiation to 10 7 Gy was conducted on critical sensor components at Oak Ridge National Laboratory, with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway. (orig.)

  1. Radiation protection metrology in Austria: status and needs in a European perspective

    International Nuclear Information System (INIS)

    Maringer, F. J.; Leitner, A.; Tschurlovits, M.

    2005-01-01

    A global harmonised system of radiation protection and radiation dosimetry metrology is required to assure quality and accuracy in exchange of ideas, science, technologies and products. Accurate and high-grade measurements of ionising radiation are required in a wide range of industrial and medical applications where they are critical for human health and safety. This paper presents current work of international and Austrian metrological institutions in the field of ionising radiation and briefly discusses the future need and perspectives in the European context.(author)

  2. 3D inkjet printed radio frequency inductors and capacitors

    KAUST Repository

    Vaseem, Mohammad

    2016-12-08

    Inkjet printing has emerged as an ideal method for the fabrication of low cost and efficient electronic systems. However, most of the printed designs at present utilize 2D inkjet printing of metallic inks on conventional substrates. In order to have fully printed RF components, the substrate must also be printed. 3D printing of polymers can be an ideal mechanism for printing substrates, however typically such materials cannot handle high sintering temperatures (>150 0C) required for nanoparticles based metallic inks. In this work, an all-inkjet printed process is demonstrated that utilizes 3D inkjet printing of a UV-cured dielectric material in combination with the printing of a particle free conductive silver organo-complex (SOC) ink for realization of inductors and capacitors. The processing temperature does not exceed 80 0C and still state of the art conductivity of 1×107 S/m is achieved. Both the conductive ink and dielectric have roughness values under 500 nm. The inductor and capacitor exhibit quality factors of 8 and 20 respectively in the high MHz and GHz regime.

  3. All inkjet printed 3D microwave capacitors and inductors with vias

    KAUST Repository

    McKerricher, Garret

    2013-06-01

    For the first time we present a method to create all inkjet printed multilayer RF passive components including vias. Although there has been previous work on multilayer RF components, they are not fully inkjet printed and involve complicated processing techniques such as laser cutting, conductive epoxy, or reactive ion etching This work demonstrates a truly all inkjet printed solution with a novel dissolving method for vias realization. A major issue with inkjet printing is often surface roughness, however by processing these materials at low temperature surface roughness <20nm RMS has been obtained which allows for high quality components to be fabricated and allows for stacked multilayer designs. © 2013 IEEE.

  4. All inkjet printed 3D microwave capacitors and inductors with vias

    KAUST Repository

    McKerricher, Garret; Gonzá lez, Juan Carlos Cano; Shamim, Atif

    2013-01-01

    For the first time we present a method to create all inkjet printed multilayer RF passive components including vias. Although there has been previous work on multilayer RF components, they are not fully inkjet printed and involve complicated processing techniques such as laser cutting, conductive epoxy, or reactive ion etching This work demonstrates a truly all inkjet printed solution with a novel dissolving method for vias realization. A major issue with inkjet printing is often surface roughness, however by processing these materials at low temperature surface roughness <20nm RMS has been obtained which allows for high quality components to be fabricated and allows for stacked multilayer designs. © 2013 IEEE.

  5. NIF Target Assembly Metrology Methodology and Results

    Energy Technology Data Exchange (ETDEWEB)

    Alger, E. T. [General Atomics, San Diego, CA (United States); Kroll, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Dzenitis, E. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Montesanti, R. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Hughes, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Swisher, M. [IAP, Livermore, CA (United States); Taylor, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Segraves, K. [IAP, Livermore, CA (United States); Lord, D. M. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Reynolds, J. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Castro, C. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Edwards, G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2011-01-01

    During our inertial confinement fusion (ICF) experiments at the National Ignition Facility (NIF) we require cryogenic targets at the 1-cm scale to be fabricated, assembled, and metrologized to micron-level tolerances. During assembly of these ICF targets, there are physical dimensmetrology is completed using optical coordinate measurement machines that provide repeatable measurements with micron precision, while also allowing in-process data collection for absolute accuracy in assembly. To date, 51 targets have been assembled and metrologized, and 34 targets have been successfully fielded on NIF relying on these metrology data. In the near future, ignition experiments on NIF will require tighter tolerances and more demanding target assembly and metrology capability. Metrology methods, calculations, and uncertainty estimates will be discussed. Target diagnostic port alignment, target position, and capsule location results will be reviewed for the 2009 Energetics Campaign. The information is presented via control charts showing the effect of process improvements that were made during target production. Certain parameters, including capsule position, met the 2009 campaign specifications but will have much tighter requirements in the future. Finally, in order to meet these new requirements assembly process changes and metrology capability upgrades will be necessary.

  6. High ink absorption performance of inkjet printing based on SiO2@Al13 core-shell composites

    Science.gov (United States)

    Chen, YiFan; Jiang, Bo; Liu, Li; Du, Yunzhe; Zhang, Tong; Zhao, LiWei; Huang, YuDong

    2018-04-01

    The increasing growth of the inkjet market makes the inkjet printing more necessary. A composite material based on core-shell structure has been developed and applied to prepare inkjet printing layer. In this contribution, the ink printing record layers based on SiO2@Al13 core-shell composite was elaborated. The prepared core-shell composite materials were characterized by X-ray photoelectron spectroscopy (XPS), zeta potential, X-ray diffraction (XRD), scanning electron microscopy (SEM). The results proved the presence of electrostatic adsorption between SiO2 molecules and Al13 molecules with the formation of the well-dispersed system. In addition, based on the adsorption and the liquid permeability analysis, SiO2@Al13 ink printing record layer achieved a relatively high ink uptake (2.5 gmm-1) and permeability (87%), respectively. The smoothness and glossiness of SiO2@Al13 record layers were higher than SiO2 record layers. The core-shell structure facilitated the dispersion of the silica, thereby improved its ink absorption performance and made the clear printed image. Thus, the proposed procedure based on SiO2@Al13 core-shell structure of dye particles could be applied as a promising strategy for inkjet printing.

  7. Inkjet printing of aligned single-walled carbon-nanotube thin films

    Science.gov (United States)

    Takagi, Yuki; Nobusa, Yuki; Gocho, Shota; Kudou, Hikaru; Yanagi, Kazuhiro; Kataura, Hiromichi; Takenobu, Taishi

    2013-04-01

    We report a method for the inkjet printing of aligned single-walled carbon-nanotube (SWCNT) films by combining inkjet technology with the strong wettability contrast between hydrophobic and hydrophilic areas based on the patterning of self-assembled monolayers. Both the drying process control using the strong wettability boundary and the coffee-stain effect strongly promote the aggregation of SWCNTs along the contact line of a SWCNT ink droplet, thereby demonstrating our achievement of inkjet-printed aligned SWCNT films. This method could open routes for developing high-performance and environmentally friendly SWCNT printed electronics.

  8. Speckle-based at-wavelength metrology of x-ray optics at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Zhou, Tunhe; Kashyap, Yogesh; Sawhney, Kawal

    2017-08-01

    To achieve high resolution and sensitivity on the nanometer scale, further development of X-ray optics is required. Although ex-situ metrology provides valuable information about X-ray optics, the ultimate performance of X-ray optics is critically dependent on the exact nature of the working conditions. Therefore, it is equally important to perform in-situ metrology at the optics' operating wavelength (`at-wavelength' metrology) to optimize the performance of X-ray optics and correct and minimize the collective distortions of the upstream beamline optics, e.g. monochromator, windows, etc. Speckle-based technique has been implemented and further improved at Diamond Light Source. We have demonstrated that the angular sensitivity for measuring the slope error of an optical surface can reach an accuracy of two nanoradians. The recent development of the speckle-based at-wavelength metrology techniques will be presented. Representative examples of the applications of the speckle-based technique will also be given - including optimization of X-ray mirrors and characterization of compound refraction lenses. Such a high-precision metrology technique will be extremely beneficial for the manufacture and in-situ alignment/optimization of X-ray mirrors for next-generation synchrotron beamlines.

  9. Inkjet printing of novel wideband and high gain antennas on low-cost paper substrate

    KAUST Repository

    Cook, Benjamin Stassen

    2012-09-01

    A complete characterization of the inkjet printing process using metallic nanoparticle inks on a paper substrate for microwave frequencies up to 12.5 GHz as well as its application to low-cost, high gain and wideband antenna design are demonstrated in this work. Laser and heat sintering of metallic nanoparticles are compared on paper substrate for the first time which demonstrate immense cost and time benefits of laser sintering. The antennas fabricated using the characterized process include a Vivaldi for the UWB band which exhibits a significantly higher gain of up to 8 dBi as compared to the currently published inkjet printed antennas, and a novel slow-wave log periodic dipole array which employs a new miniaturization technique to show 20% width reduction. © 1963-2012 IEEE.

  10. Inkjet printed ferrite-filled rectangular waveguide X-band isolator

    KAUST Repository

    Farooqui, Muhammad Fahad

    2014-06-01

    For the first time, a rectangular waveguide (RWG) isolator realized through inkjet printing on a ferrite substrate is presented. Yttrium iron garnet (YIG) substrate is used for the realization of the ferrite-filled isolator. Contrary to the substrate integrated waveguide (SIW) approach, all four walls of the waveguide have been inkjet printed on the YIG substrate demonstrating the utility of inkjet printing process for realizing non-planar microwave components. The isolation is achieved by applying an anti-symmetrical DC magnetic bias to the ferrite-filled waveguide which then exhibits a unidirectional mode of operation. The isolator is fed by a microstrip to RWG transition and demonstrates an isolation figure-of-merit (IFM) of more than 51 dB in the operating band from 9.95 GHz to 11.73 GHz with a very high peak IFM of 69 dB. The minimum insertion loss in the operating band is 2.73 dB (including losses from the transitions). The isolator measures 33 mm × 8 mm × 0.4 mm. This work introduces an inkjet printed non-planar microwave device which is easy to fabricate showing the ability of inkjet printing for fabricating complex microwave systems. © 2014 IEEE.

  11. A survey on coordinate metrology using dimensional X-ray CT

    International Nuclear Information System (INIS)

    Matsuzaki, Kazuya

    2016-01-01

    X-ray computed tomography (X-ray CT) has been occupying indispensable position in geometrical and dimensional measurements in industry, which is capable of measuring both external and internal dimensions of industrial products. Since dimensional X-ray CT has problems about ensuring traceability and estimating uncertainty, requirement of developing measurement standard for dimensional X-ray CT is increasing. Some of national metrology institutes (NMIs) including NMIJ have been working on developing measurement standard. In this report, the background of coordinate metrology using dimensional X-ray CT is reviewed. Then, measurement error sources are discussed. Finally, the plan to develop high accuracy dimensional X-ray CT is presented. (author)

  12. High-Resolution Inkjet-Printed Oxide Thin-Film Transistors with a Self-Aligned Fine Channel Bank Structure.

    Science.gov (United States)

    Zhang, Qing; Shao, Shuangshuang; Chen, Zheng; Pecunia, Vincenzo; Xia, Kai; Zhao, Jianwen; Cui, Zheng

    2018-05-09

    A self-aligned inkjet printing process has been developed to construct small channel metal oxide (a-IGZO) thin-film transistors (TFTs) with independent bottom gates on transparent glass substrates. Poly(methylsilsesquioxane) was used to pattern hydrophobic banks on the transparent substrate instead of commonly used self-assembled octadecyltrichlorosilane. Photolithographic exposure from backside using bottom-gate electrodes as mask formed hydrophilic channel areas for the TFTs. IGZO ink was selectively deposited by an inkjet printer in the hydrophilic channel region and confined by the hydrophobic bank structure, resulting in the precise deposition of semiconductor layers just above the gate electrodes. Inkjet-printed IGZO TFTs with independent gate electrodes of 10 μm width have been demonstrated, avoiding completely printed channel beyond the broad of the gate electrodes. The TFTs showed on/off ratios of 10 8 , maximum mobility of 3.3 cm 2 V -1 s -1 , negligible hysteresis, and good uniformity. This method is conductive to minimizing the area of printed TFTs so as to the development of high-resolution printing displays.

  13. Inkjet printing of UHF antennas on corrugated cardboards for packaging applications

    Energy Technology Data Exchange (ETDEWEB)

    Sowade, Enrico, E-mail: enrico.sowade@mb.tu-chemnitz.de [Digital Printing and Imaging Technology, Technische Universität Chemnitz, Chemnitz (Germany); Göthel, Frank [Digital Printing and Imaging Technology, Technische Universität Chemnitz, Chemnitz (Germany); Zichner, Ralf [Department Printed Functionalities, Fraunhofer Institute for Electronic Nano Systems (ENAS), Chemnitz (Germany); Baumann, Reinhard R. [Digital Printing and Imaging Technology, Technische Universität Chemnitz, Chemnitz (Germany); Department Printed Functionalities, Fraunhofer Institute for Electronic Nano Systems (ENAS), Chemnitz (Germany)

    2015-03-30

    Highlights: • Inkjet printing of UHF antennas on cardboard substrates. • Development of primer layer to compensate the absorptiveness of the cardboard and the rough surface. • Manufacturing of UHF antennas in a fully digital manner for packaging applications. - Abstract: In this study, a method based on inkjet printing has been established to develop UHF antennas on a corrugated cardboard for packaging applications. The use of such a standardized, paper-based packaging substrate as material for printing electronics is challenging in terms of its high surface roughness and high ink absorption rate, especially when depositing very thin films with inkjet printing technology. However, we could obtain well-defined silver layers on the cardboard substrates due to a primer layer approach. The primer layer is based on a UV-curable ink formulation and deposited as well as the silver ink with inkjet printing technology. Industrial relevant printheads were chosen for the deposition of the materials. The usage of inkjet printing allows highest flexibility in terms of pattern design. The primer layer was proven to optimize the surface characteristics of the substrate, mainly reducing the surface roughness and water absorptiveness. Thanks to the primer layer approach, ultra-high-frequency (UHF) radio-frequency identification (RFID) antennas were deposited by inkjet printing on the corrugated cardboards. Along with the characterization and interpretation of electrical properties of the established conductive antenna patterns, the performance of the printed antennas were analyzed in detail by measuring the scattering parameter S{sub 11} and the antenna gain.

  14. Non-aqueous pigmented inkjet inks

    NARCIS (Netherlands)

    DEROOVER, GEERT; Bernaerts, Katrien; HOOGMARTENS, IVAN

    2009-01-01

    A non-aqueous inkjet ink comprises a benzimidazolone pigment and a polymeric dispersant according to Formula (I): wherein, T represents hydrogen or a polymerization terminating group; Z represents theA non-aqueous inkjet ink comprises a benzimidazolone pigment and a polymeric dispersant according to

  15. Parametric boundary reconstruction algorithm for industrial CT metrology application.

    Science.gov (United States)

    Yin, Zhye; Khare, Kedar; De Man, Bruno

    2009-01-01

    High-energy X-ray computed tomography (CT) systems have been recently used to produce high-resolution images in various nondestructive testing and evaluation (NDT/NDE) applications. The accuracy of the dimensional information extracted from CT images is rapidly approaching the accuracy achieved with a coordinate measuring machine (CMM), the conventional approach to acquire the metrology information directly. On the other hand, CT systems generate the sinogram which is transformed mathematically to the pixel-based images. The dimensional information of the scanned object is extracted later by performing edge detection on reconstructed CT images. The dimensional accuracy of this approach is limited by the grid size of the pixel-based representation of CT images since the edge detection is performed on the pixel grid. Moreover, reconstructed CT images usually display various artifacts due to the underlying physical process and resulting object boundaries from the edge detection fail to represent the true boundaries of the scanned object. In this paper, a novel algorithm to reconstruct the boundaries of an object with uniform material composition and uniform density is presented. There are three major benefits in the proposed approach. First, since the boundary parameters are reconstructed instead of image pixels, the complexity of the reconstruction algorithm is significantly reduced. The iterative approach, which can be computationally intensive, will be practical with the parametric boundary reconstruction. Second, the object of interest in metrology can be represented more directly and accurately by the boundary parameters instead of the image pixels. By eliminating the extra edge detection step, the overall dimensional accuracy and process time can be improved. Third, since the parametric reconstruction approach shares the boundary representation with other conventional metrology modalities such as CMM, boundary information from other modalities can be directly

  16. Review of Recent Inkjet-Printed Capacitive Tactile Sensors

    Directory of Open Access Journals (Sweden)

    Ahmed Salim

    2017-11-01

    Full Text Available Inkjet printing is an advanced printing technology that has been used to develop conducting layers, interconnects and other features on a variety of substrates. It is an additive manufacturing process that offers cost-effective, lightweight designs and simplifies the fabrication process with little effort. There is hardly sufficient research on tactile sensors and inkjet printing. Advancements in materials science and inkjet printing greatly facilitate the realization of sophisticated tactile sensors. Starting from the concept of capacitive sensing, a brief comparison of printing techniques, the essential requirements of inkjet-printing and the attractive features of state-of-the art inkjet-printed tactile sensors developed on diverse substrates (paper, polymer, glass and textile are presented in this comprehensive review. Recent trends in inkjet-printed wearable/flexible and foldable tactile sensors are evaluated, paving the way for future research.

  17. UPWIND 1A2 Metrology. Final Report

    DEFF Research Database (Denmark)

    Eecen, P.J.; Wagenaar, J.W.; Stefanatos, N.

    . Since this problem covers many areas of wind energy, the work package is defined as a crosscutting activity. The objectives of the metrology work package are to develop metrology tools in wind energy to significantly enhance the quality of measurement and testing techniques. The first deliverable...... is a valuable tool for the further assessment and interest has been shown from other work packages, such as Training. This report describes the activities that have been carried out in the Work Package 1A2 Metrology of the UpWind project. Activities from Risø are described in a separate report: T.F. Pedersen...... was to perform a state of the art assessment to identify all relevant measurands. The required accuracies and required sampling frequencies have been identified from the perspective of the users of the data (the other work packages in UpWind). This work led to the definition of the Metrology Database, which...

  18. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends.

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-08-02

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed.

  19. Tintas Ink-Jet para Decoracion 3D

    Directory of Open Access Journals (Sweden)

    Spain S.A., Ferro

    2011-04-01

    Full Text Available A new set of different ink-jet inks to be apply as a 3D object and extrafine layers to protect the decoration made by ink-jet technology. These new inks are obtained through the development of new frits based on monophasic crystal vitro structures that allows ceramic effects obtained via digital decoration. The new inks improve the ability of application by ink-jet heads in order to achieve aesthetics and decorative effects than those obtained with conventional decoration.

    Se han desarrollado un conjunto de diferentes tintas ink-jet, para aplicar como objeto 3D y capas extrafinas con el fin de proteger la decoración realizada mediante tecnología ink-jet. Estas nuevas tintas se obtienen a través del desarrollo de nuevas fritas basadas en estructuras vitro cristalinas monofásicas que permiten obtener efectos cerámicos mediante decoración digital. Las nuevas tintas mejoran la capacidad de aplicación mediante cabezales ink-jet con el fin de conseguir efectos estéticos y decorativos superiores a los obtenidos con la decoración convencional.

  20. Structure- and fluid-dynamics in piezo inkjet printheads

    NARCIS (Netherlands)

    Wijshoff, Herman

    2008-01-01

    Inkjet printing is an important technology in color document production [133]. The rapid development of inkjet technology started off around the late fifties. Since then, many inkjet devices have seen the light of day. In this overview, the attention is mainly restricted to the development towards

  1. Fast and accurate: high-speed metrological large-range AFM for surface and nanometrology

    Science.gov (United States)

    Dai, Gaoliang; Koenders, Ludger; Fluegge, Jens; Hemmleb, Matthias

    2018-05-01

    Low measurement speed remains a major shortcoming of the scanning probe microscopic technique. It not only leads to a low measurement throughput, but a significant measurement drift over the long measurement time needed (up to hours or even days). To overcome this challenge, PTB, the national metrology institute of Germany, has developed a high-speed metrological large-range atomic force microscope (HS Met. LR-AFM) capable of measuring speeds up to 1 mm s‑1. This paper has introduced the design concept in detail. After modelling scanning probe microscopic measurements, our results suggest that the signal spectrum of the surface to be measured is the spatial spectrum of the surface scaled by the scanning speed. The higher the scanning speed , the broader the spectrum to be measured. To realise an accurate HS Met. LR-AFM, our solution is to combine different stages/sensors synchronously in measurements, which provide a much larger spectrum area for high-speed measurement capability. Two application examples have been demonstrated. The first is a new concept called reference areal surface metrology. Using the developed HS Met. LR-AFM, surfaces are measured accurately and traceably at a speed of 500 µm s‑1 and the results are applied as a reference 3D data map of the surfaces. By correlating the reference 3D data sets and 3D data sets of tools under calibration, which are measured at the same surface, it has the potential to comprehensively characterise the tools, for instance, the spectrum properties of the tools. The investigation results of two commercial confocal microscopes are demonstrated, indicating very promising results. The second example is the calibration of a kind of 3D nano standard, which has spatially distributed landmarks, i.e. special unique features defined by 3D-coordinates. Experimental investigations confirmed that the calibration accuracy is maintained at a measurement speed of 100 µm s‑1, which improves the calibration efficiency by a

  2. Organic Solar Cell by Inkjet Printing—An Overview

    Directory of Open Access Journals (Sweden)

    Sharaf Sumaiya

    2017-08-01

    Full Text Available In recent years, organic solar cells became more attractive due to their flexible power devices and the potential for low-cost manufacturing. Inkjet printing is a very potential manufacturing technique of organic solar cells because of its low material usage, flexibility, and large area formation. In this paper, we presented an overall review on the inkjet printing technology as well as advantages of inkjet-printing, comparison of inkjet printing with other printing technologies and its potential for organic solar cells (OSCs. Here we highlighted in more details about the viability of environment-friendly and cost-effective, non-halogenated indium tin oxide (ITO free large scale roll to roll production of the OSC by inkjet printing technology. The challenges of inkjet printing like the viscosity limitations, nozzle clogging, coffee ring effect, and limitation of printability as well as dot spacing are also discussed. Lastly, some of the improvement strategies for getting the higher efficiency of the OSCs have been suggested.

  3. Iterative learning control with basis functions for media positioning in scanning inkjet printers

    NARCIS (Netherlands)

    Bolder, J.J.; Lemmen, B.P.; Koekebakker, S.H.; Oomen, T.A.E.; Bosgra, O.H.; Steinbuch, M.

    2012-01-01

    In printing systems, the positioning accuracy of the medium with respect to the print heads directly impacts print quality. In a regular document inkjet printer, the main task of the media positioning drive is to shift the medium after the printhead has finished a pass. Most media have the tendency

  4. An inkjet vision measurement technique for high-frequency jetting

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Kye-Si, E-mail: kskwon@sch.ac.kr; Jang, Min-Hyuck; Park, Ha Yeong [Department of Mechanical Engineering, Soonchunhyang University 22, Soonchunhyang-Ro, Shinchang, Asan Chungnam 336-745 (Korea, Republic of); Ko, Hyun-Seok [Department of Electrical and Robot Engineering, Soonchunhyang University, 22, Soonchunhyang-Ro, Shinchang, Asan Chungnam 336-745 (Korea, Republic of)

    2014-06-15

    Inkjet technology has been used as manufacturing a tool for printed electronics. To increase the productivity, the jetting frequency needs to be increased. When using high-frequency jetting, the printed pattern quality could be non-uniform since the jetting performance characteristics including the jetting speed and droplet volume could vary significantly with increases in jet frequency. Therefore, high-frequency jetting behavior must be evaluated properly for improvement. However, it is difficult to measure high-frequency jetting behavior using previous vision analysis methods, because subsequent droplets are close or even merged. In this paper, we present vision measurement techniques to evaluate the drop formation of high-frequency jetting. The proposed method is based on tracking target droplets such that subsequent droplets can be excluded in the image analysis by focusing on the target droplet. Finally, a frequency sweeping method for jetting speed and droplet volume is presented to understand the overall jetting frequency effects on jetting performance.

  5. An inkjet vision measurement technique for high-frequency jetting

    International Nuclear Information System (INIS)

    Kwon, Kye-Si; Jang, Min-Hyuck; Park, Ha Yeong; Ko, Hyun-Seok

    2014-01-01

    Inkjet technology has been used as manufacturing a tool for printed electronics. To increase the productivity, the jetting frequency needs to be increased. When using high-frequency jetting, the printed pattern quality could be non-uniform since the jetting performance characteristics including the jetting speed and droplet volume could vary significantly with increases in jet frequency. Therefore, high-frequency jetting behavior must be evaluated properly for improvement. However, it is difficult to measure high-frequency jetting behavior using previous vision analysis methods, because subsequent droplets are close or even merged. In this paper, we present vision measurement techniques to evaluate the drop formation of high-frequency jetting. The proposed method is based on tracking target droplets such that subsequent droplets can be excluded in the image analysis by focusing on the target droplet. Finally, a frequency sweeping method for jetting speed and droplet volume is presented to understand the overall jetting frequency effects on jetting performance

  6. Inkjet-Printed Organic Transistors Based on Organic Semiconductor/Insulating Polymer Blends

    Science.gov (United States)

    Kwon, Yoon-Jung; Park, Yeong Don; Lee, Wi Hyoung

    2016-01-01

    Recent advances in inkjet-printed organic field-effect transistors (OFETs) based on organic semiconductor/insulating polymer blends are reviewed in this article. Organic semiconductor/insulating polymer blends are attractive ink candidates for enhancing the jetting properties, inducing uniform film morphologies, and/or controlling crystallization behaviors of organic semiconductors. Representative studies using soluble acene/insulating polymer blends as an inkjet-printed active layer in OFETs are introduced with special attention paid to the phase separation characteristics of such blended films. In addition, inkjet-printed semiconducting/insulating polymer blends for fabricating high performance printed OFETs are reviewed. PMID:28773772

  7. New method of 2-dimensional metrology using mask contouring

    Science.gov (United States)

    Matsuoka, Ryoichi; Yamagata, Yoshikazu; Sugiyama, Akiyuki; Toyoda, Yasutaka

    2008-10-01

    We have developed a new method of accurately profiling and measuring of a mask shape by utilizing a Mask CD-SEM. The method is intended to realize high accuracy, stability and reproducibility of the Mask CD-SEM adopting an edge detection algorithm as the key technology used in CD-SEM for high accuracy CD measurement. In comparison with a conventional image processing method for contour profiling, this edge detection method is possible to create the profiles with much higher accuracy which is comparable with CD-SEM for semiconductor device CD measurement. This method realizes two-dimensional metrology for refined pattern that had been difficult to measure conventionally by utilizing high precision contour profile. In this report, we will introduce the algorithm in general, the experimental results and the application in practice. As shrinkage of design rule for semiconductor device has further advanced, an aggressive OPC (Optical Proximity Correction) is indispensable in RET (Resolution Enhancement Technology). From the view point of DFM (Design for Manufacturability), a dramatic increase of data processing cost for advanced MDP (Mask Data Preparation) for instance and surge of mask making cost have become a big concern to the device manufacturers. This is to say, demands for quality is becoming strenuous because of enormous quantity of data growth with increasing of refined pattern on photo mask manufacture. In the result, massive amount of simulated error occurs on mask inspection that causes lengthening of mask production and inspection period, cost increasing, and long delivery time. In a sense, it is a trade-off between the high accuracy RET and the mask production cost, while it gives a significant impact on the semiconductor market centered around the mask business. To cope with the problem, we propose the best method of a DFM solution using two-dimensional metrology for refined pattern.

  8. High Performance Computing-Accelerated Metrology for Large Optical Telescopes, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  9. Micropatterning of a stretchable conductive polymer using inkjet printing and agarose stamping

    DEFF Research Database (Denmark)

    Hansen, Thomas Steen; Hassager, Ole; Larsen, Niels Bent

    2007-01-01

    A highly conducting stretchable polymer material has been patterned using additive inkjet printing and by subtractive agarose stamping of a deactivation agent (hypochlorite). The material consisted of elastomeric polyurethane combined in an interpenetrating network with a conductive polymer, poly(3....... Inkjet printing of the material was only possible if a short-chain polyurethane was used as elastomer to overcome strain hardening at the neck of the droplets produced for printing. Reproducible line widths down to 200 μm could be achieved by inkjet printing. Both methods were used to fabricate test...

  10. Bubbles in piezo-acoustic inkjet printing

    NARCIS (Netherlands)

    Lohse, D.; Jeurissen, R.J.M.; de Jong, J.; Versluis, M.; Wijshoff, H.M.A.; van den Berg, M.; Reinten, H.

    2008-01-01

    Ink-jet printing is considered as the hitherto most successful application of microfluidics. A notorious problem in piezo-acoustic ink-jet systems is the formation of air bubbles during operation. They seriously disturb the acoustics and can cause the droplet formation to stop. We could show by a

  11. Recent Advances in Controlling the Depositing Morphologies of Inkjet Droplets.

    Science.gov (United States)

    Sun, Jiazhen; Bao, Bin; He, Min; Zhou, Haihua; Song, Yanlin

    2015-12-30

    Inkjet printing has been widely used in functional material patterning for fabrication of optical/electrical devices. The depositing morphologies of inkjet droplets are critical to the resolution and performance of resulted functional patterns. This review summarizes various strategies to control the depositing morphologies of inkjet droplets, including suppressing and utilizing coffee-ring effect, employing liquid substrates, developing patterned substrates and controlling droplets coalescence. Moreover, the remaining challenges in controlling inkjet droplets are presented, and the broad research and application prospects of controlling nanomaterial patterning by inkjet printing are proposed.

  12. Metrology requirements for the serial production of ELT primary mirror segments

    Science.gov (United States)

    Rees, Paul C. T.; Gray, Caroline

    2015-08-01

    The manufacture of the next generation of large astronomical telescopes, the extremely large telescopes (ELT), requires the rapid manufacture of greater than 500 1.44m hexagonal segments for the primary mirror of each telescope. Both leading projects, the Thirty Meter Telescope (TMT) and the European Extremely Large Telescope (E-ELT), have set highly demanding technical requirements for each fabricated segment. These technical requirements, when combined with the anticipated construction schedule for each telescope, suggest that more than one optical fabricator will be involved in the delivery of the primary mirror segments in order to meet the project schedule. For one supplier, the technical specification is challenging and requires highly consistent control of metrology in close coordination with the polishing technologies used in order to optimize production rates. For production using multiple suppliers, however the supply chain is structured, consistent control of metrology along the supply chain will be required. This requires a broader pattern of independent verification than is the case of a single supplier. This paper outlines the metrology requirements for a single supplier throughout all stages of the fabrication process. We identify and outline those areas where metrology accuracy and duration have a significant impact on production efficiency. We use the challenging ESO E-ELT technical specification as an example of our treatment, including actual process data. We further develop this model for the case of a supply chain consisting of multiple suppliers. Here, we emphasize the need to control metrology throughout the supply chain in order to optimize net production efficiency.

  13. Adhesion characterization of inkjet printed tracks

    NARCIS (Netherlands)

    Sridhar, Ashok; Akkerman, Remko; van Dijk, D.J.

    2007-01-01

    The robustness and service life of inkjet printed electronic circuit structures are highly influenced by the state of the interface between these structures and the substrate. In the case of polymeric substrate materials, surface modification is necessary to realise a favourable interface, as these

  14. Metrology in CNEN NN 3.05/13 standard

    International Nuclear Information System (INIS)

    Mello, Marina Santiago de

    2014-01-01

    The nuclear medicine exams are widely used tools in health services for a reliable clinical and functional diagnosis of a disease. In Brazil, the National Nuclear Energy Commission, through the norm CNEN-NN 3:05/13, provides for the requirements of safety and radiological protection in nuclear medicine services. The objective of this review article was to emphasize the importance of metrology in compliance with this norm. We observed that metrology plays a vital role as it ensures the quality, accuracy, reproducibility and consistency of the measurements in the field of nuclear medicine. (author)

  15. Metrology and ionospheric observation standards

    Science.gov (United States)

    Panshin, Evgeniy; Minligareev, Vladimir; Pronin, Anton

    Accuracy and ionospheric observation validity are urgent trends nowadays. WMO, URSI and national metrological and standardisation services bring forward requirements and descriptions of the ionospheric observation means. Researches in the sphere of metrological and standardisation observation moved to the next level in the Russian Federation. Fedorov Institute of Applied Geophysics (IAG) is in charge of ionospheric observation in the Russian Federation and the National Technical Committee, TC-101 , which was set up on the base of IAG- of the standardisation in the sphere. TC-101 can be the platform for initiation of the core international committee in the network of ISO The new type of the ionosounde “Parus-A” is engineered, which is up to the national requirements. “Parus-A” calibration and test were conducted by National metrological Institute (NMI) -D.I. Mendeleyev Institute for Metrology (VNIIM), signed CIMP MRA in 1991. VNIIM is a basic NMI in the sphere of Space weather (including ionospheric observations), the founder of which was celebrated chemist and metrologist Dmitriy I. Mendeleyev. Tests and calibration were carried out for the 1st time throughout 50-year-history of ionosonde exploitation in Russia. The following metrological characteristics were tested: -measurement range of radiofrequency time delay 0.5-10 ms; -time measurement inaccuracy of radio- frequency pulse ±12mcs; -frequency range of radio impulse 1-20 MHz ; -measurement inaccuracy of radio impulse carrier frequency± 5KHz. For example, the sound impulse simulator that was built-in in the ionosounde was used for measurement range of radiofrequency time delay testing. The number of standards on different levels is developed. - “Ionospheric observation guidance”; - “The Earth ionosphere. Terms and definitions”.

  16. Holistic metrology qualification extension and its application to characterize overlay targets with asymmetric effects

    Science.gov (United States)

    Dos Santos Ferreira, Olavio; Sadat Gousheh, Reza; Visser, Bart; Lie, Kenrick; Teuwen, Rachel; Izikson, Pavel; Grzela, Grzegorz; Mokaberi, Babak; Zhou, Steve; Smith, Justin; Husain, Danish; Mandoy, Ram S.; Olvera, Raul

    2018-03-01

    Ever increasing need for tighter on-product overlay (OPO), as well as enhanced accuracy in overlay metrology and methodology, is driving semiconductor industry's technologists to innovate new approaches to OPO measurements. In case of High Volume Manufacturing (HVM) fabs, it is often critical to strive for both accuracy and robustness. Robustness, in particular, can be challenging in metrology since overlay targets can be impacted by proximity of other structures next to the overlay target (asymmetric effects), as well as symmetric stack changes such as photoresist height variations. Both symmetric and asymmetric contributors have impact on robustness. Furthermore, tweaking or optimizing wafer processing parameters for maximum yield may have an adverse effect on physical target integrity. As a result, measuring and monitoring physical changes or process abnormalities/artefacts in terms of new Key Performance Indicators (KPIs) is crucial for the end goal of minimizing true in-die overlay of the integrated circuits (ICs). IC manufacturing fabs often relied on CD-SEM in the past to capture true in-die overlay. Due to destructive and intrusive nature of CD-SEMs on certain materials, it's desirable to characterize asymmetry effects for overlay targets via inline KPIs utilizing YieldStar (YS) metrology tools. These KPIs can also be integrated as part of (μDBO) target evaluation and selection for final recipe flow. In this publication, the Holistic Metrology Qualification (HMQ) flow was extended to account for process induced (asymmetric) effects such as Grating Imbalance (GI) and Bottom Grating Asymmetry (BGA). Local GI typically contributes to the intrafield OPO whereas BGA typically impacts the interfield OPO, predominantly at the wafer edge. Stack height variations highly impact overlay metrology accuracy, in particular in case of multi-layer LithoEtch Litho-Etch (LELE) overlay control scheme. Introducing a GI impact on overlay (in nm) KPI check quantifies the

  17. Tests of operating conditions for metrological application of HTS Josephson arrays

    International Nuclear Information System (INIS)

    Sosso, A; Lacquaniti, V; Andreone, D; Cerri, R; Klushin, A M

    2006-01-01

    We report on an experimental study of metrological properties of High Temperature Superconductor arrays, made of shunted bicrystal YBCO Josephson junctions, to assess their accuracy. A detailed analysis of measurement errors is presented, mainly based on a direct comparison of an HTS array against a low temperature array. Owing to the high sensitivity of the comparison, we were able to measure the changes in the HTS array voltage on a step at nanovolt level. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results provided by the usual, low sensitivity, techniques, confirming that the method we adopted is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was applied in the derivation of the temperature dependence of the critical current as well, providing some insights on the behaviour of the HTS array

  18. INKJET PRINTING OF HIGH REFRACTIVE STRUCTURES BASED ON TiO2 SOL

    Directory of Open Access Journals (Sweden)

    Elena A. Eremeeva

    2016-11-01

    Full Text Available Subject of Research. The paper deals with description of novel inkjet printing method for optical elements formed by structures based on TiO2 sol. The proposed approach presents effective way to obtain such optical nano objects as diffraction structures and transparent interference patterns. Methods. TiO2 nanoparticles were synthesized by hydrolysis of titanium isopropoxide (0.36 mol in deionized water (33.3 mol under vigorous stirring and using nitric acid (0.04 mol as a protonating agent. Viscosity was determined by Brookfield HA/HB viscometer, and surface tension - by Kyowa DY-700 tensiometer. Titanium dioxide inks were deposited on commercially available microembossed PET film with a thickness of 20 µm. To print titania ink Canon Pixma IP 2840 desktop office printer was used with a drop volume of 2 pL. The thickness of an inkjet TiO2 layer after drying in the air and removal of the solvents did not exceed 500 nm with a refractive index not less than 2.08 in the entire visible range.Main Results. The synthesis of aqueous TiO2 sol was used to obtain the ink with desirable rheological characteristics: viscosity and surface tension. The required rheology was regulated by controlling parameters of sol-gel transition in the system of aqueous titanium dioxide sol and by adding ethanol that affects the charge of double electrical layer of disperse phase. The reviled ratio of titanium dioxide sol and ethanol in the system gives such values of viscosity and surface tension that make this material convenient for inkjet printing. The coatings created by sol have a high refractive index in the entire visible range (not less than 2.08. We have shown that the deposition of optical transparent microstructures with diffraction effect has an ability to be applied on the transparent surfaces. The morphology of particles and the topology of printed structures were analyzed by optic and atomic-force microscopes.Practical Relevance. We have proposed the approach

  19. Fully inkjet-printed microwave passive electronics

    KAUST Repository

    McKerricher, Garret

    2017-01-30

    Fully inkjet-printed three-dimensional (3D) objects with integrated metal provide exciting possibilities for on-demand fabrication of radio frequency electronics such as inductors, capacitors, and filters. To date, there have been several reports of printed radio frequency components metallized via the use of plating solutions, sputtering, and low-conductivity pastes. These metallization techniques require rather complex fabrication, and do not provide an easily integrated or versatile process. This work utilizes a novel silver ink cured with a low-cost infrared lamp at only 80 °C, and achieves a high conductivity of 1×107 S m−1. By inkjet printing the infrared-cured silver together with a commercial 3D inkjet ultraviolet-cured acrylic dielectric, a multilayer process is demonstrated. By using a smoothing technique, both the conductive ink and dielectric provide surface roughness values of <500 nm. A radio frequency inductor and capacitor exhibit state-of-the-art quality factors of 8 and 20, respectively, and match well with electromagnetic simulations. These components are implemented in a lumped element radio frequency filter with an impressive insertion loss of 0.8 dB at 1 GHz, proving the utility of the process for sensitive radio frequency applications.

  20. Fully inkjet-printed microwave passive electronics

    KAUST Repository

    McKerricher, Garret; Vaseem, Mohammad; Shamim, Atif

    2017-01-01

    Fully inkjet-printed three-dimensional (3D) objects with integrated metal provide exciting possibilities for on-demand fabrication of radio frequency electronics such as inductors, capacitors, and filters. To date, there have been several reports of printed radio frequency components metallized via the use of plating solutions, sputtering, and low-conductivity pastes. These metallization techniques require rather complex fabrication, and do not provide an easily integrated or versatile process. This work utilizes a novel silver ink cured with a low-cost infrared lamp at only 80 °C, and achieves a high conductivity of 1×107 S m−1. By inkjet printing the infrared-cured silver together with a commercial 3D inkjet ultraviolet-cured acrylic dielectric, a multilayer process is demonstrated. By using a smoothing technique, both the conductive ink and dielectric provide surface roughness values of <500 nm. A radio frequency inductor and capacitor exhibit state-of-the-art quality factors of 8 and 20, respectively, and match well with electromagnetic simulations. These components are implemented in a lumped element radio frequency filter with an impressive insertion loss of 0.8 dB at 1 GHz, proving the utility of the process for sensitive radio frequency applications.

  1. In-situ virtual metrology for the silicon-dioxide etch rate by using optical emission spectroscopy data

    International Nuclear Information System (INIS)

    Kim, Boomsoo; Hong, Sangjeen

    2014-01-01

    As a useful tool for process control in a high volume semiconductor manufacturing environment, virtual metrology for the etch rate in a plasma etch process is investigated using optical emission spectroscopy (OES) data. Virtual metrology is a surrogate measurement taken from the process instead of from direct measurement, and it can provide in-situ metrology of a wafer's geometry from a predictive model. A statistical regression model that correlates the selected wavelengths of the optical emission spectra to the etch rate is established using the OES data collected over 20 experimental runs. In addition, an argon actinometry study is employed to quantify the OES data, and it provides valuable insight into the analysis of the OES data. The established virtual metrology model is further verified with an additional 20 runs of data. As a result, the virtual metrology model with both process recipe tool data and in-situ data shows higher prediction accuracy by as much as 56% compared with either the process recipe tool data or the in-situ data alone.

  2. High performance inkjet-printed metal oxide thin film transistors via addition of insulating polymer with proper molecular weight

    Science.gov (United States)

    Sun, Dawei; Chen, Cihai; Zhang, Jun; Wu, Xiaomin; Chen, Huipeng; Guo, Tailiang

    2018-01-01

    Fabrication of metal oxide thin film transistor (MOTFT) arrays using the inkjet printing process has caused tremendous interest for low-cost and large-area flexible electronic devices. However, the inkjet-printed MOTFT arrays usually exhibited a non-uniform geometry due to the coffee ring effect, which restricted their commercial application. Therefore, in this work, a strategy is reported to control the geometry and enhance device performance of inkjet-printed MOTFT arrays by the addition of an insulating polymer to the precursor solution prior to film deposition. Moreover, the impact of the polymer molecular weight (MW) on the geometry, chemical constitution, crystallization, and MOTFT properties of inkjet-printed metal oxide depositions was investigated. The results demonstrated that with an increase of MW of polystyrene (PS) from 2000 to 200 000, the coffee ring was gradually faded and the coffee ring effect was completely eliminated when MW reached 200 000, which is associated with the enhanced viscosity with the insulating polymer, providing a high resistance to the outward capillary flow, which facilitated the depinning of the contact line, leading to the elimination of the coffee ring. More importantly, the carrier mobility increased significantly from 4.2 cm2 V-1 s-1 up to 13.7 cm2 V-1 s-1 as PS MW increased from 2000 to 200 000, which was about 3 times that of the pristine In2O3 TFTs. Grazing incidence X-ray diffraction and X-ray photoelectron spectroscopy results indicated that PS doping of In2O3 films not only frustrated crystallization but also altered chemical constitution by enhancing the formation of the M-O structure, both of which facilitated the carrier transport. These results demonstrated that the simple polymer additive process provides a promising method that can efficiently control the geometry of MO arrays during inkjet printing and maximize the device performance of MOTFT arrays, which showed great potential for the application in next

  3. Reactive Inkjet Printing of Biocompatible Enzyme Powered Silk Micro-Rockets.

    Science.gov (United States)

    Gregory, David A; Zhang, Yu; Smith, Patrick J; Zhao, Xiubo; Ebbens, Stephen J

    2016-08-01

    Inkjet-printed enzyme-powered silk-based micro-rockets are able to undergo autonomous motion in a vast variety of fluidic environments including complex media such as human serum. By means of digital inkjet printing it is possible to alter the catalyst distribution simply and generate varying trajectory behavior of these micro-rockets. Made of silk scaffolds containing enzymes these micro-rockets are highly biocompatible and non-biofouling. © 2016 The Authors. Published by WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. A Laser Metrology/Viewing System for ITER In-Vessel Inspection

    International Nuclear Information System (INIS)

    Spampinato, P.T.; Barry, R.E.; Chesser, J.B.; Menon, M.M.; Dagher, M.A.; Slotwinski, A.

    1997-10-01

    This paper identifies the requirements for a remotely operated precision laser ranging system for the International Thermonuclear Experimental Reactor. The inspection system is used for metrology and viewing, and must be capable of achieving submillimeter accuracy and operation in a reactor vessel that has high gamma radiation, high vacuum, elevated temperature, and magnetic field levels. A coherent, frequency modulated laser radar system is under development to meet these requirements. The metrology/viewing sensor consists of a compact laser-optic module linked through fiberoptics to the laser source and imaging units, located outside the harsh environment. The deployment mechanism is a remotely operated telescopic mast. Gamma irradiation up to 10 7 Gy was conducted on critical sensor components with no significant impact to data transmission, and analysis indicates that critical sensor components can operate in a magnetic field with certain design modifications. Plans for testing key components in a magnetic field are underway

  5. Inkjet printhead and inkjet printer containing the same printhead

    NARCIS (Netherlands)

    2006-01-01

    A inkjet printhead containing two substantially closed ink chambers separated by a wall, each of the chambers having associated therewith an electromechanical converter, where actuation of the converter corresponding to a first chamber of said printhead will lead to a volume change in a second

  6. Flexible electroluminescent device with inkjet-printed carbon nanotube electrodes

    Science.gov (United States)

    Azoubel, Suzanna; Shemesh, Shay; Magdassi, Shlomo

    2012-08-01

    Carbon nanotube (CNTs) inks may provide an effective route for producing flexible electronic devices by digital printing. In this paper we report on the formulation of highly concentrated aqueous CNT inks and demonstrate the fabrication of flexible electroluminescent (EL) devices by inkjet printing combined with wet coating. We also report, for the first time, on the formation of flexible EL devices in which all the electrodes are formed by inkjet printing of low-cost multi-walled carbon nanotubes (MWCNTs). Several flexible EL devices were fabricated by using different materials for the production of back and counter electrodes: ITO/MWCNT and MWCNT/MWCNT. Transparent electrodes were obtained either by coating a thin layer of the CNTs or by inkjet printing a grid which is composed of empty cells surrounded by MWCNTs. It was found that the conductivity and transparency of the electrodes are mainly controlled by the MWCNT film thickness, and that the dominant factor in the luminance intensity is the transparency of the electrode.

  7. Metrology for ITER Assembly

    International Nuclear Information System (INIS)

    Bogusch, E.

    2006-01-01

    The overall dimensions of the ITER Tokamak and the particular assembly sequence preclude the use of conventional optical metrology, mechanical jigs and traditional dimensional control equipment, as used for the assembly of smaller, previous generation, fusion devices. This paper describes the state of the art of the capabilities of available metrology systems, with reference to the previous experience in Fusion engineering and in other industries. Two complementary procedures of transferring datum from the primary datum network on the bioshield to the secondary datum s inside the VV with the desired accuracy of about 0.1 mm is described, one method using the access directly through the ports and the other using transfer techniques, developed during the co-operation with ITER/EFDA. Another important task described is the development of a method for the rapid and easy measurement of the gaps between sectors, required for the production of the customised splice plates between them. The scope of the paper includes the evaluation of the composition and cost of the systems and team of technical staff required to meet the requirements of the assembly procedure. The results from a practical, full-scale demonstration of the methodologies used, using the proposed equipment, is described. This work has demonstrated the feasibility of achieving the necessary accuracies for the successful building of ITER. (author)

  8. Inkjet-printed Polyvinyl Alcohol Multilayers.

    Science.gov (United States)

    Salaoru, Iulia; Zhou, Zuoxin; Morris, Peter; Gibbons, Gregory J

    2017-05-11

    Inkjet printing is a modern method for polymer processing, and in this work, we demonstrate that this technology is capable of producing polyvinyl alcohol (PVOH) multilayer structures. A polyvinyl alcohol aqueous solution was formulated. The intrinsic properties of the ink, such as surface tension, viscosity, pH, and time stability, were investigated. The PVOH-based ink was a neutral solution (pH 6.7) with a surface tension of 39.3 mN/m and a viscosity of 7.5 cP. The ink displayed pseudoplastic (non-Newtonian shear thinning) behavior at low shear rates, and overall, it demonstrated good time stability. The wettability of the ink on different substrates was investigated, and glass was identified as the most suitable substrate in this particular case. A proprietary 3D inkjet printer was employed to manufacture polymer multilayer structures. The morphology, surface profile, and thickness uniformity of inkjet-printed multilayers were evaluated via optical microscopy.

  9. Inkjet printer

    NARCIS (Netherlands)

    2006-01-01

    An inkjet printer for jetting ink that is substantially free of solvent, the printer containing a printhead having an ink chamber with an ink inlet and an ink outlet, an ink supply reservoir in fluid connection with the chamber via the ink inlet, an electromechanical transducer in operative

  10. Inkjet printing for biosensor fabrication: combining chemistry and technology for advanced manufacturing.

    Science.gov (United States)

    Li, Jia; Rossignol, Fabrice; Macdonald, Joanne

    2015-06-21

    Inkjet printing is emerging at the forefront of biosensor fabrication technologies. Parallel advances in both ink chemistry and printers have led to a biosensor manufacturing approach that is simple, rapid, flexible, high resolution, low cost, efficient for mass production, and extends the capabilities of devices beyond other manufacturing technologies. Here we review for the first time the factors behind successful inkjet biosensor fabrication, including printers, inks, patterning methods, and matrix types. We discuss technical considerations that are important when moving beyond theoretical knowledge to practical implementation. We also highlight significant advances in biosensor functionality that have been realised through inkjet printing. Finally, we consider future possibilities for biosensors enabled by this novel combination of chemistry and technology.

  11. Paper-based inkjet-printed ultra-wideband fractal antennas

    KAUST Repository

    Maza, Armando Rodriguez; Cook, Benjamin Stassen; Jabbour, Ghassan E.; Shamim, Atif

    2012-01-01

    For the first time, paper-based inkjet-printed ultra-wideband (UWB) fractal antennas are presented. Two new designs, a miniaturised UWB monopole, which utilises a fractal matching network and is the smallest reported inkjet-printed UWB printed

  12. Paper-based inkjet-printed ultra-wideband fractal antennas

    KAUST Repository

    Maza, Armando Rodriguez

    2012-01-01

    For the first time, paper-based inkjet-printed ultra-wideband (UWB) fractal antennas are presented. Two new designs, a miniaturised UWB monopole, which utilises a fractal matching network and is the smallest reported inkjet-printed UWB printed antenna to date, and a fourth-order Koch Snowflake monopole, which utilises a Sierpinski gasket fractal for ink reduction, are demonstrated. It is shown that fractals prove to be a successful method of reducing fabrication costs in inkjet-printed antennas, while retaining or enhancing printed antenna performance. © 2012 The Institution of Engineering and Technology.

  13. Series Resistance Analysis of Passivated Emitter Rear Contact Cells Patterned Using Inkjet Printing

    Directory of Open Access Journals (Sweden)

    Martha A. T. Lenio

    2012-01-01

    Full Text Available For higher-efficiency solar cell structures, such as the Passivated Emitter Rear Contact (PERC cells, to be fabricated in a manufacturing environment, potentially low-cost techniques such as inkjet printing and metal plating are desirable. A common problem that is experienced when fabricating PERC cells is low fill factors due to high series resistance. This paper identifies and attempts to quantify sources of series resistance in inkjet-patterned PERC cells that employ electroless or light-induced nickel-plating techniques followed by copper light-induced plating. Photoluminescence imaging is used to determine locations of series resistance losses in these inkjet-patterned and plated PERC cells.

  14. High-Resolution Printing of 3D Structures Using an Electrohydrodynamic Inkjet with Multiple Functional Inks.

    Science.gov (United States)

    An, Byeong Wan; Kim, Kukjoo; Lee, Heejoo; Kim, So-Yun; Shim, Yulhui; Lee, Dae-Young; Song, Jun Yeob; Park, Jang-Ung

    2015-08-05

    Electrohydrodynamic-inkjet-printed high-resolution complex 3D structures with multiple functional inks are demonstrated. Printed 3D structures can have a variety of fine patterns, such as vertical or helix-shaped pillars and straight or rounded walls, with high aspect ratios (greater than ≈50) and narrow diameters (≈0.7 μm). Furthermore, the formation of freestanding, bridge-like Ag wire structures on plastic substrates suggests substantial potentials as high-precision, flexible 3D interconnects. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Adjustment method for embedded metrology engine in an EM773 series microcontroller.

    Science.gov (United States)

    Blazinšek, Iztok; Kotnik, Bojan; Chowdhury, Amor; Kačič, Zdravko

    2015-09-01

    This paper presents the problems of implementation and adjustment (calibration) of a metrology engine embedded in NXP's EM773 series microcontroller. The metrology engine is used in a smart metering application to collect data about energy utilization and is controlled with the use of metrology engine adjustment (calibration) parameters. The aim of this research is to develop a method which would enable the operators to find and verify the optimum parameters which would ensure the best possible accuracy. Properly adjusted (calibrated) metrology engines can then be used as a base for variety of products used in smart and intelligent environments. This paper focuses on the problems encountered in the development, partial automatisation, implementation and verification of this method. Copyright © 2015 ISA. Published by Elsevier Ltd. All rights reserved.

  16. Inkjet-printed silver tracks on different paper substrates

    CSIR Research Space (South Africa)

    Joubert, T-H

    2015-08-01

    Full Text Available Inkjet printing is a widely used patterning method in industrial and scientific applications, and has also drawn attention in the field of printed electronics in recent years [1]. In this work, conductive silver tracks were achieved by inkjet...

  17. Inkjet-Printed Small-Molecule Organic Light-Emitting Diodes: Halogen-Free Inks, Printing Optimization, and Large-Area Patterning.

    Science.gov (United States)

    Zhou, Lu; Yang, Lei; Yu, Mengjie; Jiang, Yi; Liu, Cheng-Fang; Lai, Wen-Yong; Huang, Wei

    2017-11-22

    Manufacturing small-molecule organic light-emitting diodes (OLEDs) via inkjet printing is rather attractive for realizing high-efficiency and long-life-span devices, yet it is challenging. In this paper, we present our efforts on systematical investigation and optimization of the ink properties and the printing process to enable facile inkjet printing of conjugated light-emitting small molecules. Various factors on influencing the inkjet-printed film quality during the droplet generation, the ink spreading on the substrates, and its solidification processes have been systematically investigated and optimized. Consequently, halogen-free inks have been developed and large-area patterning inkjet printing on flexible substrates with efficient blue emission has been successfully demonstrated. Moreover, OLEDs manufactured by inkjet printing the light-emitting small molecules manifested superior performance as compared with their corresponding spin-cast counterparts.

  18. Complex metrology on 3D structures using multi-channel OCD

    Science.gov (United States)

    Kagalwala, Taher; Mahendrakar, Sridhar; Vaid, Alok; Isbester, Paul K.; Cepler, Aron; Kang, Charles; Yellai, Naren; Sendelbach, Matthew; Ko, Mihael; Ilgayev, Ovadia; Katz, Yinon; Tamam, Lilach; Osherov, Ilya

    2017-03-01

    Device scaling has not only driven the use of measurements on more complex structures, in terms of geometry, materials, and tighter ground rules, but also the need to move away from non-patterned measurement sites to patterned ones. This is especially of concern for very thin film layers that have a high thickness dependence on structure geometry or wafer pattern factor. Although 2-dimensional (2D) sites are often found to be sufficient for process monitoring and control of very thin films, sometimes 3D sites are required to further simulate structures within the device. The measurement of film thicknesses only a few atoms thick on complex 3D sites, however, are very challenging. Apart from measuring thin films on 3D sites, there is also a critical need to measure parameters on 3D sites, which are weak and less sensitive for OCD (Optical Critical Dimension) metrology, with high accuracy and precision. Thus, state-ofthe-art methods are needed to address such metrology challenges. This work introduces the concept of Enhanced OCD which uses various methods to improve the sensitivity and reduce correlations for weak parameters in a complex measurement. This work also describes how more channels of information, when used correctly, can improve the precision and accuracy of weak, non-sensitive or complex parameters of interest.

  19. Fabrication of Fully Inkjet-Printed Vias and SIW Structures on Thick Polymer Substrates

    KAUST Repository

    Kim, Sangkil; Shamim, Atif; Georgiadis, Apostolos; Aubert, Herve; Tentzeris, Manos M.

    2016-01-01

    In this paper, a novel fully inkjet-printed via fabrication technology and various inkjet-printed substrate-integrated waveguide (SIW) structures on thick polymer substrates are presented. The electrical properties of polymethyl methacrylate (PMMA) are thoroughly studied up to 8 GHz utilizing the T-resonator method, and inkjet-printable silver nanoparticle ink on PMMA is characterized. A long via fabrication process up to 1 mm utilizing inkjet-printing technology is demonstrated, and its characteristics are presented for the first time. The inkjet-printed vias on 0.8-mm-thick substrate have a resistance of ∼ 0.2~ Ω . An equivalent circuit model of the inkjet-printed stepped vias is also discussed. An inkjet-printed microstrip-to-SIW interconnect and an SIW cavity resonator utilizing the proposed inkjet-printed via fabrication process are also presented. The design of the components and the fabrication steps are discussed, and the measured performances over the microwave frequency range of the prototypes are presented.

  20. Fabrication of Fully Inkjet-Printed Vias and SIW Structures on Thick Polymer Substrates

    KAUST Repository

    Kim, Sangkil

    2016-02-11

    In this paper, a novel fully inkjet-printed via fabrication technology and various inkjet-printed substrate-integrated waveguide (SIW) structures on thick polymer substrates are presented. The electrical properties of polymethyl methacrylate (PMMA) are thoroughly studied up to 8 GHz utilizing the T-resonator method, and inkjet-printable silver nanoparticle ink on PMMA is characterized. A long via fabrication process up to 1 mm utilizing inkjet-printing technology is demonstrated, and its characteristics are presented for the first time. The inkjet-printed vias on 0.8-mm-thick substrate have a resistance of ∼ 0.2~ Ω . An equivalent circuit model of the inkjet-printed stepped vias is also discussed. An inkjet-printed microstrip-to-SIW interconnect and an SIW cavity resonator utilizing the proposed inkjet-printed via fabrication process are also presented. The design of the components and the fabrication steps are discussed, and the measured performances over the microwave frequency range of the prototypes are presented.

  1. Inkjet-Printed Porous Silver Thin Film as a Cathode for a Low-Temperature Solid Oxide Fuel Cell.

    Science.gov (United States)

    Yu, Chen-Chiang; Baek, Jong Dae; Su, Chun-Hao; Fan, Liangdong; Wei, Jun; Liao, Ying-Chih; Su, Pei-Chen

    2016-04-27

    In this work we report a porous silver thin film cathode that was fabricated by a simple inkjet printing process for low-temperature solid oxide fuel cell applications. The electrochemical performance of the inkjet-printed silver cathode was studied at 300-450 °C and was compared with that of silver cathodes that were fabricated by the typical sputtering method. Inkjet-printed silver cathodes showed lower electrochemical impedance due to their porous structure, which facilitated oxygen gaseous diffusion and oxygen surface adsorption-dissociation reactions. A typical sputtered nanoporous silver cathode became essentially dense after the operation and showed high impedance due to a lack of oxygen supply. The results of long-term fuel cell operation show that the cell with an inkjet-printed cathode had a more stable current output for more than 45 h at 400 °C. A porous silver cathode is required for high fuel cell performance, and the simple inkjet printing technique offers an alternative method of fabrication for such a desirable porous structure with the required thermal-morphological stability.

  2. Surface slope metrology of highly curved x-ray optics with an interferometric microscope

    Science.gov (United States)

    Gevorkyan, Gevork S.; Centers, Gary; Polonska, Kateryna S.; Nikitin, Sergey M.; Lacey, Ian; Yashchuk, Valeriy V.

    2017-09-01

    The development of deterministic polishing techniques has given rise to vendors that manufacture high quality threedimensional x-ray optics. The surface metrology on these optics remains a difficult task. For the fabrication, vendors usually use unique surface metrology tools, generally developed on site, that are not available in the optical metrology labs at x-ray facilities. At the Advanced Light Source X-Ray Optics Laboratory, we have developed a rather straightforward interferometric-microscopy-based procedure capable of sub microradian characterization of sagittal slope variation of x-ray optics for two-dimensionally focusing and collimating (such as ellipsoids, paraboloids, etc.). In the paper, we provide the mathematical foundation of the procedure and describe the related instrument calibration. We also present analytical expression describing the ideal surface shape in the sagittal direction of a spheroid specified by the conjugate parameters of the optic's beamline application. The expression is useful when analyzing data obtained with such optics. The high efficiency of the developed measurement and data analysis procedures is demonstrated in results of measurements with a number of x-ray optics with sagittal radius of curvature between 56 mm and 480 mm. We also discuss potential areas of further improvement.

  3. Properties of polyacrylic acid-coated silver nanoparticle ink for inkjet printing conductive tracks on paper with high conductivity

    International Nuclear Information System (INIS)

    Huang, Qijin; Shen, Wenfeng; Xu, Qingsong; Tan, Ruiqin; Song, Weijie

    2014-01-01

    Silver nanoparticles with a mean diameter of approximately 30 nm were synthesized by reduction of silver nitrate with triethanolamine in the presence of polyacrylic acid. Silver nanoparticle-based ink was prepared by dispersing silver nanoparticles into a mixture of water and ethylene glycol. The mechanism for the dispersion and aggregation of silver nanoparticles in ink is discussed. The strong electrostatic repulsions of the carboxylate anions of the adsorbed polyacrylic acid molecules disturbed the aggregation of metal particles in solutions with a high pH value (pH > 5). An inkjet printer was used to deposit this silver nanoparticle-based ink to form silver patterns on photo paper. The actual printing qualities of the silver tracks were then analyzed by variation of printing passes, sintering temperature and time. The results showed that sintering temperature and time are associated strongly with the conductivity of the inkjet-printed conductive patterns. The conductivity of printed patterns sintered at 150 °C increased to 2.1 × 10 7  S m −1 , which was approximately one third that of bulk silver. In addition, silver tracks on paper substrate also showed better electrical performance after folding. This study demonstrated that the resulting ink-jet printed patterns can be used as conductive tracks in flexible electronic devices. - Highlights: • An ink from silver nanoparticles coated with polyacrylic acid was prepared. • The ink was used for inkjet-printed tracks at varying printing parameters. • The conductivity of printed tracks sintered at 150 °C increased to 2.1 × 10 7  S/m. • Mechanism for dispersion and aggregation of the nanoparticles in ink is discussed

  4. Properties of polyacrylic acid-coated silver nanoparticle ink for inkjet printing conductive tracks on paper with high conductivity

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Qijin [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, Zhejiang 315201 (China); Shen, Wenfeng, E-mail: wfshen@nimte.ac.cn [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, Zhejiang 315201 (China); Xu, Qingsong [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, Zhejiang 315201 (China); Tan, Ruiqin [Faculty of Information Science and Engineering, Ningbo University, Ningbo, Zhejiang 315211 (China); Song, Weijie, E-mail: weijiesong@nimte.ac.cn [Ningbo Institute of Material Technology and Engineering, Chinese Academy of Sciences, Ningbo, Zhejiang 315201 (China)

    2014-10-15

    Silver nanoparticles with a mean diameter of approximately 30 nm were synthesized by reduction of silver nitrate with triethanolamine in the presence of polyacrylic acid. Silver nanoparticle-based ink was prepared by dispersing silver nanoparticles into a mixture of water and ethylene glycol. The mechanism for the dispersion and aggregation of silver nanoparticles in ink is discussed. The strong electrostatic repulsions of the carboxylate anions of the adsorbed polyacrylic acid molecules disturbed the aggregation of metal particles in solutions with a high pH value (pH > 5). An inkjet printer was used to deposit this silver nanoparticle-based ink to form silver patterns on photo paper. The actual printing qualities of the silver tracks were then analyzed by variation of printing passes, sintering temperature and time. The results showed that sintering temperature and time are associated strongly with the conductivity of the inkjet-printed conductive patterns. The conductivity of printed patterns sintered at 150 °C increased to 2.1 × 10{sup 7} S m{sup −1}, which was approximately one third that of bulk silver. In addition, silver tracks on paper substrate also showed better electrical performance after folding. This study demonstrated that the resulting ink-jet printed patterns can be used as conductive tracks in flexible electronic devices. - Highlights: • An ink from silver nanoparticles coated with polyacrylic acid was prepared. • The ink was used for inkjet-printed tracks at varying printing parameters. • The conductivity of printed tracks sintered at 150 °C increased to 2.1 × 10{sup 7} S/m. • Mechanism for dispersion and aggregation of the nanoparticles in ink is discussed.

  5. Automation of testing the metrological reliability of nondestructive control systems

    International Nuclear Information System (INIS)

    Zhukov, Yu.A.; Isakov, V.B.; Karlov, Yu.K.; Kovalevskij, Yu.A.

    1987-01-01

    Opportunities of microcomputers are used to solve the problem of testing control-measuring systems. Besides the main program the program of data processing when characterizing the nondestructive control systems is written in the microcomputer. The program includes two modules. The first module contains tests-programs, by which accuracy of functional elements of the microcomputer and interface elements with issuing a message to the operator on readiness of the elements for operation and failure of a certain element are determined. The second module includes: calculational programs when determining metrological reliability of measuring channel reliability, a calculational subprogram for random statistical measuring error, time instability and ''dead time''. Automation of testing metrological reliability of the nondestructive control systems increases reliability of determining metrological parameters and reduces time of system testing

  6. Metrological large range scanning probe microscope

    International Nuclear Information System (INIS)

    Dai Gaoliang; Pohlenz, Frank; Danzebrink, Hans-Ulrich; Xu Min; Hasche, Klaus; Wilkening, Guenter

    2004-01-01

    We describe a metrological large range scanning probe microscope (LR-SPM) with an Abbe error free design and direct interferometric position measurement capability, aimed at versatile traceable topographic measurements that require nanometer accuracy. A dual-stage positioning system was designed to achieve both a large measurement range and a high measurement speed. This dual-stage system consists of a commercially available stage, referred to as nanomeasuring machine (NMM), with a motion range of 25 mmx25 mmx5 mm along x, y, and z axes, and a compact z-axis piezoelectric positioning stage (compact z stage) with an extension range of 2 μm. The metrological LR-SPM described here senses the surface using a stationary fixed scanning force microscope (SFM) head working in contact mode. During operation, lateral scanning of the sample is performed solely by the NMM. Whereas the z motion, controlled by the SFM signal, is carried out by a combination of the NMM and the compact z stage. In this case the compact z stage, with its high mechanical resonance frequency (greater than 20 kHz), is responsible for the rapid motion while the NMM simultaneously makes slower movements over a larger motion range. To reduce the Abbe offset to a minimum the SFM tip is located at the intersection of three interferometer measurement beams orientated in x, y, and z directions. To improve real time performance two high-end digital signal processing (DSP) systems are used for NMM positioning and SFM servocontrol. Comprehensive DSP firmware and Windows XP-based software are implemented, providing a flexible and user-friendly interface. The instrument is able to perform large area imaging or profile scanning directly without stitching small scanned images. Several measurements on different samples such as flatness standards, nanostep height standards, roughness standards as well as sharp nanoedge samples and 1D gratings demonstrate the outstanding metrological capabilities of the instrument

  7. Microstructures prepared via inkjet printing and embossing techniques

    NARCIS (Netherlands)

    Perelaer, J.

    2009-01-01

    The goal of the work presented in this thesis is the combined use of inkjet printing and embossing techniques to fabricate microstructures. The thesis is divided into two sections. The first part (Chapters 1 to 4) describes a bottom-up procedure using inkjet printing to fabricate microstructures

  8. Optical metrology for advanced process control: full module metrology solutions

    Science.gov (United States)

    Bozdog, Cornel; Turovets, Igor

    2016-03-01

    Optical metrology is the workhorse metrology in manufacturing and key enabler to patterning process control. Recent advances in device architecture are gradually shifting the need for process control from the lithography module to other patterning processes (etch, trim, clean, LER/LWR treatments, etc..). Complex multi-patterning integration solutions, where the final pattern is the result of multiple process steps require a step-by-step holistic process control and a uniformly accurate holistic metrology solution for pattern transfer for the entire module. For effective process control, more process "knobs" are needed, and a tighter integration of metrology with process architecture.

  9. Properties and Printability of Inkjet and Screen-Printed Silver Patterns for RFID Antennas

    Science.gov (United States)

    Salmerón, José F.; Molina-Lopez, Francisco; Briand, Danick; Ruan, Jason J.; Rivadeneyra, Almudena; Carvajal, Miguel A.; Capitán-Vallvey, L. F.; de Rooij, Nico F.; Palma, Alberto J.

    2014-02-01

    We report the modeling, and geometrical and electrical characterization, of inkjet and screen-printed patterns on different polymeric substrates for use as antennas in radio-frequency identification (RFID) applications. We compared the physical and electrical characteristics of two silver nanoparticle-based commercial inkjet-printable inks and one screen-printable silver paste, when deposited on polyimide (PI), polyethylene terephthalate (PET), and polyetherimide (PEI) substrates. First, the thickness of the inkjet-printed patterns was predicted by use of an analytical model based on printing conditions and ink composition. The predicted thickness was confirmed experimentally, and geometrical characterization of the lines was completed by measuring the root-mean-square roughness of the patterns. Second, direct-current electrical characterization was performed to identify the printing conditions yielding the lowest resistivity and sheet resistance. The minimum resistivity for the inkjet-printing method was 8.6 ± 0.8 μΩ cm, obtained by printing four stacked layers of one of the commercial inks on PEI, whereas minimum resistivity of 44 ± 7 μΩ cm and 39 ± 4 μΩ cm were obtained for a single layer of screen-printed ink on polyimide (PI) with 140 threads/cm mesh and 90 threads/cm mesh, respectively. In every case, these minimum values of resistivity were obtained for the largest tested thickness. Coplanar waveguide transmission lines were then designed and characterized to analyze the radio-frequency (RF) performance of the printed patterns; minimum transmission losses of 0.0022 ± 0.0012 dB/mm and 0.0016 ± 0.0012 dB/mm measured at 13.56 MHz, in the high-frequency (HF) band, were achieved by inkjet printing on PEI and screen printing on PI, respectively. At 868 MHz, in the ultra-high-frequency band, the minimum values of transmission loss were 0.0130 ± 0.0014 dB/mm for inkjet printing on PEI and 0.0100 ± 0.0014 dB/mm for screen printing on PI. Although the

  10. Bubbles in inkjet printheads: analytical and numerical models

    NARCIS (Netherlands)

    Jeurissen, R.J.M.

    2009-01-01

    The phenomenon of nozzle failure of an inkjet printhead due to entrainment of air bubbles was studies using analytical and numerical models. The studied inkjet printheads consist of many channels in which an acoustic field is generated to eject a droplet. When an air bubble is entrained, it disrupts

  11. Bubbles in inkjet printheads : analytical and numerical models

    NARCIS (Netherlands)

    Jeurissen, R.J.M.

    2009-01-01

    The phenomenon of nozzle failure of an inkjet printhead due to entrainment of air bubbles was studies using analytical and numerical models. The studied inkjet printheads consist of many channels in which an acoustic field is generated to eject a droplet. When an air bubble is entrained, it disrupts

  12. Control of Evaporation Behavior of an Inkjet-Printed Dielectric Layer Using a Mixed-Solvent System

    Science.gov (United States)

    Yang, Hak Soon; Kang, Byung Ju; Oh, Je Hoon

    2016-01-01

    In this study, the evaporation behavior and the resulting morphology of inkjet-printed dielectric layers were controlled using a mixed-solvent system to fabricate uniform poly-4-vinylphenol (PVP) dielectric layers without any pinholes. The mixed-solvent system consisted of two different organic solvents: 1-hexanol and ethanol. The effects of inkjet-printing variables such as overlap condition, substrate temperature, and different printing sequences (continuous and interlacing printing methods) on the inkjet-printed dielectric layer were also investigated. Increasing volume fraction of ethanol (VFE) is likely to reduce the evaporation rate gradient and the drying time of the inkjet-printed dielectric layer; this diminishes the coffee stain effect and thereby improves the uniformity of the inkjet-printed dielectric layer. However, the coffee stain effect becomes more severe with an increase in the substrate temperature due to the enhanced outward convective flow. The overlap condition has little effect on the evaporation behavior of the printed dielectric layer. In addition, the interlacing printing method results in either a stronger coffee stain effect or wavy structures of the dielectric layers depending on the VFE of the PVP solution. All-inkjet-printed capacitors without electrical short circuiting can be successfully fabricated using the optimized PVP solution (VFE = 0.6); this indicates that the mixed-solvent system is expected to play an important role in the fabrication of high-quality inkjet-printed dielectric layers in various printed electronics applications.

  13. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    International Nuclear Information System (INIS)

    Acero, R; Pueo, M; Santolaria, J; Aguilar, J J; Brau, A

    2015-01-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures. (paper)

  14. Application of virtual distances methodology to laser tracker verification with an indexed metrology platform

    Science.gov (United States)

    Acero, R.; Santolaria, J.; Pueo, M.; Aguilar, J. J.; Brau, A.

    2015-11-01

    High-range measuring equipment like laser trackers need large dimension calibrated reference artifacts in their calibration and verification procedures. In this paper, a new verification procedure for portable coordinate measuring instruments based on the generation and evaluation of virtual distances with an indexed metrology platform is developed. This methodology enables the definition of an unlimited number of reference distances without materializing them in a physical gauge to be used as a reference. The generation of the virtual points and reference lengths derived is linked to the concept of the indexed metrology platform and the knowledge of the relative position and orientation of its upper and lower platforms with high accuracy. It is the measuring instrument together with the indexed metrology platform one that remains still, rotating the virtual mesh around them. As a first step, the virtual distances technique is applied to a laser tracker in this work. The experimental verification procedure of the laser tracker with virtual distances is simulated and further compared with the conventional verification procedure of the laser tracker with the indexed metrology platform. The results obtained in terms of volumetric performance of the laser tracker proved the suitability of the virtual distances methodology in calibration and verification procedures for portable coordinate measuring instruments, broadening and expanding the possibilities for the definition of reference distances in these procedures.

  15. Evaluation of ink-jet printed current collecting grids and bushbars for ITO-free organic solar cells

    NARCIS (Netherlands)

    Galagan, Y.O.; Coenen, E,W.C.; Sabik, S.; Gorter, H.H.; Barink, M.; Veenstra, S.C.; Kroon, J.M.; Andriessen, H.A.J.M.; Blom, P.W.M.

    2012-01-01

    ITO-free organic solar cells with ink-jet printed current collecting grids and high conducting PEDOT:PSS as composite anode are demonstrated. Inkjet printed current collecting grids with different cross-sectional are as have been investigated. The effect of the width and height of the gridlines and

  16. Inkjet printing of multifilamentary YBCO for low AC loss coated conductors

    International Nuclear Information System (INIS)

    Hopkins, S C; Joseph, D; Mitchell-Williams, T B; Glowacki, B A; Calleja, A; Vlad, V R; Vilardell, M; Ricart, S; Granados, X; Puig, T; Obradors, X; Usoskin, A; Falter, M; Bäcker, M

    2014-01-01

    Considerable progress has been made with the development of REBCO coated conductors in recent years, and high performance conductors are available commercially. For many applications, however, the cost remains prohibitive, and AC losses discourage their selection for higher frequency applications. Chemical solution deposition (CSD) methods are attractive for low-cost, scalable preparation of buffer and superconductor layers, and in many respects inkjet printing is the method of choice, permitting non-contact deposition with minimal materials wastage and excellent control of coating thickness. Highly textured coatings of YBCO and Gd-doped CeO 2 have previously been reported on buffered metal substrates. Inkjet printing also introduces the possibility of patterning - directly depositing two and three dimensional structures without subtractive processing - offering a low-cost route to coated conductors with reduced AC losses. In this contribution, the inkjet deposition of superconducting YBCO tracks is reported on industrially relevant buffered metal substrates both by direct printing and an inverse patterning approach. In the latter approach, ceria tracks were printed reported, which are a candidate both for resistive filament spacers and buffer layers. TFA-based precursor solutions have been printed on SS/ABAD-YSZ/CeO 2 and Ni-W/LZO/CeO 2 RABiTS substrates, and the resulting multifilamentary samples characterised by microscopy and scanning Hall probe measurements. The prospects for future inkjet-printed low AC loss coated conductors are discussed, including control of interfilamentary resistivity and bridging, transposed filamentary structures and stabilisation material.

  17. ELEMENT DESIGN FOR AN INKJET SYSTEM OF HYDROSTATIC GAS BEARING CONTROL

    Directory of Open Access Journals (Sweden)

    T. E. Il'ina

    2015-09-01

    Full Text Available Subject of Study. The paper discusses the concept of inkjet systems application, also known as pneumonics, for automatic hydrostatic gas bearing control. Inkjet systems have the advantages over traditional control systems in those problems where the speed of traditional mechanical, electrical or hydraulic servomotors is not enough. Control of the shaft position in gas bearing with forced gas supply into the gap between the shaft and the bearing is typical for this class of problems. In this case, control means the pressure changing or flow rate of gas supplied to the gap by at least one of three axes at a frequency higher than the nominal speed of the shaft. Thus, high speed of response is required from the system. The objective of this work is to design a discrete jet element, testing of its geometry and switching characteristics. Main Results. The discrete inkjet element for oil-free non-contact transmission working on the refrigerant was designed. Relay transition process was modeled in the inkjet element with the use of numerical methods. The switching time has reached 0.2-0.3 ms; this is one order less than the requirements of aircraft control systems, which typically operate at a frequency of about 200 Hz. It is shown that periodic oscillations with high frequency occur when the control signal is injected with insufficient level of pressure. Therefore, a separate design task is to determine the minimum pressure allowable in the control channel.

  18. Fabrication of thin yttria-stabilized-zirconia dense electrolyte layers by inkjet printing for high performing solid oxide fuel cells

    DEFF Research Database (Denmark)

    Esposito, Vincenzo; Gadea, Christophe; Hjelm, Johan

    2015-01-01

    In this work, we present how a low-cost HP Deskjet 1000 inkjet printer was used to fabricate a 1.2 mm thin, dense and gas tight 16 cm2 solid oxide fuel cells (SOFC) electrolyte. The electrolyte was printed using an ink made of highly diluted (

  19. Effect of measurement error budgets and hybrid metrology on qualification metrology sampling

    Science.gov (United States)

    Sendelbach, Matthew; Sarig, Niv; Wakamoto, Koichi; Kim, Hyang Kyun (Helen); Isbester, Paul; Asano, Masafumi; Matsuki, Kazuto; Osorio, Carmen; Archie, Chas

    2014-10-01

    Until now, metrologists had no statistics-based method to determine the sampling needed for an experiment before the start that accuracy experiment. We show a solution to this problem called inverse total measurement uncertainty (TMU) analysis, by presenting statistically based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important "risk versus reward" sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions and then to reveal why low sampling can lead to unstable and misleading results. One model is developed that can help experimenters minimize sampling costs. A second cost model reveals the inadequacy of some current sampling practices-and the enormous costs associated with sampling that provides reasonable levels of certainty in the result. We introduce the strategies on how to manage and mitigate these costs and begin the discussion on how fabs are able to manufacture devices using minimal reference sampling when qualifying metrology steps. Finally, the relationship between inverse TMU analysis and hybrid metrology is explored.

  20. Context-based virtual metrology

    Science.gov (United States)

    Ebersbach, Peter; Urbanowicz, Adam M.; Likhachev, Dmitriy; Hartig, Carsten; Shifrin, Michael

    2018-03-01

    Hybrid and data feed forward methodologies are well established for advanced optical process control solutions in highvolume semiconductor manufacturing. Appropriate information from previous measurements, transferred into advanced optical model(s) at following step(s), provides enhanced accuracy and exactness of the measured topographic (thicknesses, critical dimensions, etc.) and material parameters. In some cases, hybrid or feed-forward data are missed or invalid for dies or for a whole wafer. We focus on approaches of virtual metrology to re-create hybrid or feed-forward data inputs in high-volume manufacturing. We discuss missing data inputs reconstruction which is based on various interpolation and extrapolation schemes and uses information about wafer's process history. Moreover, we demonstrate data reconstruction approach based on machine learning techniques utilizing optical model and measured spectra. And finally, we investigate metrics that allow one to assess error margin of virtual data input.

  1. 3D inkjet printed flexible and wearable antenna systems

    KAUST Repository

    Shamim, Atif

    2017-01-01

    extremely low cost, to the extent that they become disposable. The flexible and low cost aspects can be addressed by adapting additive manufacturing technologies such as inkjet printing and 3D printing. This paper presents inkjet printing as an emerging new

  2. First drop dissimilarity in drop-on-demand inkjet devices

    International Nuclear Information System (INIS)

    Famili, Amin; Palkar, Saurabh A.; Baldy, William J. Jr.

    2011-01-01

    As inkjet printing technology is increasingly applied in a broader array of applications, careful characterization of its method of use is critical due to its inherent sensitivity. A common operational mode in inkjet technology known as drop-on-demand ejection is used as a way to deliver a controlled quantity of material to a precise location on a target. This method of operation allows ejection of individual or a sequence (burst) of drops based on a timed trigger event. This work presents an examination of sequences of drops as they are ejected, indicating a number of phenomena that must be considered when designing a drop-on-demand inkjet system. These phenomena appear to be driven by differences between the first ejected drop in a burst and those that follow it and result in a break-down of the linear relationship expected between driving amplitude and drop mass. This first drop, as quantified by high-speed videography and subsequent image analysis, can be different in morphology, trajectory, velocity, and volume from subsequent drops within a burst. These findings were confirmed orthogonally by both volume and mass measurement techniques which allowed quantitation down to single drops.

  3. Piezo inkjet printer

    NARCIS (Netherlands)

    2006-01-01

    An inkjet printer containing a substantially closed ink duct and a transducer used to generate a pressure wave in the duct, wherein the transducer comprises a first part and, separate from the first part, a second part whereby, by actuation of the transducer, the first part manifests a first

  4. Printability of papers recycled from toner and inkjet-printed papers after deinking and recycling processes.

    Science.gov (United States)

    Karademir, Arif; Aydemir, Cem; Tutak, Dogan; Aravamuthan, Raja

    2018-04-01

    In our contemporary world, while part of the fibers used in the paper industry is obtained from primary fibers such as wood and agricultural plants, the rest is obtained from secondary fibers from waste papers. To manufacture paper with high optical quality from fibers of recycled waste papers, these papers require deinking and bleaching of fibers at desired levels. High efficiency in removal of ink from paper mass during recycling, and hence deinkability, are especially crucial for the optical and printability quality of the ultimate manufactured paper. In the present study, deinkability and printability performance of digitally printed paper with toner or inkjet ink were compared for the postrecycling product. To that end, opaque 80 g/m 2 office paper was digitally printed under standard printing conditions with laser toner or inkjet ink; then these sheets of paper were deinked by a deinking process based on the INGEDE method 11 p. After the deinking operation, the optical properties of the obtained recycled handsheets were compared with unprinted (reference) paper. Then the recycled paper was printed on once again under the same conditions as before with inkjet and laser printers, to monitor and measure printing color change before and after recycling, and differences in color universe. Recycling and printing performances of water-based inkjet and toner-based laser printed paper were obtained. The outcomes for laser-printed recycled paper were better than those for inkjet-printed recycled paper. Compared for luminosity Y, brightness, CIE a* and CIE b* values, paper recycled from laser-printed paper exhibited higher value than paper recycled from inkjet-printed paper.

  5. Synthesis of monodisperse silver nanoparticles for ink-jet printed flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Zhang Zhiliang; Zhang Xingye; Xin Zhiqing; Deng Mengmeng; Wen Yongqiang; Song Yanlin, E-mail: zhangxy@iccas.ac.cn, E-mail: ylsong@iccas.ac.cn [Beijing National Laboratory for Molecular Sciences (BNLMS), Key Lab of Organic Solids, Laboratory of New Materials, Institute of Chemistry, Chinese Academy of Sciences, Beijing 100190 (China)

    2011-10-21

    In this study, monodisperse silver nanoparticles were synthesized with a new reduction system consisting of adipoyl hydrazide and dextrose at ambient temperature. By this facile and rapid approach, high concentration monodisperse silver nanoparticles were obtained on a large scale at low protectant/AgNO{sub 3} mass ratio which was highly beneficial to low cost and high conductivity. Based on the synthesized monodisperse silver nanoparticles, conductive inks were prepared with water, ethanol and ethylene glycol as solvents, and were expected to be more environmentally friendly. A series of electrocircuits were fabricated by ink-jet printing silver nanoparticle ink on paper substrate with a commercial printer, and they had low resistivity in the range of 9.18 x 10{sup -8}-8.76 x 10{sup -8} {Omega} m after thermal treatment at 160 {sup 0}C for 30 min, which was about five times that of bulk silver (1.586 x 10{sup -8} {Omega} m). Moreover, a radio frequency identification (RFID) antenna was fabricated by ink-jet printing, and 6 m wireless identification was realized after an Alien higgs-3 chip was mounted on the printed antenna by the flip-chip method. These flexible electrocircuits produced by ink-jet printing would have enormous potential for low cost electrodes and sensor devices.

  6. Synthesis of monodisperse silver nanoparticles for ink-jet printed flexible electronics

    International Nuclear Information System (INIS)

    Zhang Zhiliang; Zhang Xingye; Xin Zhiqing; Deng Mengmeng; Wen Yongqiang; Song Yanlin

    2011-01-01

    In this study, monodisperse silver nanoparticles were synthesized with a new reduction system consisting of adipoyl hydrazide and dextrose at ambient temperature. By this facile and rapid approach, high concentration monodisperse silver nanoparticles were obtained on a large scale at low protectant/AgNO 3 mass ratio which was highly beneficial to low cost and high conductivity. Based on the synthesized monodisperse silver nanoparticles, conductive inks were prepared with water, ethanol and ethylene glycol as solvents, and were expected to be more environmentally friendly. A series of electrocircuits were fabricated by ink-jet printing silver nanoparticle ink on paper substrate with a commercial printer, and they had low resistivity in the range of 9.18 x 10 -8 -8.76 x 10 -8 Ω m after thermal treatment at 160 0 C for 30 min, which was about five times that of bulk silver (1.586 x 10 -8 Ω m). Moreover, a radio frequency identification (RFID) antenna was fabricated by ink-jet printing, and 6 m wireless identification was realized after an Alien higgs-3 chip was mounted on the printed antenna by the flip-chip method. These flexible electrocircuits produced by ink-jet printing would have enormous potential for low cost electrodes and sensor devices.

  7. Inkjet-printed transparent nanowire thin film features for UV photodetectors

    KAUST Repository

    Chen, Shih Pin

    2015-01-01

    In this study, a simple and effective direct printing method was developed to print patterned nanowire thin films for UV detection. Inks containing silver or titanium dioxide (TiO2) nanowires were first formulated adequately to form stable suspension for inkjet printing applications. Sedimentation tests were also carried out to characterize the terminal velocity and dispersion stability of nanowires to avoid potential nozzle clogging problems. The well-dispersed silver nanowire ink was then inkjet printed on PET films to form patterned electrodes. Above the electrodes, another layer of TiO2 nanowires was also printed to create a highly transparent photodetector with >80% visible transmittance. The printed photodetector showed a fairly low dark current of 10-12-10-14 A with a high on/off ratio of 2000 to UV radiation. Under a bias voltage of 2 V, the detector showed fast responses to UV illumination with a rise time of 0.4 s and a recovery time of 0.1 s. More photo currents can also be collected with a larger printed electrode area. In summary, this study shows the feasibility of applying inkjet printing technology to create nanowire thin films with specific patterns, and can be further employed for photoelectric applications. © The Royal Society of Chemistry 2015.

  8. Inkjet printing of transparent sol-gel computer generated holograms

    NARCIS (Netherlands)

    Yakovlev, A.; Pidko, E.A.; Vinogradov, A.

    2016-01-01

    In this paper we report for the first time a method for the production of transparent computer generated holograms by desktop inkjet printing. Here we demonstrate a methodology suitable for the development of a practical approach towards fabrication of diffraction patterns using a desktop inkjet

  9. Implementation of machine learning for high-volume manufacturing metrology challenges (Conference Presentation)

    Science.gov (United States)

    Timoney, Padraig; Kagalwala, Taher; Reis, Edward; Lazkani, Houssam; Hurley, Jonathan; Liu, Haibo; Kang, Charles; Isbester, Paul; Yellai, Naren; Shifrin, Michael; Etzioni, Yoav

    2018-03-01

    In recent years, the combination of device scaling, complex 3D device architecture and tightening process tolerances have strained the capabilities of optical metrology tools to meet process needs. Two main categories of approaches have been taken to address the evolving process needs. In the first category, new hardware configurations are developed to provide more spectral sensitivity. Most of this category of work will enable next generation optical metrology tools to try to maintain pace with next generation process needs. In the second category, new innovative algorithms have been pursued to increase the value of the existing measurement signal. These algorithms aim to boost sensitivity to the measurement parameter of interest, while reducing the impact of other factors that contribute to signal variability but are not influenced by the process of interest. This paper will evaluate the suitability of machine learning to address high volume manufacturing metrology requirements in both front end of line (FEOL) and back end of line (BEOL) sectors from advanced technology nodes. In the FEOL sector, initial feasibility has been demonstrated to predict the fin CD values from an inline measurement using machine learning. In this study, OCD spectra were acquired after an etch process that occurs earlier in the process flow than where the inline CD is measured. The fin hard mask etch process is known to impact the downstream inline CD value. Figure 1 shows the correlation of predicted CD vs downstream inline CD measurement obtained after the training of the machine learning algorithm. For BEOL, machine learning is shown to provide an additional source of information in prediction of electrical resistance from structures that are not compatible for direct copper height measurement. Figure 2 compares the trench height correlation to electrical resistance (Rs) and the correlation of predicted Rs to the e-test Rs value for a far back end of line (FBEOL) metallization level

  10. 7th International Workshop on Advanced Optical Imaging and Metrology

    CERN Document Server

    2014-01-01

    In continuation of the FRINGE Workshop Series this Proceeding contains all contributions presented at the 7. International Workshop on Advanced Optical Imaging and Metrology. The FRINGE Workshop Series is dedicated to the presentation, discussion and dissemination of recent results in Optical Imaging and Metrology. Topics of particular interest for the 7. Workshop are: - New methods and tools for the generation, acquisition, processing, and evaluation of data in Optical Imaging and Metrology (digital wavefront engineering, computational imaging, model-based reconstruction, compressed sensing, inverse problems solution) - Application-driven technologies in Optical Imaging and Metrology (high-resolution, adaptive, active, robust, reliable, flexible, in-line, real-time) - High-dynamic range solutions in Optical Imaging and Metrology (from macro to nano) - Hybrid technologies in Optical Imaging and Metrology (hybrid optics, sensor and data fusion, model-based solutions, multimodality) - New optical sensors, imagi...

  11. Inkjet Printing of 3D Metallic Silver Complex Microstructures

    NARCIS (Netherlands)

    Wits, Wessel Willems; Sridhar, Ashok; Dimitrov, D.

    2010-01-01

    To broaden the scope of inkjet printing, this paper focuses on printing of an organic silver complex ink on glass substrates towards the fabrication of metallic 3D microstructures. The droplet formation sequence of the inkjet printer is optimised to print continuous layers of metal. A brief

  12. The dynamics of the piezo inkjet printhead operation

    NARCIS (Netherlands)

    Wijshoff, H.M.A.

    2010-01-01

    The operation of a piezo inkjet printhead involves a chain of processes in many physical domains at different length scales. The final goal is the formation of droplets of all kinds of fluids with any desired volume, velocity, and a reliability as high as possible. The physics behind the chain of

  13. Effect of Hyperbranched Polymers on Curing Behavior of UV Curable Inks in Inkjet Printing

    Directory of Open Access Journals (Sweden)

    Samane Jafarifard

    2016-07-01

    Full Text Available A high quality and high resolution printing can be rapidly created by inkjet printing technology. Inkjet printing is one of the most economic printing methods and ink waste in this technique is very low. Inkjet process provides printing on any type of substrates. The UV curable inks are special types of printing inks that have been widely used in the last decades. The use of UV curable inks is more attractive in inkjet printing technology in comparison to other methods of printing. The most important advantage of UV curable inks in this method is that they are VOC-free and compatible and have good adhesion on many types of substrates. In this research, the effect of hyperbranched polymers on the curing behavior of UV curable inks was investigated. Two types of hyperbranched polymers with hydroxyl and fatty acid chain terminal groups were used in ink formulations. The effect of hyperbranched polymers on the curing behavior of UV curable ink was investigated by real-time FTIR analysis. The results showed that the hyperbranched polymers could improve curing process by increasing the conversion rate of the third curing stage. All ink formulations containing hyperbranched polymers showed higher conversion than a neat sample. The highest conversion was 77 % for the blend containing a hyperbranched polymer with hydroxyl end groups while the neat sample showed a final conversion of 55%. UV curable inks in inkjet process containing hyperbranched polymers with hydroxyl end groups showed a higher final conversion than neat sample.

  14. Robust Design of a Particle-Free Silver-Organo-Complex Ink with High Conductivity and Inkjet Stability for Flexible Electronics

    KAUST Repository

    Vaseem, Mohammad

    2015-12-29

    Currently, silver-nanoparticle-based inkjet ink is commercially available. This type of ink has several serious problems such as a complex synthesis protocol, high cost, high sintering temperatures (∼200 °C), particle aggregation, nozzle clogging, poor shelf life, and jetting instability. For the emerging field of printed electronics, these shortcomings in conductive inks are barriers for their widespread use in practical applications. Formulating particle-free silver inks has potential to solve these issues and requires careful design of the silver complexation. The ink complex must meet various requirements, such as in situ reduction, optimum viscosity, storage and jetting stability, smooth uniform sintered films, excellent adhesion, and high conductivity. This study presents a robust formulation of silver–organo-complex (SOC) ink, where complexing molecules act as reducing agents. The 17 wt % silver loaded ink was printed and sintered on a wide range of substrates with uniform surface morphology and excellent adhesion. The jetting stability was monitored for 5 months to confirm that the ink was robust and highly stable with consistent jetting performance. Radio frequency inductors, which are highly sensitive to metal quality, were demonstrated as a proof of concept on flexible PEN substrate. This is a major step toward producing high-quality electronic components with a robust inkjet printing process.

  15. Robust Design of a Particle-Free Silver-Organo-Complex Ink with High Conductivity and Inkjet Stability for Flexible Electronics

    KAUST Repository

    Vaseem, Mohammad; McKerricher, Garret; Shamim, Atif

    2015-01-01

    Currently, silver-nanoparticle-based inkjet ink is commercially available. This type of ink has several serious problems such as a complex synthesis protocol, high cost, high sintering temperatures (∼200 °C), particle aggregation, nozzle clogging, poor shelf life, and jetting instability. For the emerging field of printed electronics, these shortcomings in conductive inks are barriers for their widespread use in practical applications. Formulating particle-free silver inks has potential to solve these issues and requires careful design of the silver complexation. The ink complex must meet various requirements, such as in situ reduction, optimum viscosity, storage and jetting stability, smooth uniform sintered films, excellent adhesion, and high conductivity. This study presents a robust formulation of silver–organo-complex (SOC) ink, where complexing molecules act as reducing agents. The 17 wt % silver loaded ink was printed and sintered on a wide range of substrates with uniform surface morphology and excellent adhesion. The jetting stability was monitored for 5 months to confirm that the ink was robust and highly stable with consistent jetting performance. Radio frequency inductors, which are highly sensitive to metal quality, were demonstrated as a proof of concept on flexible PEN substrate. This is a major step toward producing high-quality electronic components with a robust inkjet printing process.

  16. Registration performance on EUV masks using high-resolution registration metrology

    Science.gov (United States)

    Steinert, Steffen; Solowan, Hans-Michael; Park, Jinback; Han, Hakseung; Beyer, Dirk; Scherübl, Thomas

    2016-10-01

    Next-generation lithography based on EUV continues to move forward to high-volume manufacturing. Given the technical challenges and the throughput concerns a hybrid approach with 193 nm immersion lithography is expected, at least in the initial state. Due to the increasing complexity at smaller nodes a multitude of different masks, both DUV (193 nm) and EUV (13.5 nm) reticles, will then be required in the lithography process-flow. The individual registration of each mask and the resulting overlay error are of crucial importance in order to ensure proper functionality of the chips. While registration and overlay metrology on DUV masks has been the standard for decades, this has yet to be demonstrated on EUV masks. Past generations of mask registration tools were not necessarily limited in their tool stability, but in their resolution capabilities. The scope of this work is an image placement investigation of high-end EUV masks together with a registration and resolution performance qualification. For this we employ a new generation registration metrology system embedded in a production environment for full-spec EUV masks. This paper presents excellent registration performance not only on standard overlay markers but also on more sophisticated e-beam calibration patterns.

  17. Inkjet printing-based volumetric display projecting multiple full-colour 2D patterns

    Science.gov (United States)

    Hirayama, Ryuji; Suzuki, Tomotaka; Shimobaba, Tomoyoshi; Shiraki, Atsushi; Naruse, Makoto; Nakayama, Hirotaka; Kakue, Takashi; Ito, Tomoyoshi

    2017-04-01

    In this study, a method to construct a full-colour volumetric display is presented using a commercially available inkjet printer. Photoreactive luminescence materials are minutely and automatically printed as the volume elements, and volumetric displays are constructed with high resolution using easy-to-fabricate means that exploit inkjet printing technologies. The results experimentally demonstrate the first prototype of an inkjet printing-based volumetric display composed of multiple layers of transparent films that yield a full-colour three-dimensional (3D) image. Moreover, we propose a design algorithm with 3D structures that provide multiple different 2D full-colour patterns when viewed from different directions and experimentally demonstrate prototypes. It is considered that these types of 3D volumetric structures and their fabrication methods based on widely deployed existing printing technologies can be utilised as novel information display devices and systems, including digital signage, media art, entertainment and security.

  18. Picometre and nanoradian heterodyne interferometry and its application in dilatometry and surface metrology

    International Nuclear Information System (INIS)

    Schuldt, T; Kögel, H; Spannagel, R; Braxmaier, C; Gohlke, M; Peters, A; Johann, U; Weise, D

    2012-01-01

    A high-sensitivity heterodyne interferometer implementing differential wavefront sensing for tilt measurement was developed over the last few years. With this setup, using an aluminium breadboard and compact optical mounts with a beam height of 2 cm, noise levels less than 5 pm Hz −1/2 in translation and less than 10 nrad Hz −1/2 in tilt measurement, both for frequencies above 10 −2 Hz, have been demonstrated. Here, a new, compact and ruggedized interferometer setup utilizing a baseplate made of Zerodur, a thermally and mechanically highly stable glass ceramic with a coefficient of thermal expansion (CTE) of 2 × 10 −8 K −1 , is presented. The optical components are fixed to the baseplate using a specifically developed, easy-to-handle, assembly-integration technology based on a space-qualified two-component epoxy. While developed as a prototype for future applications aboard satellite space missions (such as Laser Interferometer Space Antenna), the interferometer is used in laboratory experiments for dilatometry and surface metrology. A first dilatometer setup with a demonstrated accuracy of 10 −7 K −1 in CTE measurement was realized. As it was seen that the accuracy is limited by the dimensional stability of the sample tube support, a new setup was developed utilizing Zerodur as structural material for the sample tube support. In another activity, the interferometer is used for characterization of high-quality mirror surfaces at the picometre level and for high-accuracy two-dimensional surface characterization in a prototype for industrial applications. In this paper, the corresponding designs, their realizations and first measurements of both applications in dilatometry and surface metrology are presented

  19. Zirconia UV-curable colloids for additive manufacturing via hybrid inkjet printing-stereolithography

    DEFF Research Database (Denmark)

    Rosa, Massimo; Barou, C.; Esposito, Vincenzo

    2018-01-01

    Currently, additive manufacturing of ceramics by stereolithography (SLA) is limited to single materials and by a poor thickness resolution that strongly depends on the ceramic particles-UV light interaction. Combining selective laser curing with inkjet printing represents a novel strategy...... to overcome these constrains. Nonetheless, this approach requires UV-curable inks that allow hardening of the printed material and sintering to high density. In this work, we report how to design an ink for inkjet printing of yttria stabilized zirconia (YSZ) which can be impressed by addition of UV...

  20. Nanoelectronics: Metrology and Computation

    International Nuclear Information System (INIS)

    Lundstrom, Mark; Clark, Jason V.; Klimeck, Gerhard; Raman, Arvind

    2007-01-01

    Research in nanoelectronics poses new challenges for metrology, but advances in theory, simulation and computing and networking technology provide new opportunities to couple simulation and metrology. This paper begins with a brief overview of current work in computational nanoelectronics. Three examples of how computation can assist metrology will then be discussed. The paper concludes with a discussion of how cyberinfrastructure can help connect computing and metrology using the nanoHUB (www.nanoHUB.org) as a specific example

  1. Metrology Measurement Capabilities

    Energy Technology Data Exchange (ETDEWEB)

    Dr. Glen E. Gronniger

    2007-10-02

    This document contains descriptions of Federal Manufacturing & Technologies (FM&T) Metrology capabilities, traceability flow charts, and the measurement uncertainty of each measurement capability. Metrology provides NIST traceable precision measurements or equipment calibration for a wide variety of parameters, ranges, and state-of-the-art uncertainties. Metrology laboratories conform to the requirements of the Department of Energy Development and Production Manual Chapter 13.2, ANSI/ISO/IEC ANSI/ISO/IEC 17025:2005, and ANSI/NCSL Z540-1. FM&T Metrology laboratories are accredited by NVLAP for the parameters, ranges, and uncertainties listed in the specific scope of accreditation under NVLAP Lab code 200108-0. See the Internet at http://ts.nist.gov/Standards/scopes/2001080.pdf. These parameters are summarized. The Honeywell Federal Manufacturing & Technologies (FM&T) Metrology Department has developed measurement technology and calibration capability in four major fields of measurement: (1) Mechanical; (2) Environmental, Gas, Liquid; (3) Electrical (DC, AC, RF/Microwave); and (4) Optical and Radiation. Metrology Engineering provides the expertise to develop measurement capabilities for virtually any type of measurement in the fields listed above. A strong audit function has been developed to provide a means to evaluate the calibration programs of our suppliers and internal calibration organizations. Evaluation includes measurement audits and technical surveys.

  2. Absolute, pressure-dependent validation of a calibration-free, airborne laser hygrometer transfer standard (SEALDH-II from 5 to 1200 ppmv using a metrological humidity generator

    Directory of Open Access Journals (Sweden)

    B. Buchholz

    2018-01-01

    Full Text Available Highly accurate water vapor measurements are indispensable for understanding a variety of scientific questions as well as industrial processes. While in metrology water vapor concentrations can be defined, generated, and measured with relative uncertainties in the single percentage range, field-deployable airborne instruments deviate even under quasistatic laboratory conditions up to 10–20 %. The novel SEALDH-II hygrometer, a calibration-free, tuneable diode laser spectrometer, bridges this gap by implementing a new holistic concept to achieve higher accuracy levels in the field. We present in this paper the absolute validation of SEALDH-II at a traceable humidity generator during 23 days of permanent operation at 15 different H2O mole fraction levels between 5 and 1200 ppmv. At each mole fraction level, we studied the pressure dependence at six different gas pressures between 65 and 950 hPa. Further, we describe the setup for this metrological validation, the challenges to overcome when assessing water vapor measurements on a high accuracy level, and the comparison results. With this validation, SEALDH-II is the first airborne, metrologically validated humidity transfer standard which links several scientific airborne and laboratory measurement campaigns to the international metrological water vapor scale.

  3. Metrology aspects of SIMS depth profiling for advanced ULSI processes

    International Nuclear Information System (INIS)

    Budrevich, Andre; Hunter, Jerry

    1998-01-01

    As the semiconductor industry roadmap passes through the 0.1 μm technology node, the junction depth of the transistor source/drain extension will be required to be less than 20 nm and the well doping will be near 1.0 μm in depth. The development of advanced ULSI processing techniques requires the evolution of new metrology tools to ensure process capability. High sensitivity (ppb) coupled with excellent depth resolution (1 nm) makes SIMS the technique of choice for measuring the in-depth chemical distribution of these dopants with high precision and accuracy. This paper will discuss the issues, which impact the accuracy and precision of SIMS measurements of ion implants (both shallow and deep). First this paper will discuss common uses of the SIMS technique in the technology development and manufacturing of advanced ULSI processes. In the second part of this paper the ability of SIMS to make high precision measurements of ion implant depth profiles will be studied

  4. Inkjet Printing as High-Throughput Technique for the Fabrication of NiCo2O4 Films

    Directory of Open Access Journals (Sweden)

    Reyna Dianela Bacelis-Martínez

    2017-01-01

    Full Text Available Owing to its distinctive physicochemical properties, nickel-cobalt mixed oxide (NiCo2O4 has become a promising and innovative material for applications in many technological fields. The design of fast and reliable techniques for the deposition of this material is essential in the development of applications. In this work, NiCo2O4 films were successfully prepared by an inkjet printing technique using a suitable ink obtained from metal nitrates in a glycerol-water mixture. In order to deposit well-defined and uniform film patterns, the instrumental parameters such as drop spacing and inkjet voltage have been explored. The pure crystalline bimetallic nickel cobaltite oxide is obtained at 500°C with a homogeneous compositional distribution along the film. The average thickness observed by scanning electron microscopy is around 490 nm, whereas X-ray photoelectron spectroscopy analysis revealed that the film surface presents mixed oxidation states for both metals: Co2+, Co3+, Ni2+, and Ni3+. The electrocatalytic performance of inkjet-printed NiCo2O4 films for the water oxidation reaction is comparable with earlier reports.

  5. Tunable inkjet-printed slotted waveguide antenna on a ferrite substrate

    KAUST Repository

    Nafe, Ahmed; Farooqui, Muhammad; Shamim, Atif

    2015-01-01

    In this work an inkjet-printed frequency-tunable slotted waveguide antenna on a ferrite substrate is reported. Unlike the typical substrate integrated waveguide approach with via holes, a true 3D rectangular waveguide is realized by inkjet-printing

  6. Metrology challenges for high-rate nanomanufacturing of polymer structures

    Science.gov (United States)

    Mead, Joey; Barry, Carol; Busnaina, Ahmed; Isaacs, Jacqueline

    2012-10-01

    The transfer of nanoscience accomplishments into commercial products is hindered by the lack of understanding of barriers to nanoscale manufacturing. We have developed a number of nanomanufacturing processes that leverage available high-rate plastics fabrication technologies. These processes include directed assembly of a variety of nanoelements, such as nanoparticles and nanotubes, which are then transferred onto a polymer substrate for the fabrication of conformal/flexible electronic materials, among other applications. These assembly processes utilize both electric fields and/or chemical functionalization. Conducting polymers and carbon nanotubes have been successfully transferred to a polymer substrate in times less than 5 minutes, which is commercially relevant and can be utilized in a continuous (reel to reel/roll to roll) process. Other processes include continuous high volume mixing of nanoelements (CNTs, etc) into polymers, multi-layer extrusion and 3D injection molding of polymer structures. These nanomanufacturing processes can be used for wide range of applications, including EMI shielding, flexible electronics, structural materials, and novel sensors (specifically for chem/bio detection). Current techniques to characterize the quality and efficacy of the processes are quite slow. Moreover, the instrumentation and metrology needs for these manufacturing processes are varied and challenging. Novel, rapid, in-line metrology to enable the commercialization of these processes is critically needed. This talk will explore the necessary measurement needs for polymer based nanomanufacturing processes for both step and continuous (reel to reel/roll to roll) processes.

  7. Utilizing wideband AMC structures for high-gain inkjet-printed antennas on lossy paper substrate

    KAUST Repository

    Cook, Benjamin Stassen

    2013-01-01

    Significant gain and bandwidth improvement of inkjet-printed antennas with integrated artificial magnetic conductor (AMC) is achieved by utilizing wideband ground-backed frequency selective surfaces (FSSs) to overcome the high losses of organic substrates such as paper. A microstrip-fed monopole mounted on an artificial magnetic conductor is demonstrated to improve the gain by 5 dB over previous works and exhibit much wider impedance bandwidth while maintaining a thin antenna profile and a 20% electrical size reduction. The effect of AMC bandwidth on substrate losses and the gain reduction caused by finite AMC array effects are investigated in an effort to produce high-gain, miniaturized, low-cost wearable and structure mount antennas. © 2013 IEEE.

  8. Principle of topography-directed inkjet printing for functional micro-tracks in flexible substrates

    Science.gov (United States)

    Keum, Chang-Min; Lee, In-Ho; Park, Hea-Lim; Kim, Chiwoo; Lüssem, Björn; Choi, Jong Sun; Lee, Sin-Doo

    2017-06-01

    We present a general principle of topography-directed (TD) inkjet printing for functional micro-tracks embedded in a flexible elastomer substrate. The essential features of the TD inkjet printing in a micro-structured substrate with periodic grooves and ridges are described in terms of the topographic parameters for the transformation from a single droplet to a filament or an edge-disjoint pattern of ink in the groove. Silver ink, being widely used for producing conductive wires by conventional inkjet printing, is utilized as a testbed in our study. The underlying mechanisms for the spreading and drying processes of ink drops under the topographic compartment can be understood in a two-dimensional parameter space of the aspect ratio of the groove and the contact angle of ink on the substrate. The wetting morphologies of ink droplets are described in an analytical model where the Laplace pressure and the mean curvature at the vapor/ink interface are taken into account. The first principle of the TD inkjet printing would be applicable for constructing a variety of functional micro-tracks with high pattern fidelity from different classes of solutions such as conducting polymers, organic semiconductors, and colloidal nanoparticles.

  9. Ink-jet printed porous composite LiFePO4 electrode from aqueous suspension for microbatteries

    Science.gov (United States)

    Delannoy, P.-E.; Riou, B.; Brousse, T.; Le Bideau, J.; Guyomard, D.; Lestriez, B.

    2015-08-01

    This work demonstrates ink-jet printed LiFePO4-based composite porous electrodes for microbattery application. As binder and dispersant, we found that aqueous inks with more suitable rheological properties with respect to ink-jet printing are prepared with the low molecular weight poly-acrylic-co-maleic acid copolymer, rather than with the carboxymethyl cellulose standard binder of the lithium-ion technology. The ink-jet printed thin and porous electrode shows very high rate charge/discharge behavior, both in LiPF6/ethylene carbonate-dimethyl carbonate (LP30) and lithium bis(trifluoromethane)sulfonylimide salt (Li-TFSI) in N-methyl-N-propylpyrrolidinium bis(trifluoromethane)suflonylimide ionic liquid (PYR13-TFSI) electrolytes, as well as good cyclability.

  10. Capability Handbook- offline metrology

    DEFF Research Database (Denmark)

    Islam, Aminul; Marhöfer, David Maximilian; Tosello, Guido

    This offline metrological capability handbook has been made in relation to HiMicro Task 3.3. The purpose of this document is to assess the metrological capability of the HiMicro partners and to gather the information of all available metrological instruments in the one single document. It provides...

  11. Potential up-scaling of inkjet-printed devices for logical circuits in flexible electronics

    Energy Technology Data Exchange (ETDEWEB)

    Mitra, Kalyan Yoti, E-mail: kalyan-yoti.mitra@mb.tu-chemnitz.de, E-mail: enrico.sowade@mb.tu-chemnitz.de; Sowade, Enrico, E-mail: kalyan-yoti.mitra@mb.tu-chemnitz.de, E-mail: enrico.sowade@mb.tu-chemnitz.de [Technische Universität Chemnitz, Department of Digital Printing and Imaging Technology, Chemnitz (Germany); Martínez-Domingo, Carme [Printed Microelectronics Group, CAIAC, Universitat Autònoma de Barcelona, Bellaterra, Spain and Nanobioelectronics and Biosensors Group, Catalan Institute of Nanotechnology (ICN), Universitat Autònoma de Barcelona, Bellaterra, Catalonia (Spain); Ramon, Eloi, E-mail: eloi.ramon@uab.cat [Printed Microelectronics Group, CAIAC, Universitat Autònoma de Barcelona, Bellaterra (Spain); Nanobioelectronics and Biosensors Group, Catalan Institute of Nanotechnology (ICN), Universitat Autònoma de Barcelona, Bellaterra, Catalonia (Spain); Carrabina, Jordi, E-mail: jordi.carrabina@uab.cat [Printed Microelectronics Group, CAIAC, Universitat Autònoma de Barcelona, Bellaterra (Spain); Gomes, Henrique Leonel, E-mail: hgomes@ualg.pt [Universidade do Algarve, Institute of Telecommunications, Faro (Portugal); Baumann, Reinhard R., E-mail: reinhard.baumann@mb.tu-chemnitz.de [Technische Universität Chemnitz, Department of Digital Printing and Imaging Technology, Chemnitz (Germany); Fraunhofer Institute for Electronic Nano Systems (ENAS), Department of Printed Functionalities, Chemnitz (Germany)

    2015-02-17

    Inkjet Technology is often mis-believed to be a deposition/patterning technology which is not meant for high fabrication throughput in the field of printed and flexible electronics. In this work, we report on the 1) printing, 2) fabrication yield and 3) characterization of exemplary simple devices e.g. capacitors, organic transistors etc. which are the basic building blocks for logical circuits. For this purpose, printing is performed first with a Proof of concept Inkjet printing system Dimatix Material Printer 2831 (DMP 2831) using 10 pL small print-heads and then with Dimatix Material Printer 3000 (DMP 3000) using 35 pL industrial print-heads (from Fujifilm Dimatix). Printing at DMP 3000 using industrial print-heads (in Sheet-to-sheet) paves the path towards industrialization which can be defined by printing in Roll-to-Roll format using industrial print-heads. This pavement can be termed as 'Bridging Platform'. This transfer to 'Bridging Platform' from 10 pL small print-heads to 35 pL industrial print-heads help the inkjet-printed devices to evolve on the basis of functionality and also in form of up-scaled quantities. The high printed quantities and yield of inkjet-printed devices justify the deposition reliability and potential to print circuits. This reliability is very much desired when it comes to printing of circuits e.g. inverters, ring oscillator and any other planned complex logical circuits which require devices e.g. organic transistors which needs to get connected in different staged levels. Also, the up-scaled inkjet-printed devices are characterized and they reflect a domain under which they can work to their optimal status. This status is much wanted for predicting the real device functionality and integration of them into a planned circuit.

  12. Potential up-scaling of inkjet-printed devices for logical circuits in flexible electronics

    International Nuclear Information System (INIS)

    Mitra, Kalyan Yoti; Sowade, Enrico; Martínez-Domingo, Carme; Ramon, Eloi; Carrabina, Jordi; Gomes, Henrique Leonel; Baumann, Reinhard R.

    2015-01-01

    Inkjet Technology is often mis-believed to be a deposition/patterning technology which is not meant for high fabrication throughput in the field of printed and flexible electronics. In this work, we report on the 1) printing, 2) fabrication yield and 3) characterization of exemplary simple devices e.g. capacitors, organic transistors etc. which are the basic building blocks for logical circuits. For this purpose, printing is performed first with a Proof of concept Inkjet printing system Dimatix Material Printer 2831 (DMP 2831) using 10 pL small print-heads and then with Dimatix Material Printer 3000 (DMP 3000) using 35 pL industrial print-heads (from Fujifilm Dimatix). Printing at DMP 3000 using industrial print-heads (in Sheet-to-sheet) paves the path towards industrialization which can be defined by printing in Roll-to-Roll format using industrial print-heads. This pavement can be termed as 'Bridging Platform'. This transfer to 'Bridging Platform' from 10 pL small print-heads to 35 pL industrial print-heads help the inkjet-printed devices to evolve on the basis of functionality and also in form of up-scaled quantities. The high printed quantities and yield of inkjet-printed devices justify the deposition reliability and potential to print circuits. This reliability is very much desired when it comes to printing of circuits e.g. inverters, ring oscillator and any other planned complex logical circuits which require devices e.g. organic transistors which needs to get connected in different staged levels. Also, the up-scaled inkjet-printed devices are characterized and they reflect a domain under which they can work to their optimal status. This status is much wanted for predicting the real device functionality and integration of them into a planned circuit

  13. The fluid transport in inkjet-printed liquid rivulets

    Science.gov (United States)

    Singler, Timothy; Liu, Liang; Sun, Xiaoze; Pei, Yunheng; Microfluidic; Interfacial Transport Lab Team

    2017-11-01

    Inkjet printing holds significant potential for the controlled deposition of solution-processed functional materials spanning applications from microelectronics to biomedical sciences. Although theoretical and experimental investigations addressing the stability criteria of the inkjet-printed liquid rivulets have been discussed in the literature, the associated transport phenomena have received little attention. This study focuses on the experimental investigation of printed rivulets, stable with respect to Rayleigh-Plateau, but exhibiting bulge instability. The morphological evolution and the depth-resolved flow field of the rivulets were assessed via high-speed imaging in conjunction with micro-PIV. We discuss in detail effects of repetitive wave motion induced by periodic drop impact at the leading edge and the associated pulsatile flow, as well as the persistent nonuniform mass distribution in the ridge region of the rivulet. The results provide an experimental foundation for more detailed theoretical modelling of printed rivulet flows.

  14. 7/5nm logic manufacturing capabilities and requirements of metrology

    Science.gov (United States)

    Bunday, Benjamin; Bello, A. F.; Solecky, Eric; Vaid, Alok

    2018-03-01

    This paper will provide an update to previous works [2][4][9] to our view of the future for in-line high volume manufacturing (HVM) metrology for the semiconductor industry, concentrating on logic technology for foundries. First, we will review of the needs of patterned defect, critical dimensional (CD/3D), overlay and films metrology, and present the extensive list of applications for which metrology solutions are needed. We will then update the industry's progress towards addressing gating technical limits of the most important of these metrology solutions, highlighting key metrology technology gaps requiring industry attention and investment.

  15. Highly Conductive Aromatic Functionalized Multi-Walled Carbon Nanotube for Inkjet Printable High Performance Supercapacitor Electrodes.

    Directory of Open Access Journals (Sweden)

    Sanjeev K Ujjain

    Full Text Available We report the functionalization of multiwalled carbon nanotubes (MWCNT via the 1,3-dipolar [3+2] cycloaddition of aromatic azides, which resulted in a detangled CNT as shown by transmission electron microscopy (TEM. Carboxylic moieties (-COOH on aromatic azide result in highly stable aqueous dispersion (max. conc. ~ 10 mg/mL H2O, making the suitable for inkjet printing. Printed patterns on polyethylene terephthalate (PET flexible substrate exhibit low sheet resistivity ~65 Ω. cm, which is attributed to enhanced conductivity. Fabricated Supercapacitors (SC assembled using these printed substrates exhibit good electrochemical performance in organic as well as aqueous electrolytes. High energy and power density (57.8 Wh/kg and 0.85 kW/kg in 1M H2SO4 aqueous electrolyte demonstrate the excellent performance of the proposed supercapacitor. Capacitive retention varies from ~85-94% with columbic efficiency ~95% after 1000 charge/discharge cycles in different electrolytes, demonstrating the excellent potential of the device for futuristic power applications.

  16. All-inkjet-printed flexible electronics fabrication on a polymer substrate by low-temperature high-resolution selective laser sintering of metal nanoparticles

    International Nuclear Information System (INIS)

    Ko, Seung H; Pan Heng; Grigoropoulos, Costas P; Luscombe, Christine K; Frechet, Jean M J; Poulikakos, Dimos

    2007-01-01

    All-printed electronics is the key technology to ultra-low-cost, large-area electronics. As a critical step in this direction, we demonstrate that laser sintering of inkjet-printed metal nanoparticles enables low-temperature metal deposition as well as high-resolution patterning to overcome the resolution limitation of the current inkjet direct writing processes. To demonstrate this process combined with the implementation of air-stable carboxylate-functionalized polythiophenes, high-resolution organic transistors were fabricated in ambient pressure and room temperature without utilizing any photolithographic steps or requiring a vacuum deposition process. Local thermal control of the laser sintering process could minimize the heat-affected zone and the thermal damage to the substrate and further enhance the resolution of the process. This local nanoparticle deposition and energy coupling enable an environmentally friendly and cost-effective process as well as a low-temperature manufacturing sequence to realize large-area, flexible electronics on polymer substrates

  17. Fumed metallic oxides and conventional pigments for glossy inkjet paper

    Science.gov (United States)

    Lee, Hyunkook

    Product development activity in the area of inkjet printing papers has accelerated greatly to meet the rapidly growing market for inkjet papers. Advancements in inkjet printing technology have also placed new demands on the paper substrate due to faster printing rates, greater resolution through increased drop volumes, and colorants added to the ink. To meet these requirements, papermakers are turning to pigmented size press formulations or pigmented coating systems. For inkjet coating applications, both the internal porosity of the pigment particles as well as the packing porosity of the coating affect print quality and dry time. Pores between the pigment particles allow for rapid diffusion of ink fluids into the coating structure, while also providing capacity for ink fluid uptake. Past research has shown the presence of coating cracks to increase the microroughness of the papers, consequently reducing the gloss of the silica/polyvinyl alcohol based coating colors. Coating cracks were not observed, at the same level of magnification, in the scanning electron microscopy images of alumina/polyvinyl alcohol coated papers. Studies are therefore needed to understand the influence of coating cracking on the microroughening of silica/polyvinyl alcohol based coatings and consequences to coating and ink gloss. Since micro roughening is known to be linked to shrinkage of the coating layer, studies are needed to determine if composite pigments can be formulated, which would enable the coating solids of the formulations to be increased to minimize the shrinkage of coating layer during drying. Coating solids greater than 55% solids are needed to reduce the difference between application solids and the coating's immobilization solids point in order to reduce shrinkage. The aim of this research was to address the above mentioned needed studies. Studies were performed to understand the influence of particle packing on gloss and ink jet print quality. Composite pigment structures

  18. Laser metrology for a next generation gravimetric mission

    Science.gov (United States)

    Mottini, Sergio; Biondetti, Giorgio; Cesare, Stefano; Castorina, Giuseppe; Musso, Fabio; Pisani, Marco; Leone, Bruno

    2017-11-01

    Within the ESA technology research project "Laser Interferometer High Precision tracking for LEO", Thales Alenia Space Italia is developing a laser metrology system for a Next Generation Gravimetric Mission (NGGM) based on satellite-to-satellite tracking. This technique is based on the precise measurement of the displacement between two satellites flying in formation at low altitude for monitoring the variations of Earth's gravity field at high resolution over a long time period. The laser metrology system that has been defined for this mission consists of the following elements: • an heterodyne Michelson interferometer for measuring the distance variation between retroreflectors positioned on the two satellites; • an angle metrology for measuring the orientation of the laser beam in the reference frames of the two satellites; • a lateral displacement metrology for measuring the deviations of the laser beam axis from the target retro-reflector. The laser interferometer makes use of a chopped measurement beam to avoid spurious signals and nonlinearity caused by the unbalance between the strong local beam and the weak return beam. The main results of the design, development and test activities performed on the breadboard of the metrology system are summarized in this paper.

  19. Inkjet printed Cu(In,Ga)S2 nanoparticles for low-cost solar cells

    KAUST Repository

    Barbe, Jeremy

    2016-12-13

    Cu(In,Ga)Se2 (CIGSe) thin film solar cells were fabricated by direct inkjet printing of Cu(In,Ga)S2 (CIGS) nanoparticles followed by rapid thermal annealing under selenium vapor. Inkjet printing is a low-cost, low-waste, and flexible patterning method which can be used for deposition of solution-based or nanoparticle-based CIGS films with high throughput. XRD and Raman spectra indicate that no secondary phase is formed in the as-deposited CIGS film since quaternary chalcopyrite nanoparticles are used as the base solution for printing. Besides, CIGSe films with various Cu/(In + Ga) ratios could be obtained by finely tuning the composition of CIGS nanoparticles contained in the ink, which was found to strongly influence the devices performance and film morphology. To date, this is the first successful fabrication of a solar device by inkjet printing of CIGS nanoparticles.

  20. Numerical simulation of evaporation and absorption of inkjet printed droplets

    NARCIS (Netherlands)

    Siregar, D.P.

    2012-01-01

    Inkjet printing is an important field of research for many industrial applications. In particular, the inkjet-printing technology is widely used in the production of a text or graphics of documents stored in electronic form by printing ink on papers and the manufacturing of microarray slides by

  1. Inkjet printing of novel wideband and high gain antennas on low-cost paper substrate

    KAUST Repository

    Cook, Benjamin Stassen; Shamim, Atif

    2012-01-01

    for the UWB band which exhibits a significantly higher gain of up to 8 dBi as compared to the currently published inkjet printed antennas, and a novel slow-wave log periodic dipole array which employs a new miniaturization technique to show 20% width reduction

  2. Metrology for γ-radiation spectrometry in a radiation monitoring system

    International Nuclear Information System (INIS)

    Khaikovich, I.M.; Shevrygin, O.N.; Fominykh, V.I.

    1993-01-01

    The rapid measurement of the characteristics of radionuclides is a priority when utilizing nuclear energy because of the needs of environmental conservation. This is particularly the case for long-lived nuclear-fuel fission products, 137,137 Cs, 144 Ce, 60 Co, etc., which as a rule are sources of high-energy γ-radiation. These can be measured by γ-ray spectrometry using scintillation or semiconductor devices. When choosing the metrological models, the starting points are the real characteristics of the distribution of the radionuclides in the soil (rock) and the need to estimate their surface activity and the reserves per unit area, i.e., the parameters from which one can estimate the influence of the radioactivity on nature and can decide the use of land areas. The methodology and the calculations presented show that a single metrological system for radiation monitoring can be constructed using multichannel geophysical radiometers (gamma spectrometers) while relying on a system of initial standard samples of small size. Such a metrological system at present provides all the necessary initial means for measuring the effective γ-radiation surface activity of 137,134 Cs and the mass fraction of the natural radioactive elements. Using these initial means of measurement, surveyed areas in the Leningrad and Tula provinces have been certified as State standard samples in terms of the eight parameters: the surface contamination activity of 137,134 Cs, the reserves of these per unit area, the mass fraction of the natural radioactive elements (potassium, uranium and thorium), the power of the equivalent (exposed) γ-radiation dose at a height of 1 m above the surface. It is intended to use the certified metrological surveyed areas to provide traceability and the required measurement accuracy when studying the contamination in European territories resulting from the accident at the Chernobyl nuclear power station

  3. Improving automated 3D reconstruction methods via vision metrology

    Science.gov (United States)

    Toschi, Isabella; Nocerino, Erica; Hess, Mona; Menna, Fabio; Sargeant, Ben; MacDonald, Lindsay; Remondino, Fabio; Robson, Stuart

    2015-05-01

    This paper aims to provide a procedure for improving automated 3D reconstruction methods via vision metrology. The 3D reconstruction problem is generally addressed using two different approaches. On the one hand, vision metrology (VM) systems try to accurately derive 3D coordinates of few sparse object points for industrial measurement and inspection applications; on the other, recent dense image matching (DIM) algorithms are designed to produce dense point clouds for surface representations and analyses. This paper strives to demonstrate a step towards narrowing the gap between traditional VM and DIM approaches. Efforts are therefore intended to (i) test the metric performance of the automated photogrammetric 3D reconstruction procedure, (ii) enhance the accuracy of the final results and (iii) obtain statistical indicators of the quality achieved in the orientation step. VM tools are exploited to integrate their main functionalities (centroid measurement, photogrammetric network adjustment, precision assessment, etc.) into the pipeline of 3D dense reconstruction. Finally, geometric analyses and accuracy evaluations are performed on the raw output of the matching (i.e. the point clouds) by adopting a metrological approach. The latter is based on the use of known geometric shapes and quality parameters derived from VDI/VDE guidelines. Tests are carried out by imaging the calibrated Portable Metric Test Object, designed and built at University College London (UCL), UK. It allows assessment of the performance of the image orientation and matching procedures within a typical industrial scenario, characterised by poor texture and known 3D/2D shapes.

  4. A Low-Cost Inkjet-Printed Aptamer-Based Electrochemical Biosensor for the Selective Detection of Lysozyme

    Directory of Open Access Journals (Sweden)

    Niazul Islam Khan

    2018-01-01

    Full Text Available Recently, inkjet-printing has gained increased popularity in applications such as flexible electronics and disposable sensors, as well as in wearable sensors because of its multifarious advantages. This work presents a novel, low-cost immobilization technique using inkjet-printing for the development of an aptamer-based biosensor for the detection of lysozyme, an important biomarker in various disease diagnosis. The strong affinity between the carbon nanotube (CNT and the single-stranded DNA is exploited to immobilize the aptamers onto the working electrode by printing the ink containing the dispersion of CNT-aptamer complex. The inkjet-printing method enables aptamer density control, as well as high resolution patternability. Our developed sensor shows a detection limit of 90 ng/mL with high target selectivity against other proteins. The sensor also demonstrates a shelf-life for a reasonable period. This technology has potential for applications in developing low-cost point-of-care diagnostic testing kits for home healthcare.

  5. Frequency metrology on the 4s(2)S(1/2)-4p(2)P(1/2) transition in Ca-40(+) for a comparison with quasar data

    NARCIS (Netherlands)

    Wolf, A.L.; van den Berg, S.A.; Gohle, C.; Salumbides, E.J.; Ubachs, W.M.G.; Eikema, K.S.E.

    2008-01-01

    High accuracy frequency metrology on the 4s S 12 2 -4p P 12 2 transition in calcium ions is performed using laser cooled and crystallized ions in a linear Paul trap. Calibration is performed with a frequency comb laser, resulting in a transition frequency of f=755 222 766.2 (1.7) MHz. The accuracy

  6. Rapid jetting status inspection and accurate droplet volume measurement for a piezo drop-on-demand inkjet print head using a scanning mirror for display applications

    Science.gov (United States)

    Shin, Dong-Youn; Kim, Minsung

    2017-02-01

    Despite the inherent fabrication simplicity of piezo drop-on-demand inkjet printing, the non-uniform deposition of colourants or electroluminescent organic materials leads to faulty display products, and hence, the importance of rapid jetting status inspection and accurate droplet volume measurement increases from a process perspective. In this work, various jetting status inspections and droplet volume measurement methods are reviewed by discussing their advantages and disadvantages, and then, the opportunities for the developed prototype with a scanning mirror are explored. This work demonstrates that jetting status inspection of 384 fictitious droplets can be performed within 17 s with maximum and minimum measurement accuracies of 0.2 ± 0.5 μ m for the fictitious droplets of 50 μ m in diameter and -1.2 ± 0.3 μ m for the fictitious droplets of 30 μ m in diameter, respectively. In addition to the new design of an inkjet monitoring instrument with a scanning mirror, two novel methods to accurately measure the droplet volume by amplifying a minute droplet volume difference and then converting to other physical properties are suggested and the droplet volume difference of ±0.3% is demonstrated to be discernible using numerical simulations, even with the low measurement accuracy of 1 μ m . When the fact is considered that the conventional vision-based method with a CCD camera requires the optical measurement accuracy less than 25 nm to measure the volume of an in-flight droplet in the nominal diameter of 50 μ m at the same volume measurement accuracy, the suggested method with the developed prototype offers a whole new opportunity to inkjet printing for display applications.

  7. Evaluating measurement accuracy a practical approach

    CERN Document Server

    Rabinovich, Semyon G

    2017-01-01

    This book presents a systematic and comprehensive exposition of the theory of measurement accuracy and provides solutions that fill significant and long-standing gaps in the classical theory. It eliminates the shortcomings of the classical theory by including methods for estimating accuracy of single measurements, the most common type of measurement. The book also develops methods of reduction and enumeration for indirect measurements, which do not require Taylor series and produce a precise solution to this problem. It produces grounded methods and recommendations for summation of errors. The monograph also analyzes and critiques two foundation metrological documents, the International Vocabulary of Metrology (VIM) and the Guide to the Expression of Uncertainty in Measurement (GUM), and discusses directions for their revision. This new edition adds a step-by-step guide on how to evaluate measurement accuracy and recommendations on how to calculate systematic error of multiple measurements. There is also an e...

  8. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, Sheng; Yashchuk, Valeriy V.; Goldberg, Kenneth A.; Celestre, Rich; Church, Matthew; McKinney, Wayne R.; Morrison, Greg; Warwick, Tony

    2010-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situ visible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  9. Surface Slope Metrology on Deformable Soft X-ray Mirrors

    International Nuclear Information System (INIS)

    Yuan, S.; Yashchuk, V.V.; Goldberg, K.A.; Celestre, R.; Church, M.; McKinney, W.R.; Morrison, G.; Warwick, T.

    2009-01-01

    We report on the current state of surface slope metrology on deformable mirrors for soft x-rays at the Advanced Light Source (ALS). While we are developing techniques for in situ at-wavelength tuning, we are refining methods of ex situvisible-light optical metrology to achieve sub-100-nrad accuracy. This paper reports on laboratory studies, measurements and tuning of a deformable test-KB mirror prior to its use. The test mirror was bent to a much different optical configuration than its original design, achieving a 0.38 micro-radian residual slope error. Modeling shows that in some cases, by including the image conjugate distance as an additional free parameter in the alignment, along with the two force couples, fourth-order tangential shape errors (the so-called bird shape) can be reduced or eliminated.

  10. Metrology of electrical quantum

    International Nuclear Information System (INIS)

    Camon, A.

    1996-01-01

    Since 1989 the electrical metrology laboratory of TPYCEA and the low temperature physics department of ICMA have been collaborating in the development of electrical quantum metrology. ICMA has been mainly dedicated to implement the state of the art quantum standards for which its experience on cryogenics, superconductivity and low noise instrumentation was essential. On the other hand TPYCEA concentrated its efforts on the metrological aspects, in which it has great experience. The complimentary knowledge of both laboratories, as well as the advice obtained from several prestigious metrology institutes was the key to successful completion of the two projects so far developed: i) The Josephson voltage standard (1989-1991) ii) The quantum Hall resistance standard (1991-1996) This report contains a description of both projects. Even though we can consider that the two projects are finished from the instrumental and metrological point of view, there is still a strong cooperation between ICMA and TPYCEA on the improvement of these standards, as well as on their international validation

  11. Inkjet-Printed Ultra Wide Band Fractal Antennas

    KAUST Repository

    Maza, Armando Rodriguez

    2012-05-01

    In this work, Paper-based inkjet-printed Ultra-wide band (UWB) fractal antennas are presented. Three new designs, a combined UWB fractal monopole based on the fourth order Koch Snowflake fractal which utilizes a Sierpinski Gasket fractal for ink reduction, a Cantor-based fractal antenna which performs a larger bandwidth compared to previously published UWB Cantor fractal monopole antenna, and a 3D loop fractal antenna which attains miniaturization, impedance matching and multiband characteristics. It is shown that fractals prove to be a successful method of reducing fabrication cost in inkjet printed antennas while retaining or enhancing printed antenna performance.

  12. Characteristics of via-hole interconnections fabricated by using an inkjet printing method

    International Nuclear Information System (INIS)

    Yang, Yong Suk; You, In Kyu; Koo, Jae Bon; Lee, Sang Seok; Lim, Sang Chul; Kang, Seong Youl; Noh, Yong Young

    2010-01-01

    Inkjet printing is a familiar technique that creates and releases droplets of fluid on demand and precisely deposits those droplets on a substrate. It has received increased attention for its novelty and ability to produce patterned and template material structures. In the application of electronic interconnection fabrication, drop-on-demand inkjet printers especially offer the advantages of contactless printing and eliminat the use of a die or photomask. In this study, we created a via-hole interconnecting structure through a polymer insulator layer by using an inkjet printing. When the droplets of Ag ink were dropped onto a PMMA/Au/Cr/SiO 2 /Si area and the Ag film was annealed at high temperatures, the Ag ink containing solvents penetrated into the PMMA layer and generated the conducting paths between the top Ag and the bottom Au electrodes by partial dissolution and swelling of the polymer. The surface and the cross-sectional topologies of the formed via-holes were investigated by using an optical microscope and a field emission transmission electron microscope.

  13. Cross-check of ex-situ and in-situ metrology of a bendable temperature stabilized KB mirror

    International Nuclear Information System (INIS)

    Yuan Sheng; Goldberg, Kenneth A.; Yashchuk, Valeriy V.; Celestre, Richard; McKinney, Wayne R.; Morrison, Gregory; Macdougall, James; Mochi, Iacopo; Warwick, Tony

    2011-01-01

    At the Advanced Light Source (ALS), we are developing broadly applicable, high-accuracy, in-situ, at-wavelength wavefront slope measurement techniques for Kirkpatrick-Baez (KB) mirror nano-focusing. In this paper, we report an initial cross-check of ex-situ and in-situ metrology of a bendable temperature stabilized KB mirror. This cross-check provides a validation of the in-situ shearing interferometry, currently under development at the ALS.

  14. Inkjet-printing of non-volatile organic resistive devices and crossbar array structures

    Science.gov (United States)

    Sax, Stefan; Nau, Sebastian; Popovic, Karl; Bluemel, Alexander; Klug, Andreas; List-Kratochvil, Emil J. W.

    2015-09-01

    Due to the increasing demand for storage capacity in various electronic gadgets like mobile phones or tablets, new types of non-volatile memory devices have gained a lot of attention over the last few years. Especially multilevel conductance switching elements based on organic semiconductors are of great interest due to their relatively simple device architecture and their small feature size. Since organic semiconductors combine the electronic properties of inorganic materials with the mechanical characteristics of polymers, this class of materials is suitable for solution based large area device preparation techniques. Consequently, inkjet based deposition techniques are highly capable of facing preparation related challenges. By gradually replacing the evaporated electrodes with inkjet printed silver, the preparation related influence onto device performance parameters such as the ON/OFF ratio was investigated with IV measurements and high resolution transmission electron microscopy. Due to the electrode surface roughness the solvent load during the printing of the top electrode as well as organic layer inhomogeneity's the utilization in array applications is hampered. As a prototypical example a 1diode-1resistor element and a 2×2 subarray from 5×5 array matrix were fully characterized demonstrating the versatility of inkjet printing for device preparation.

  15. Aqueous metal–organic solutions for YSZ thin film inkjet deposition

    DEFF Research Database (Denmark)

    Gadea, Christophe; Hanniet, Q.; Lesch, A.

    2017-01-01

    Inkjet printing of 8% Y2O3-stabilized ZrO2 (YSZ) thin films is achieved by designing a novel water-based reactive ink for Drop-on-Demand (DoD) inkjet printing. The ink formulation is based on a novel chemical strategy that consists of a combination of metal oxide precursors (zirconium alkoxide...

  16. The place of highly accurate methods by RNAA in metrology

    International Nuclear Information System (INIS)

    Dybczynski, R.; Danko, B.; Polkowska-Motrenko, H.; Samczynski, Z.

    2006-01-01

    With the introduction of physical metrological concepts to chemical analysis which require that the result should be accompanied by uncertainty statement written down in terms of Sl units, several researchers started to consider lD-MS as the only method fulfilling this requirement. However, recent publications revealed that in certain cases also some expert laboratories using lD-MS and analyzing the same material, produced results for which their uncertainty statements did not overlap, what theoretically should not have taken place. This shows that no monopoly is good in science and it would be desirable to widen the set of methods acknowledged as primary in inorganic trace analysis. Moreover, lD-MS cannot be used for monoisotopic elements. The need for searching for other methods having similar metrological quality as the lD-MS seems obvious. In this paper, our long-time experience on devising highly accurate ('definitive') methods by RNAA for the determination of selected trace elements in biological materials is reviewed. The general idea of definitive methods based on combination of neutron activation with the highly selective and quantitative isolation of the indicator radionuclide by column chromatography followed by gamma spectrometric measurement is reminded and illustrated by examples of the performance of such methods when determining Cd, Co, Mo, etc. lt is demonstrated that such methods are able to provide very reliable results with very low levels of uncertainty traceable to Sl units

  17. High Accuracy mass Measurement of the very Short-Lived Halo Nuclide $^{11}$Li

    CERN Multimedia

    Le scornet, G

    2002-01-01

    The archetypal halo nuclide $^{11}$Li has now attracted a wealth of experimental and theoretical attention. The most outstanding property of this nuclide, its extended radius that makes it as big as $^{48}$Ca, is highly dependent on the binding energy of the two neutrons forming the halo. New generation experiments using radioactive beams with elastic proton scattering, knock-out and transfer reactions, together with $\\textit{ab initio}$ calculations require the tightening of the constraint on the binding energy. Good metrology also requires confirmation of the sole existing precision result to guard against a possible systematic deviation (or mistake). We propose a high accuracy mass determintation of $^{11}$Li, a particularly challenging task due to its very short half-life of 8.6 ms, but one perfectly suiting the MISTRAL spectrometer, now commissioned at ISOLDE. We request 15 shifts of beam time.

  18. Quantum metrology

    International Nuclear Information System (INIS)

    Xiang Guo-Yong; Guo Guang-Can

    2013-01-01

    The statistical error is ineluctable in any measurement. Quantum techniques, especially with the development of quantum information, can help us squeeze the statistical error and enhance the precision of measurement. In a quantum system, there are some quantum parameters, such as the quantum state, quantum operator, and quantum dimension, which have no classical counterparts. So quantum metrology deals with not only the traditional parameters, but also the quantum parameters. Quantum metrology includes two important parts: measuring the physical parameters with a precision beating the classical physics limit and measuring the quantum parameters precisely. In this review, we will introduce how quantum characters (e.g., squeezed state and quantum entanglement) yield a higher precision, what the research areas are scientists most interesting in, and what the development status of quantum metrology and its perspectives are. (topical review - quantum information)

  19. In situ synthesis of nanoparticles on substrates by inkjet printing

    KAUST Repository

    Abulikemu, Mutalifu; Jabbour, Ghassan

    2014-01-01

    Nanoparticles may be formed on a substrate by mixing precursor solutions deposited by an inkjet printer. A first solution is deposited on a substrate from a first inkjet print cartridge. Then, a second solution is deposited on the substrate from a second inkjet print cartridge. The solutions may be printed in an array of droplets on the substrate. Nanoparticles form when droplets of the first solution overlap with droplets of the second solution. In one example, the nanoparticles may be gold nanoparticles formed from mixing a first solution of 1,2-dichlorobenzene (DCB) and oleylamine and a second solution of gold chloride trihydrite and dimethyl sulfoxide (DMSO). The nanoparticles may be incorporated into optoelectronic devices.

  20. In situ synthesis of nanoparticles on substrates by inkjet printing

    KAUST Repository

    Abulikemu, Mutalifu

    2014-12-23

    Nanoparticles may be formed on a substrate by mixing precursor solutions deposited by an inkjet printer. A first solution is deposited on a substrate from a first inkjet print cartridge. Then, a second solution is deposited on the substrate from a second inkjet print cartridge. The solutions may be printed in an array of droplets on the substrate. Nanoparticles form when droplets of the first solution overlap with droplets of the second solution. In one example, the nanoparticles may be gold nanoparticles formed from mixing a first solution of 1,2-dichlorobenzene (DCB) and oleylamine and a second solution of gold chloride trihydrite and dimethyl sulfoxide (DMSO). The nanoparticles may be incorporated into optoelectronic devices.

  1. High accuracy results for the energy levels of the molecular ions H+2, D+2 and HD+, up to J = 2

    International Nuclear Information System (INIS)

    Karr, J Ph; Hilico, L

    2006-01-01

    We present a nonrelativistic calculation of the rotation-vibration levels of the molecular ions H + 2 , D + 2 and HD + , relying on the diagonalization of the exact three-body Hamiltonian in a variational basis. The J = 2 levels are obtained with a very high accuracy of 10 -14 au (for most levels) representing an improvement by five orders of magnitude over previous calculations. The accuracy is also improved for the J = 1 levels of H + 2 and D + 2 with respect to earlier works. Moreover, we have computed the sensitivities of the energy levels with respect to the mass ratios, allowing these levels to be used for metrological purposes

  2. Inkjet printed Cu(In,Ga)S{sub 2} nanoparticles for low-cost solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Barbé, Jérémy, E-mail: jeremy.barbe@kaust.edu.sa; Eid, Jessica [King Abdullah University of Science and Technology, Solar and Photovoltaics Engineering Research Center (SPERC), Division of Physical Sciences and Engineering (Saudi Arabia); Ahlswede, Erik; Spiering, Stefanie; Powalla, Michael [Zentrum fur Sonnenenergie- und Wasserstoff-Forschung Baden-Württemberg (ZSW) (Germany); Agrawal, Rakesh [Purdue University, School of Chemical Engineering (United States); Del Gobbo, Silvano, E-mail: silvano.delgobbo@gmail.com [King Abdullah University of Science and Technology, Solar and Photovoltaics Engineering Research Center (SPERC), Division of Physical Sciences and Engineering (Saudi Arabia)

    2016-12-15

    Cu(In,Ga)Se{sub 2} (CIGSe) thin film solar cells were fabricated by direct inkjet printing of Cu(In,Ga)S{sub 2} (CIGS) nanoparticles followed by rapid thermal annealing under selenium vapor. Inkjet printing is a low-cost, low-waste, and flexible patterning method which can be used for deposition of solution-based or nanoparticle-based CIGS films with high throughput. XRD and Raman spectra indicate that no secondary phase is formed in the as-deposited CIGS film since quaternary chalcopyrite nanoparticles are used as the base solution for printing. Besides, CIGSe films with various Cu/(In + Ga) ratios could be obtained by finely tuning the composition of CIGS nanoparticles contained in the ink, which was found to strongly influence the devices performance and film morphology. To date, this is the first successful fabrication of a solar device by inkjet printing of CIGS nanoparticles.

  3. Measurement capabilities of the Bendix Metrology Organization

    International Nuclear Information System (INIS)

    Barnes, L.M.

    1984-01-01

    The purpose of this manual is to communicate the measurement and calibration capabilities of the Metrology Organization of the Bendix Kansas City Division. Included is a listing of the measurement types and ranges available, and the accuracies normally attainable under conditions at the Kansas City Division. Also described are currently used standards and measurement devices. The manual is divided into four major sections, each describing a broad general area of measurement: mechanical; environmental, gas, liquid; electrical; and optical and radiation

  4. Inkjet printable nanosilver suspensions for enhanced sintering quality in rapid manufacturing

    International Nuclear Information System (INIS)

    Bai, John G; Creehan, Kevin D; Kuhn, Howard A

    2007-01-01

    Inkjet printable nanosilver suspensions were prepared by dispersing 30 nm silver particles into a water-based binder system to enhance the sintering quality in rapid manufacturing. During three-dimensional printing (3DP), the nanosilver suspensions were inkjet printed onto repetitively spread microsilver powder for selective joining. Since the nanosilver particles in the suspensions can be sintered at relatively low temperatures to bond the neighbouring microsilver powder, they were used to provide the continuous bonding strength of the manufacturing parts during the heat-up procedure of the sintering operation. Comparative study shows that the silver parts printed using the nanosilver suspension were significantly enhanced in sintering quality than those printed using the binder system, especially when the silver parts had thin or small features with high aspect ratios

  5. Inkjet printing the three organic functional layers of two-colored organic light emitting diodes

    International Nuclear Information System (INIS)

    Coenen, Michiel J.J.; Slaats, Thijs M.W.L.; Eggenhuisen, Tamara M.; Groen, Pim

    2015-01-01

    Inkjet printing allows for the roll-2-roll fabrication of organic electronic devices at an industrial scale. In this paper we demonstrate the fabrication of two-colored organic light emitting diodes (OLEDs) in which three adjacent organic device layers were inkjet printed from halogen free inks. The resulting devices demonstrate the possibilities offered by this technique for the fabrication of OLEDs for signage and personalized electronics. - Highlights: • Two-colored organic light emitting diodes with 3 inkjet printed device layers were fabricated. • All materials were printed from halogen free inks. • Inkjet printing of emissive materials is suitable for signage applications

  6. Thermal bubble inkjet printing of water-based graphene oxide and graphene inks on heated substrate

    Science.gov (United States)

    Huang, Simin; Shen, Ruoxi; Qian, Bo; Li, Lingying; Wang, Wenhao; Lin, Guanghui; Zhang, Xiaofei; Li, Peng; Xie, Yonglin

    2018-04-01

    Stable-jetting water-based graphene oxide (GO) and graphene (GR) inks without any surfactant or stabilizer are prepared from an unstable-jetting water-based starting solvent, with many thermal bubble inkjet satellite drops, by simply increasing the material concentration. The concentration-dependent thermal bubble inkjet droplet generation process is studied in detail. To overcome the low concentration properties of water-based thermal bubble inkjet inks, the substrate temperature is tuned below 60 °C to achieve high-quality print lines. Due to the difference in hydrophilicity and hydrophobicity of the 2D materials, the printed GO lines show a different forming mechanism from that of the GR lines. The printed GO lines are reduced by thermal annealing and by ascorbic acid, respectively. The reduced GO lines exhibit electrical conductivity of the same order of magnitude as that of the GR lines.

  7. Inkjet Printing of Functional and Structural Materials: Fluid Property Requirements, Feature Stability, and Resolution

    Science.gov (United States)

    Derby, Brian

    2010-08-01

    Inkjet printing is viewed as a versatile manufacturing tool for applications in materials fabrication in addition to its traditional role in graphics output and marking. The unifying feature in all these applications is the dispensing and precise positioning of very small volumes of fluid (1-100 picoliters) on a substrate before transformation to a solid. The application of inkjet printing to the fabrication of structures for structural or functional materials applications requires an understanding as to how the physical processes that operate during inkjet printing interact with the properties of the fluid precursors used. Here we review the current state of understanding of the mechanisms of drop formation and how this defines the fluid properties that are required for a given liquid to be printable. The interactions between individual drops and the substrate as well as between adjacent drops are important in defining the resolution and accuracy of printed objects. Pattern resolution is limited by the extent to which a liquid drop spreads on a substrate and how spreading changes with the overlap of adjacent drops to form continuous features. There are clearly defined upper and lower bounds to the width of a printed continuous line, which can be defined in terms of materials and process variables. Finer-resolution features can be achieved through appropriate patterning and structuring of the substrate prior to printing, which is essential if polymeric semiconducting devices are to be fabricated. Low advancing and receding contact angles promote printed line stability but are also more prone to solute segregation or “coffee staining” on drying.

  8. Metrological AFMs and its application for versatile nano-dimensional metrology tasks

    Science.gov (United States)

    Dai, Gaoliang; Dziomba, T.; Pohlenz, F.; Danzebrink, H.-U.; Koenders, L.

    2010-08-01

    Traceable calibrations of various micro and nano measurement devices are crucial tasks for ensuring reliable measurements for micro and nanotechnology. Today metrological AFM are widely used for traceable calibrations of nano dimensional standards. In this paper, we introduced the developments of metrological force microscopes at PTB. Of the three metrological AFMs described here, one is capable of measuring in a volume of 25 mm x 25 mm x 5 mm. All instruments feature interferometers and the three-dimensional position measurements are thus directly traceable to the metre definition. Some calibration examples on, for instance, flatness standards, step height standards, one and two dimensional gratings are demonstrated.

  9. NON-AQUEOUS PIGMENTED INKJET INKS

    NARCIS (Netherlands)

    DEROOVER, GEERT; Bernaerts, Katrien; HOOGMARTENS, IVAN

    2010-01-01

    A non-aqueous inkjet ink includes a benzimidazolone pigment and a polymeric dispersant according to Formula (I): wherein, T represents hydrogen or a polymerization terminating group; Z represents the residue of polyethyleneimine having a number-average molecular weight of at least 100; A represents

  10. Metrology and testing

    International Nuclear Information System (INIS)

    2010-01-01

    The chapter presents the Metrology Service of Ionizing Radiation (SEMRI), the Metrology Service of Radioisotopes (SEMRA), the External Individual Monitoring Service (SEMEX), the Internal Individual Monitoring Service (SEMIN) and the associated laboratories, the analysis of environmental samples, system for management of quality from IRD and the National Program for intercomparison results of environmental samples analysis to radioisotopes determination

  11. PACMAN Project: A New Solution for the High-accuracy Alignment of Accelerator Components

    CERN Document Server

    Mainaud Durand, Helene; Buzio, Marco; Caiazza, Domenico; Catalán Lasheras, Nuria; Cherif, Ahmed; Doytchinov, Iordan; Fuchs, Jean-Frederic; Gaddi, Andrea; Galindo Munoz, Natalia; Gayde, Jean-Christophe; Kamugasa, Solomon; Modena, Michele; Novotny, Peter; Russenschuck, Stephan; Sanz, Claude; Severino, Giordana; Tshilumba, David; Vlachakis, Vasileios; Wendt, Manfred; Zorzetti, Silvia

    2016-01-01

    The beam alignment requirements for the next generation of lepton colliders have become increasingly challenging. As an example, the alignment requirements for the three major collider components of the CLIC linear collider are as follows. Before the first beam circulates, the Beam Position Monitors (BPM), Accelerating Structures (AS)and quadrupoles will have to be aligned up to 10 μm w.r.t. a straight line over 200 m long segments, along the 20 km of linacs. PACMAN is a study on Particle Accelerator Components' Metrology and Alignment to the Nanometre scale. It is an Innovative Doctoral Program, funded by the EU and hosted by CERN, providing high quality training to 10 Early Stage Researchers working towards a PhD thesis. The technical aim of the project is to improve the alignment accuracy of the CLIC components by developing new methods and tools addressing several steps of alignment simultaneously, to gain time and accuracy. The tools and methods developed will be validated on a test bench. This paper pr...

  12. A Fully Inkjet Printed 3D Honeycomb Inspired Patch Antenna

    KAUST Repository

    McKerricher, Garret

    2015-07-16

    The ability to inkjet print three-dimensional objects with integrated conductive metal provides many opportunities for fabrication of radio frequency electronics and electronics in general. Both a plastic material and silver conductor are deposited by inkjet printing in this work. This is the first demonstration of a fully 3D Multijet printing process with integrated polymer and metal. A 2.4 GHz patch antenna is successfully fabricated with good performance proving the viability of the process. The inkjet printed plastic surface is very smooth, with less than 100 nm root mean square roughness. The printed silver nanoparticles are laser sintered to achieve adequate conductivity of 1e6 S/m while keeping the process below 80oC and avoiding damage to the polymer. The antenna is designed with a honeycomb substrate which minimizes material consumption. This reduces the weight, dielectric constant and dielectric loss which are all around beneficial. The antenna is entirely inkjet printed including the ground plane conductor and achieves an impressive 81% efficiency. The honeycomb substrate weighs twenty times less than a solid substrate. For comparison the honeycomb antenna provides an efficiency nearly 15% greater than a similarly fabricated antenna with a solid substrate.

  13. Temperature metrology

    Science.gov (United States)

    Fischer, J.; Fellmuth, B.

    2005-05-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  14. Temperature metrology

    International Nuclear Information System (INIS)

    Fischer, J; Fellmuth, B

    2005-01-01

    The majority of the processes used by the manufacturing industry depend upon the accurate measurement and control of temperature. Thermal metrology is also a key factor affecting the efficiency and environmental impact of many high-energy industrial processes, the development of innovative products and the health and safety of the general population. Applications range from the processing, storage and shipment of perishable foodstuffs and biological materials to the development of more efficient and less environmentally polluting combustion processes for steel-making. Accurate measurement and control of temperature is, for instance, also important in areas such as the characterization of new materials used in the automotive, aerospace and semiconductor industries. This paper reviews the current status of temperature metrology. It starts with the determination of thermodynamic temperatures required on principle because temperature is an intensive quantity. Methods to determine thermodynamic temperatures are reviewed in detail to introduce the underlying physical basis. As these methods cannot usually be applied for practical measurements the need for a practical temperature scale for day-to-day work is motivated. The International Temperature Scale of 1990 and the Provisional Low Temperature Scale PLTS-2000 are described as important parts of the International System of Units to support science and technology. Its main importance becomes obvious in connection with industrial development and international markets. Every country is strongly interested in unique measures, in order to guarantee quality, reproducibility and functionability of products. The eventual realization of an international system, however, is only possible within the well-functioning organization of metrological laboratories. In developed countries the government established scientific institutes have certain metrological duties, as, for instance, the maintenance and dissemination of national

  15. Degradation of inkjet ink by greensand and ultrasonic sonification

    OpenAIRE

    Mirela Rožić; Marina Vukoje; Kristinka Vinković; Nives Galić; Mirela Jukić

    2017-01-01

    The study describes the degradation of inkjet ink at low frequency ultrasound (US) and greensand to compare their reactivity. Environmental sonochemistry is a rapidly growing area and an example of the advanced oxidation process (AOP) that deals with the destruction of organic species in aqueous solutions. Greensand is a granular material coated with a thin layer of manganese dioxide (MnO2) which is among the strongest natural oxidants. In our study magenta inkjet water-based printing ink was...

  16. Inkjet printing for direct micropatterning of a superhydrophobic surface: Toward biomimetic fog harvesting surfaces

    KAUST Repository

    Zhang, Lianbin

    2015-01-01

    The preparation of biomimetic superhydrophobic surfaces with hydrophilic micro-sized patterns is highly desirable, but a one-step, mask-free method to produce such surfaces has not previously been reported. We have developed a direct method to produce superhydrophilic micropatterns on superhydrophobic surfaces based on inkjet printing technology. This work was inspired by the efficient fog-harvesting behavior of Stenocara beetles in the Namib Desert. A mussel-inspired ink consisting of an optimized solution of dopamine was applied directly by inkjet printing to superhydrophobic surfaces. Stable Wenzel\\'s microdroplets of the dopamine solution with well-defined micropatterns were obtained on these surfaces. Superhydrophilic micropatterns with well-controlled dimensions were then readily achieved on the superhydrophobic surfaces by the formation of polydopamine via in situ polymerization. The micropatterned superhydrophobic surfaces prepared by this inkjet printing method showed enhanced water collection efficiency compared with uniform superhydrophilic and superhydrophobic surfaces. This method can be used for the facile large-scale patterning of superhydrophobic surfaces with high precision and superior pattern stability and is therefore a key step toward patterning superhydrophobic surfaces for practical applications. This journal is

  17. An inkjet-printed UWB antenna on paper substrate utilizing a novel fractal matching network

    KAUST Repository

    Cook, Benjamin Stassen

    2012-07-01

    In this work, the smallest reported inkjet-printed UWB antenna is proposed that utilizes a fractal matching network to increase the performance of a UWB microstrip monopole. The antenna is inkjet-printed on a paper substrate to demonstrate the ability to produce small and low-cost UWB antennas with inkjet-printing technology which can enable compact, low-cost, and environmentally friendly wireless sensor network. © 2012 IEEE.

  18. Optimization-based feedforward control for a drop-on-demand inkjet printer

    NARCIS (Netherlands)

    Khalate, A.; Bombois, X.; Babuska, R.; Wijshoff, H.M.A.; Waarsing, R.

    2010-01-01

    The printing quality delivered by a Drop-on-Demand (DoD) inkjet printhead is limited due to operational issues such as residual oscillations in the ink channel and the cross-talk between the ink channels. The maximal jetting frequency of a DoD inkjet printhead can be increased by quickly damping the

  19. Metrology Techniques for the Assembly of NCSX

    International Nuclear Information System (INIS)

    Priniski, C.; Dodson, T.; Duco, M.; Raftopoulos, S.; Ellis, R.; Brooks, A.

    2009-01-01

    In support of the National Compact Stellerator Experiment (NCSX), stellerator assembly activities continued this past year at the Princeton Plasma Physics Laboratory (PPPL) in partnership with the Oak Ridge National Laboratory (ORNL). The construction program saw the completion of the first two Half Field-Period Assemblies (HPA), each consisting of three modular coils. The full machine includes six such sub-assemblies. A single HPA consists of three of the NCSX modular coils wound and assembled at PPPL. These geometrically-complex three dimensional coils were wound using computer-aided metrology and CAD models to tolerances within +/- 0.5mm. The assembly of these coils required similar accuracy on a larger scale with the added complexity of more individual parts and fewer degrees of freedom for correction. Several new potential positioning issues developed for which measurement and control techniques were developed. To accomplish this, CAD coordinate-based computer metrology equipment and software similar to the solutions employed for winding the modular coils was used. Given the size of the assemblies, the primary tools were both interferometer aided and Absolute Distance Measurement (ADM)-only based laser trackers. In addition, portable Coordinate Measurement Machine (CMM) arms and some novel indirect measurement techniques were employed. This paper will detail both the use of CAD coordinate-based metrology technology and the techniques developed and employed for dimensional control of NSCX subassemblies. The results achieved and possible improvements to techniques will be discussed.

  20. Inkjet printing as a roll-to-roll compatible technology for the production of large area electronic devices on a pre-industrial scale

    NARCIS (Netherlands)

    Teunissen, P.; Rubingh, E.; Lammeren, T. van; Abbel, R.J.; Groen, P.

    2014-01-01

    Inkjet printing is a promising approach towards the solution processing of electronic devices on an industrial scale. Of particular interest is the production of high-end applications such as large area OLEDs on flexible substrates. Roll-to-roll (R2R) processing technologies involving inkjet

  1. Non-aqueous pigmented inkjet inks

    NARCIS (Netherlands)

    HOOGMARTENS, IVAN; Bernaerts, Katrien; DEROOVER, GEERT

    2008-01-01

    A non-aqueous inkjet ink comprising C.I. Pigment Yellow 150 and a polymeric dispersant according to Formula (I): wherein, T represents hydrogen or a polymerization terminating group; Z represents the residue of polyethyleneimine having a number-average molecular weight of at least 100; A represents

  2. Radiation protection - quality and metrology

    International Nuclear Information System (INIS)

    Broutin, J.P.

    2002-01-01

    The radiation protection gathers three occupations: radiation protection agents; environment agents ( control and monitoring); metrology agents ( activities measurement and calibration). The quality and the metrology constitute a contribution in the technique competence and the guarantee of the service quality. This article, after a historical aspect of quality and metrology in France explains the advantages of such a policy. (N.C.)

  3. Optical vortex metrology: Are phase singularities foes or friends in optical metrology?

    DEFF Research Database (Denmark)

    Takeda, M.; Wang, W.; Hanson, Steen Grüner

    2008-01-01

    We raise an issue whether phase singularities are foes or friends in optical metrology, and give an answer by introducing the principle and applications of a new technique which we recently proposed for displacement and flow measurements. The technique is called optical vortex metrology because i...

  4. A Roadmap for Thermal Metrology

    Science.gov (United States)

    Bojkovski, J.; Fischer, J.; Machin, G.; Pavese, F.; Peruzzi, A.; Renaot, E.; Tegeler, E.

    2009-02-01

    A provisional roadmap for thermal metrology was developed in Spring 2006 as part of the EUROMET iMERA activity toward increasing impact from national investment in European metrology R&D. This consisted of two parts: one addressing the influence of thermal metrology on society, industry, and science, and the other specifying the requirements of enabling thermal metrology to serve future needs. The roadmap represents the shared vision of the EUROMET TC Therm committee as to how thermal metrology should develop to meet future requirements over the next 15 years. It is important to stress that these documents are a first attempt to roadmap the whole of thermal metrology and will certainly need regular review and revision to remain relevant and useful to the community they seek to serve. The first part of the roadmap, “Thermal metrology for society, industry, and science,” identifies the main social and economic triggers driving developments in thermal metrology—notably citizen safety and security, new production technologies, environment and global climate change, energy, and health. Stemming from these triggers, key targets are identified that require improved thermal measurements. The second part of the roadmap, “Enabling thermal metrology to serve future needs” identifies another set of triggers, like global trade and interoperability, future needs in transport, and the earth radiation budget. Stemming from these triggers, key targets are identified, such as improved realizations and dissemination of the SI unit the kelvin, anchoring the kelvin to the Boltzmann constant, k B, and calculating thermal properties from first principles. To facilitate these outcomes, the roadmap identifies the technical advances required in thermal measurement standards.

  5. An active pixels spectrometers for neutronic fields metrology

    International Nuclear Information System (INIS)

    Taforeau, Julien

    2013-01-01

    The fundamental metrology is responsible for the sustainability of the measurement systems and handles to supply the reference standards. Concerning the metrology of ionizing radiations and, in particular the neutron metrology, detectors standards are used to characterize reference fields, in terms of energy and fluence. The dosimeters or particle detectors are calibrated on these reference fields. This thesis presents the development of a neutron spectrometer neutron candidate to the status of primary standard for the characterization of neutron fields in the range from 5 to 20 MeV. The spectrometer uses the recoil proton telescope as detection principle; the CMOS technology, through three sensor positions, is taking advantage to realize the tracking of protons. A Si(Li) detector handles the measure of the residual proton energy. The device simulations, realized under MCNPX, allow to estimate its performances and to validate the neutron energy reconstruction. An essential step of characterization of the telescope elements and in particular of CMOS sensors is also proposed to guarantee the validity of posterior experimental measurements. The tests realized as well in mono-energy fields as in radionuclide source show the very good performances of the system. The quantification of uncertainties indicates an energy estimation with 1.5 % accuracy and a resolution of less than 6 %. The fluence measurement is performed with an uncertainty about 4 to 6%. (author)

  6. Experimental realization of the quantum metrological triangle experiment

    Energy Technology Data Exchange (ETDEWEB)

    Chenaud, B; Devoille, L; Steck, B; Feltin, N; Gonzalez-Cano, A; Poirier, W; Schopfer, F; Spengler, G; Djordjevic, S; Seron, O; Piquemal, F [Laboratoire national de metrologie et d' essais (LNE), Trappes (France); Lotkhov, S [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany)], E-mail: laurent.devoille@lne.fr

    2009-02-01

    The quantum metrological triangle experiment (QMTE) consists in realizing Ohm's law with Josephson (JE), quantum Hall (QHE) and single electron tunneling (SET) effects. The aim is to check the consistency of the link among the phenomenological constants K {sub J}, R{sub K} and Q {sub X} involved in these effects and theoretically expressed with the fundamental constants e and h. Such an experiment could be a contribution for a new definition of the systeme international d'unites (SI) base units. In the QMTE, a current generated by a SET device flows through a resistor calibrated against QHE standard and the voltage induced at its terminals is compared to the metrological voltage generated by a Josephson junctions array. At LNE, the studied SET devices are 3 junctions single electron pumps with on chip resistors. The quantized current generated by this pump is theoretically equal to ef (f is the frequency of the driving signals applied on the gates) and is measured through a cryogenic current comparator (CCC), which allows to amplify the low pumping current with a metrological accuracy. We will present and discuss the experimental set-up developed at LNE and the first results. In addition to the main aim of QMTE described above, these preliminary results are also a first step towards a determination of e.

  7. A new approach to stitching optical metrology data

    Science.gov (United States)

    King, Christopher W.

    The next generation of optical instruments, including telescopes and imaging apparatus, will generate an increased requirement for larger and more complex optical forms. A major limiting factor for the production of such optical components is the metrology: how do we measure such parts and with respect to what reference datum This metrology can be thought of as part of a complete cycle in the production of optical components and it is currently the most challenging aspect of production. This thesis investigates a new and complete approach to stitching optical metrology data to extend the effective aperture or, in future, the dynamic range of optical metrology instruments. A practical approach is used to build up a complete process for stitching on piano and spherical parts. The work forms a basis upon which a stitching system for aspheres might be developed in the future, which is inherently more complicated. Beginning with a historical perspective and a review of optical polishing and metrology, the work presented relates the commercially available metrology instruments to the stitching process developed. The stitching is then performed by a numerical optimization routine that seeks to join together overlapping sub-aperture measurements by consideration of the aberrations introduced by the measurement scenario, and by the overlap areas between measurements. The stitching is part of a larger project, the PPARC Optical Manipulation and Metrology project, and was to benefit from new wavefront sensing technology developed by a project partner, and to be used for the sub-aperture measurement. Difficult mathematical problems meant that such a wavefront sensor was not avail able for this work and a work-around was therefore developed using commercial instruments. The techniques developed can be adapted to work on commercial ma chine platforms, and in partuicular, the OMAM NPL/UCL swing-arm profilometer described in chapter 5, or the computer controlled polishing machines

  8. Inkjet-printing of indium tin oxide (ITO) films for transparent conducting electrodes

    International Nuclear Information System (INIS)

    Hwang, Myun-sung; Jeong, Bong-yong; Moon, Jooho; Chun, Sang-Ki; Kim, Jihoon

    2011-01-01

    Highlights: → Inkjet printing of ITO films. → Ag-grid was inkjet-printed in between two ITO layers in order to improve the electrical property. → Ag-grid inserted ITO films with 2 mm Ag-grid pitch showed the sheet resistance less than 3.4 Ω/sq and the transmittance higher than 82%. - Abstract: Indium-tin-oxide (ITO) films have been prepared by inkjet-printing using ITO nanoparticle inks. The electrical and optical properties of the ITO films were investigated in order to understand the effects of annealing temperatures under microwave. The decrease in the sheet resistance and resistivity of the inkjet-printed ITO films was observed as the annealing temperature increases. The film annealed at 400 deg. C showed the sheet resistance of 517 Ω/sq with the film thickness of ∼580 nm. The optical transmittance of the films remained constant regardless of their annealing temperatures. In order to further reduce the sheet resistance of the films, Ag-grid was printed in between two layers of inkjet-printed ITO. With 3 mm Ag-grid line-to-line pitch, the Ag-grid inserted ITO film has the sheet resistance of 3.4 Ω/sq and the transmittance of 84% after annealing at 200 deg. C under microwave.

  9. Deposition of PEDOT: PSS Nanoparticles as a Conductive Microlayer Anode in OLEDs Device by Desktop Inkjet Printer

    Directory of Open Access Journals (Sweden)

    S. Ummartyotin

    2011-01-01

    Full Text Available A simple microfabrication technique for delivering macromolecules and patterning microelectrode arrays using desktop inkjet printer was described. Aqueous solution of nanoparticle of poly (3,4-ethylenedioxythiophene (PEDOT doped with polystyrene sulfonic acid (PSS was prepared while its particle size, the surface tension, and the viscosity of the solution were adjusted to be suitable for deposition on a flexible cellulose nanocomposite substrate via inkjet printer. The statistical average of PEDOT: PSS particle size of 100 nm was observed. The microthickness, surface morphology, and electrical conductivity of the printed substrate were then characterized by profilometer, atomic force microscope (AFM, and four-point probe electrical measurement, respectively. The inkjet deposition of PEDOT: PSS was successfully carried out, whilst retained its transparency feature. Highly smooth surface (roughness ~23–44 nm was achieved.

  10. Inkjet Printing of Functional Materials for Optical and Photonic Applications

    Science.gov (United States)

    Alamán, Jorge; Alicante, Raquel; Peña, Jose Ignacio; Sánchez-Somolinos, Carlos

    2016-01-01

    Inkjet printing, traditionally used in graphics, has been widely investigated as a valuable tool in the preparation of functional surfaces and devices. This review focuses on the use of inkjet printing technology for the manufacturing of different optical elements and photonic devices. The presented overview mainly surveys work done in the fabrication of micro-optical components such as microlenses, waveguides and integrated lasers; the manufacturing of large area light emitting diodes displays, liquid crystal displays and solar cells; as well as the preparation of liquid crystal and colloidal crystal based photonic devices working as lasers or optical sensors. Special emphasis is placed on reviewing the materials employed as well as in the relevance of inkjet in the manufacturing of the different devices showing in each of the revised technologies, main achievements, applications and challenges. PMID:28774032

  11. Inkjet Printing of Functional Materials for Optical and Photonic Applications

    Directory of Open Access Journals (Sweden)

    Jorge Alamán

    2016-11-01

    Full Text Available Inkjet printing, traditionally used in graphics, has been widely investigated as a valuable tool in the preparation of functional surfaces and devices. This review focuses on the use of inkjet printing technology for the manufacturing of different optical elements and photonic devices. The presented overview mainly surveys work done in the fabrication of micro-optical components such as microlenses, waveguides and integrated lasers; the manufacturing of large area light emitting diodes displays, liquid crystal displays and solar cells; as well as the preparation of liquid crystal and colloidal crystal based photonic devices working as lasers or optical sensors. Special emphasis is placed on reviewing the materials employed as well as in the relevance of inkjet in the manufacturing of the different devices showing in each of the revised technologies, main achievements, applications and challenges.

  12. Metrology to enable high temperature erosion testing - A new european initiative

    DEFF Research Database (Denmark)

    Fry, A.T.; Gee, M.G.; Clausen, Sønnik

    2014-01-01

    is required. However, limitations in current measurement capability within this form of test prevent the advancement. A new European initiative, METROSION, on the development of high temperature solid particle erosion testing has a primary aim to develop this metrological framework. Several key parameters...... have been identified for measurement and control; these include temperature (of the sample, gas and particles), flow rate, size and shape of the erodent, angle of incidence of the particle stream and nozzle design. This paper outlines the aims and objectives of this new initiative. With a particular...

  13. Inkjet Printing of High Aspect Ratio Superparamagnetic SU-8 Microstructures with Preferential Magnetic Directions

    Directory of Open Access Journals (Sweden)

    Loïc Jacot-Descombes

    2014-08-01

    Full Text Available Structuring SU-8 based superparamagnetic polymer composite (SPMPC containing Fe3O4 nanoparticles by photolithography is limited in thickness due to light absorption by the nanoparticles. Hence, obtaining thicker structures requires alternative processing techniques. This paper presents a method based on inkjet printing and thermal curing for the fabrication of much thicker hemispherical microstructures of SPMPC. The microstructures are fabricated by inkjet printing the nanoparticle-doped SU-8 onto flat substrates functionalized to reduce the surface energy and thus the wetting. The thickness and the aspect ratio of the printed structures are further increased by printing the composite onto substrates with confinement pedestals. Fully crosslinked microstructures with a thickness up to 88.8 μm and edge angle of 112° ± 4° are obtained. Manipulation of the microstructures by an external field is enabled by creating lines of densely aggregated nanoparticles inside the composite. To this end, the printed microstructures are placed within an external magnetic field directly before crosslinking inducing the aggregation of dense Fe3O4 nanoparticle lines with in-plane and out-of-plane directions.

  14. A wearable tracking device inkjet-printed on textile

    KAUST Repository

    Krykpayev, Bauyrzhan

    2017-05-20

    Despite the abundance of localization applications, the tracking devices have never been truly realized in E-textiles. Standard printed circuit board (PCB)-based devices are obtrusive and rigid and hence not suitable for textile based implementations. An attractive option would be direct printing of circuit layout on the textile itself, negating the use of rigid PCB materials. However, high surface roughness and porosity of textiles prevents efficient and reliable printing of electronics on textile. In this work, by printing an interface layer on the textile first, a complete localization circuit integrated with an antenna has been inkjet-printed on the textile for the first time. Printed conductive traces were optimized in terms of conductivity and resolution by controlling the number of over-printed layers. The tracking device determines the wearer\\'s position using WiFi and this information can be displayed on any internet-enabled device, such as smart phone. The device is compact (55mm×45mm) and lightweight (22g with 500mAh battery) for people to comfortably wear it and can be easily concealed in case discretion is required. The device operates at 2.4GHz communicated up to a distance of 55m, with localization accuracy of up to 8m.

  15. Diagnostic yield of ink-jet prints from digital radiographs for the assessment of approximal carious lesions: ROC-analysis

    International Nuclear Information System (INIS)

    Schulze, Ralf K.W.; Grimm, Stefanie; Schulze, Dirk; Voss, Kai; Keller, Hans-Peter; Wedel, Matthias

    2011-01-01

    Aims: To investigate the diagnostic quality of different quality, individually calibrated ink-jet printers for the very challenging dental radiographic task of approximal carious lesion detection. Materials and methods: A test-pattern evaluating resolution, contrast and homogeneity of the ink-jet prints was developed. 50 standardized dental radiographs each showing two neighbouring teeth in natural contact were printed on glossy paper with calibrated, randomly selected ink-jet printers (Canon S520 and iP4500, Epson Stylus Photo R2400). Printing size equalled the viewing size on a 17'' cathode-ray-tube monitor daily quality-tested according to German regulations. The true caries status was determined from serial sectioning and microscopic evaluation. 16 experienced observers evaluated the radiographs on a five-point confidence scale on all prints plus the viewing monitor with respect to the visibility of a carious lesion. A non-parametric Receiver-Operating Characteristics (ROC-) analysis was performed explicitly designed for the evaluation of readings stemming from identical samples but different modality. Significant differences are expressed by a critical ratio z exceeding ±2. Diagnostic accuracy was determined by the area (Az) underneath the ROC-curves. Results: Average Az-values ranged between 0.62 (S520 and R2400) and 0.64 (monitor, iP4500), with no significant difference between modalities (P = 0.172). Neither significant (range mean z: -0.40 (S520) and -0.11 (iP4500)) nor clinically relevant differences were found between printers and viewing monitor. Conclusions: Our results for a challenging task in dental radiography indicate that calibrated, off-the-shelf ink-jet printers are able to reproduce (dental) radiographs at quality levels sufficient for radiographic diagnosis in a typical dental working environment.

  16. Diagnostic yield of ink-jet prints from digital radiographs for the assessment of approximal carious lesions: ROC-analysis

    Energy Technology Data Exchange (ETDEWEB)

    Schulze, Ralf K.W., E-mail: rschulze@mail.uni-mainz.de [Poliklinik fuer Zahnaerztliche Chirurgie, University Medical Center of the Johannes Gutenberg-University, Augustusplatz 2, D-55131 Mainz (Germany); Grimm, Stefanie, E-mail: StefanieGrimm@gmx.de [Poliklinik fuer Zahnaerztliche Chirurgie, University Medical Center of the Johannes Gutenberg-University, Augustusplatz 2, D-55131 Mainz (Germany); Schulze, Dirk, E-mail: dirk.schulze@uniklinik-freiburg.de [Klinik fuer Mund,- Kiefer- und Gesichtschirurgie, Sektion Roentgen, Universitaetsklinikum Freiburg, Hugstetterstr. 55, D-79106 Freiburg (Germany); Voss, Kai, E-mail: zahnarzt@drvoss.eu [Zahnaerztekammer Schleswig-Holstein, Vorstand fuer Praxisfuehrung, Westring 496, D- 24106 Kiel (Germany); Keller, Hans-Peter, E-mail: hans-peter.keller@din.de [NA Dental (NADENT) im DIN, Alexander-Wellendorff-Str. 2, D-75172 Pforzheim (Germany); Wedel, Matthias, E-mail: matthias.wedel@siemens.com [Siemens AG, Medical Solutions, Postfach 32 60, D-91050 Erlangen (Germany)

    2011-08-15

    Aims: To investigate the diagnostic quality of different quality, individually calibrated ink-jet printers for the very challenging dental radiographic task of approximal carious lesion detection. Materials and methods: A test-pattern evaluating resolution, contrast and homogeneity of the ink-jet prints was developed. 50 standardized dental radiographs each showing two neighbouring teeth in natural contact were printed on glossy paper with calibrated, randomly selected ink-jet printers (Canon S520 and iP4500, Epson Stylus Photo R2400). Printing size equalled the viewing size on a 17'' cathode-ray-tube monitor daily quality-tested according to German regulations. The true caries status was determined from serial sectioning and microscopic evaluation. 16 experienced observers evaluated the radiographs on a five-point confidence scale on all prints plus the viewing monitor with respect to the visibility of a carious lesion. A non-parametric Receiver-Operating Characteristics (ROC-) analysis was performed explicitly designed for the evaluation of readings stemming from identical samples but different modality. Significant differences are expressed by a critical ratio z exceeding {+-}2. Diagnostic accuracy was determined by the area (Az) underneath the ROC-curves. Results: Average Az-values ranged between 0.62 (S520 and R2400) and 0.64 (monitor, iP4500), with no significant difference between modalities (P = 0.172). Neither significant (range mean z: -0.40 (S520) and -0.11 (iP4500)) nor clinically relevant differences were found between printers and viewing monitor. Conclusions: Our results for a challenging task in dental radiography indicate that calibrated, off-the-shelf ink-jet printers are able to reproduce (dental) radiographs at quality levels sufficient for radiographic diagnosis in a typical dental working environment.

  17. An interferometer for high-resolution optical surveillance from GEO - internal metrology breadboard

    Science.gov (United States)

    Bonino, L.; Bresciani, F.; Piasini, G.; Pisani, M.; Cabral, A.; Rebordão, J.; Musso, F.

    2017-11-01

    This paper describes the internal metrology breadboard development activities performed in the frame of the EUCLID CEPA 9 RTP 9.9 "High Resolution Optical Satellite Sensor" project of the WEAO Research Cell by AAS-I and INETI. The Michelson Interferometer Testbed demonstrates the possibility of achieving a cophasing condition between two arms of the optical interferometer starting from a large initial white light Optical Path Difference (OPD) unbalance and of maintaining the fringe pattern stabilized in presence of disturbances.

  18. Measurement configuration optimization for dynamic metrology using Stokes polarimetry

    Science.gov (United States)

    Liu, Jiamin; Zhang, Chuanwei; Zhong, Zhicheng; Gu, Honggang; Chen, Xiuguo; Jiang, Hao; Liu, Shiyuan

    2018-05-01

    As dynamic loading experiments such as a shock compression test are usually characterized by short duration, unrepeatability and high costs, high temporal resolution and precise accuracy of the measurements is required. Due to high temporal resolution up to a ten-nanosecond-scale, a Stokes polarimeter with six parallel channels has been developed to capture such instantaneous changes in optical properties in this paper. Since the measurement accuracy heavily depends on the configuration of the probing beam incident angle and the polarizer azimuth angle, it is important to select an optimal combination from the numerous options. In this paper, a systematic error propagation-based measurement configuration optimization method corresponding to the Stokes polarimeter was proposed. The maximal Frobenius norm of the combinatorial matrix of the configuration error propagating matrix and the intrinsic error propagating matrix is introduced to assess the measurement accuracy. The optimal configuration for thickness measurement of a SiO2 thin film deposited on a Si substrate has been achieved by minimizing the merit function. Simulation and experimental results show a good agreement between the optimal measurement configuration achieved experimentally using the polarimeter and the theoretical prediction. In particular, the experimental result shows that the relative error in the thickness measurement can be reduced from 6% to 1% by using the optimal polarizer azimuth angle when the incident angle is 45°. Furthermore, the optimal configuration for the dynamic metrology of a nickel foil under quasi-dynamic loading is investigated using the proposed optimization method.

  19. EQ-10 electrodeless Z-pinch EUV source for metrology applications

    Science.gov (United States)

    Gustafson, Deborah; Horne, Stephen F.; Partlow, Matthew J.; Besen, Matthew M.; Smith, Donald K.; Blackborow, Paul A.

    2011-11-01

    With EUV Lithography systems shipping, the requirements for highly reliable EUV sources for mask inspection and resist outgassing are becoming better defined, and more urgent. The sources needed for metrology applications are very different than that needed for lithography; brightness (not power) is the key requirement. Suppliers for HVM EUV sources have all resources working on high power and have not entered the smaller market for metrology. Energetiq Technology has been shipping the EQ-10 Electrodeless Z-pinchTM light source since 19951. The source is currently being used for metrology, mask inspection, and resist development2-4. These applications require especially stable performance in both output power and plasma size and position. Over the last 6 years Energetiq has made many source modifications which have included better thermal management to increase the brightness and power of the source. We now have introduced a new source that will meet requirements of some of the mask metrology first generation tools; this source will be reviewed.

  20. Inkjet printing of silver citrate conductive ink on PET substrate

    International Nuclear Information System (INIS)

    Nie Xiaolei; Wang Hong; Zou Jing

    2012-01-01

    Highlights: ► A direct synthesis method of silver conductive film on PET substrate was presented. ► A stable particle-free conductive ink was prepared. ► Formation of silver-amine complex reduced the thermal decomposition temperature. ► Conductive patterns for flexible electronics were fabricated by inkjet printing. ► Silver film on PET substrate possessed highest adhesion rating even without polymer. - Abstract: Direct synthesis of silver conductive film on PET substrate by inkjet printing silver citrate conductive ink was presented in this paper. This kind of conductive ink contained silver citrate as silver precursor, 1,2-diaminopropane as complex agent dissolving the silver salt and methanol and isopropanol as a media adjusting the viscosity and surface tension. The formation of silver-amine complex reduced the decomposition temperature from 180 °C to 135 °C, thus the ink could be cured at relatively low temperature. The film reached the lowest resistivity of 17 μΩ cm after cured at 150 °C for 50 min, 3.1 μΩ cm at 230 °C and possessed high reflection and excellent adhesive property. Electrical conductivity, surface morphology and composition were investigated by four-point probe method, scanning electron microscope (SEM) and energy dispersive X-ray spectroscopy (EDS). It is demonstrated how the cured condition affects the silver film. Moreover, radio-frequency identification (RFID) antenna was fabricated by inkjet printing, which opens up routes for the flexible electronics fabrication.

  1. Crystal morphology variation in inkjet-printed organic materials

    Science.gov (United States)

    Ihnen, Andrew C.; Petrock, Anne M.; Chou, Tsengming; Samuels, Phillip J.; Fuchs, Brian E.; Lee, Woo Y.

    2011-11-01

    The recent commercialization of piezoelectric-based drop-on-demand inkjet printers provides an additive processing platform for producing and micropatterning organic crystal structures. We report an inkjet printing approach where macro- and nano-scale energetic composites composed of cyclotrimethylenetrinitramine (RDX) crystals dispersed in a cellulose acetate butyrate (CAB) matrix are produced by direct phase transformation from organic solvent-based all-liquid inks. The characterization of printed composites illustrates distinct morphological changes dependent on ink deposition parameters. When 10 pL ink droplets rapidly formed a liquid pool, a coffee ring structure containing dendritic RDX crystals was produced. By increasing the substrate temperature, and consequently the evaporation rate of the pooled ink, the coffee ring structure was mitigated and shorter dendrites from up to ∼1 to 0.2 mm with closer arm spacing from ∼15 to 1 μm were produced. When the nucleation and growth of RDX and CAB were confined within the evaporating droplets, a granular structure containing nanoscale RDX crystals was produced. The results suggest that evaporation rate and microfluidic droplet confinement can effectively be used to tailor the morphology of inkjet-printed energetic composites.

  2. Internet of "printed" Things: low-cost fabrication of autonomous sensing nodes by inkjet printing

    Science.gov (United States)

    Kawahara, Yoshihiro

    2014-11-01

    "What if electronics devices are printed using an inkjet printer even at home?" "What if those devices no longer need a battery?" I will introduce two enabling technologies for the Internet of Things concept. 1. Instant Inkjet Circuits: A low cost, fast and accessible technology to support the rapid prototyping of electronic devices. We demonstrated that "sintering-free" silver nano particle ink with a commodity inkjet printer can be used to fabricate printed circuit board and high-frequency applications such as antennas and sensors. The technology is now commercialized by AgIC, Inc. 2. Wireless Power: Although large amounts of data can be exchanged over a wireless communication link, mobile devices are still tethered by power cables. We are trying to solve this problem by two different approaches: energy harvesting. A simple circuitry comprised of diodes and capacitor can convert ambient radio signals into DC current. Our research revealed the signals from TV tower located 6.5km apart could be used to feed 100 microwatts to power microcontrollers.

  3. Inkjet printed Cu(In,Ga)S2 nanoparticles for low-cost solar cells

    KAUST Repository

    Barbe, Jeremy; Eid, Jessica; Ahlswede, Erik; Spiering, Stefanie; Powalla, Michael; Agrawal, Rakesh; Del Gobbo, Silvano

    2016-01-01

    Cu(In,Ga)Se2 (CIGSe) thin film solar cells were fabricated by direct inkjet printing of Cu(In,Ga)S2 (CIGS) nanoparticles followed by rapid thermal annealing under selenium vapor. Inkjet printing is a low-cost, low-waste, and flexible patterning

  4. Fully inkjet printed wide band cantor fractal antenna for RF energy harvesting application

    KAUST Repository

    Bakytbekov, Azamat; Maza, Armando Rodriguez; Nafe, Mahmoud; Shamim, Atif

    2017-01-01

    and an omnidirectional radiation pattern. In this work, a novel Cantor fractal antenna has been designed which fulfills the above mentioned performance requirements. Antenna has been realized through a combination of 3D inkjet printing of plastic substrate and 2D inkjet

  5. Advanced metrology by offline SEM data processing

    Science.gov (United States)

    Lakcher, Amine; Schneider, Loïc.; Le-Gratiet, Bertrand; Ducoté, Julien; Farys, Vincent; Besacier, Maxime

    2017-06-01

    Today's technology nodes contain more and more complex designs bringing increasing challenges to chip manufacturing process steps. It is necessary to have an efficient metrology to assess process variability of these complex patterns and thus extract relevant data to generate process aware design rules and to improve OPC models. Today process variability is mostly addressed through the analysis of in-line monitoring features which are often designed to support robust measurements and as a consequence are not always very representative of critical design rules. CD-SEM is the main CD metrology technique used in chip manufacturing process but it is challenged when it comes to measure metrics like tip to tip, tip to line, areas or necking in high quantity and with robustness. CD-SEM images contain a lot of information that is not always used in metrology. Suppliers have provided tools that allow engineers to extract the SEM contours of their features and to convert them into a GDS. Contours can be seen as the signature of the shape as it contains all the dimensional data. Thus the methodology is to use the CD-SEM to take high quality images then generate SEM contours and create a data base out of them. Contours are used to feed an offline metrology tool that will process them to extract different metrics. It was shown in two previous papers that it is possible to perform complex measurements on hotspots at different process steps (lithography, etch, copper CMP) by using SEM contours with an in-house offline metrology tool. In the current paper, the methodology presented previously will be expanded to improve its robustness and combined with the use of phylogeny to classify the SEM images according to their geometrical proximities.

  6. Improving Metrological Reliability of Information-Measuring Systems Using Mathematical Modeling of Their Metrological Characteristics

    Science.gov (United States)

    Kurnosov, R. Yu; Chernyshova, T. I.; Chernyshov, V. N.

    2018-05-01

    The algorithms for improving the metrological reliability of analogue blocks of measuring channels and information-measuring systems are developed. The proposed algorithms ensure the optimum values of their metrological reliability indices for a given analogue circuit block solution.

  7. Addressing FinFET metrology challenges in 1X node using tilt-beam CD-SEM

    Science.gov (United States)

    Zhang, Xiaoxiao; Zhou, Hua; Ge, Zhenhua; Vaid, Alok; Konduparthi, Deepasree; Osorio, Carmen; Ventola, Stefano; Meir, Roi; Shoval, Ori; Kris, Roman; Adan, Ofer; Bar-Zvi, Maayan

    2014-04-01

    At 1X node, 3D FinFETS raise a number of new metrology challenges. Gate height and fin height are two of the most important parameters for process control. At present there is a metrology gap in inline in-die measurement of these parameters. In order to fill this metrology gap, in-column beam tilt has been developed and implemented on Applied Materials V4i+ top-down CD-SEM for height measurement. A low tilt (5°) beam and a high tilt (14°) beam have been calibrated to obtain two sets of images providing measurement of sidewall edge width to calculate height in the host. Evaluations are done with applications in both gate height and fin height. TEM correlation with R2 being 0.89 and precision of 0.81nm have been achieved on various in-die features in gate height application. Fin height measurement shows less accuracy (R2 being 0.77) and precision (1.49 nm) due to challenges brought by fin geometry, yet still promising as first attempt. Sensitivity to DOE offset, die-to-die and in-die variation is demonstrated in both gate height and fin height. Process defect is successfully captured from inline wafers with gate height measurement implemented in production. This is the first successful demonstration of inline in-die gate height measurement for 14nm FinFET process control.

  8. A 24 GHz CMOS oscillator transmitter with an inkjet printed on-chip antenna

    KAUST Repository

    Ghaffar, Farhan A.; Yang, Shuai; Cheema, Hammad M.; Shamim, Atif

    2016-01-01

    implemented off chip or the designers work with the inefficient passives. This problem can be alleviated by using inkjet printing as a post process on CMOS chip. In this work, we demonstrate inkjet printing of a patterned polymer (SU8) layer on a 24 GHz

  9. Reactive inkjet printing and functional inks : a versatile route to new programmed materials

    NARCIS (Netherlands)

    Delaney, J.T.

    2010-01-01

    Starting as an ink dispensing tool for documents and images, inkjet printing has emerged as an important instrument for delivering reactive fluids, into a means for creating new, programmed materials. Inkjet is a processing technology with some very unique capabilities, which allows the handling of

  10. Observations on the Performance of X-Ray Computed Tomography for Dimensional Metrology

    Science.gov (United States)

    Corcoran, H. C.; Brown, S. B.; Robson, S.; Speller, R. D.; McCarthy, M. B.

    2016-06-01

    X-ray computed tomography (XCT) is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  11. OBSERVATIONS ON THE PERFORMANCE OF X-RAY COMPUTED TOMOGRAPHY FOR DIMENSIONAL METROLOGY

    Directory of Open Access Journals (Sweden)

    H. C. Corcoran

    2016-06-01

    Full Text Available X-ray computed tomography (XCT is a rising technology within many industries and sectors with a demand for dimensional metrology, defect, void analysis and reverse engineering. There are many variables that can affect the dimensional metrology of objects imaged using XCT, this paper focusses on the effects of beam hardening due to the orientation of the workpiece, in this case a holeplate, and the volume of material the X-rays travel through. Measurements discussed include unidirectional and bidirectional dimensions, radii of cylinders, fit point deviations of the fitted shapes and cylindricity. Results indicate that accuracy and precision of these dimensional measurements are affected in varying amounts, both by the amount of material the X-rays have travelled through and the orientation of the object.

  12. Direct Fabrication of Inkjet-Printed Dielectric Film for Metal-Insulator-Metal Capacitors

    Science.gov (United States)

    Cho, Cheng-Lin; Kao, Hsuan-ling; Wu, Yung-Hsien; Chang, Li-Chun; Cheng, Chun-Hu

    2018-01-01

    In this study, an inkjet-printed dielectric film that used a polymer-based SU-8 ink was fabricated for use in a metal-insulator-metal (MIM) capacitor. Thermal treatment of the inkjet-printed SU-8 polymer film affected its surface morphology, chemical structure, and surface wettability. A 20-min soft-bake at 60°C was applied to eliminate inkjet-printed bubbles and ripples. The ultraviolet-exposed SU-8 polymer film was crosslinked at temperatures between 120°C and 220°C and became disordered at 270°C, demonstrated using Fourier-transform infrared spectroscopy. A maximum SU-8 polymer film hard-bake temperature of 120°C was identified, and a printing process was subsequently employed because the appropriate water contact angle of the printed film was 79°. Under the appropriate inkjet printing conditions, the two-transmission-line method was used to extract the dielectric and electrical properties of the SU-8 polymer film, and the electrical behavior of the fabricated MIM capacitor was also characterized.

  13. Inkjet printing of polyurethane colloidal suspensions

    NARCIS (Netherlands)

    Berg, van den A.M.J.; Smith, P.J.; Perelaer, J.; Schrof, W.; Koltzenburg, S.; Schubert, U.S.

    2007-01-01

    An aqueous 40 wt% dispersion of polyurethane has been successfully printed at room temperature using a piezoelectric inkjet printer. Simple layered structures, as well as dots, were made and subsequently analyzed using white-light interferometry. A single layer was found to have a structure height

  14. Inkjet printing technology for OPV applications

    NARCIS (Netherlands)

    Ren, M.; Sweelssen, J.; Grossiord, N.; Gorter, H.; Eggenhuisen, T.M.; Andriessen, H.A.J.M.

    2012-01-01

    Large-scale production of organic photovoltaics (OPVs) at low cost is, still, a future concept thought to promote the market share of solar energy. Working towards the roll-to-roll production of OPVs, different compatible deposition techniques are investigated. Inkjet printing is a promising

  15. Tailoring controlled-release oral dosage forms by combining inkjet and flexographic printing techniques

    DEFF Research Database (Denmark)

    Genina, Natalja; Fors, Daniela; Vakili, Hossein

    2012-01-01

    substrates: A (uncoated woodfree paper), B (triple-coated inkjet paper) and C (double-coated sheet fed offset paper) were used as porous model carriers for drug delivery. Active pharmaceutical ingredient (API) containing solutions were printed onto 1 cm × 1 cm substrate areas using an inkjet printer...

  16. Template Synthesis of Nanostructured Polymeric Membranes by Inkjet Printing.

    Science.gov (United States)

    Gao, Peng; Hunter, Aaron; Benavides, Sherwood; Summe, Mark J; Gao, Feng; Phillip, William A

    2016-02-10

    The fabrication of functional nanomaterials with complex structures has been serving great scientific and practical interests, but current fabrication and patterning methods are generally costly and laborious. Here, we introduce a versatile, reliable, and rapid method for fabricating nanostructured polymeric materials. The novel method is based on a combination of inkjet printing and template synthesis, and its utility and advantages in the fabrication of polymeric nanomaterials is demonstrated through three examples: the generation of polymeric nanotubes, nanowires, and thin films. Layer-by-layer-assembled nanotubes can be synthesized in a polycarbonate track-etched (PCTE) membrane by printing poly(allylamine hydrochloride) and poly(styrenesulfonate) sequentially. This sequential deposition of polyelectrolyte ink enables control over the surface charge within the nanotubes. By a simple change of the printing conditions, polymeric nanotubes or nanowires were prepared by printing poly(vinyl alcohol) in a PCTE template. In this case, the high-throughput nature of the method enables functional nanomaterials to be generated in under 3 min. Furthermore, we demonstrate that inkjet printing paired with template synthesis can be used to generate patterns comprised of chemically distinct nanomaterials. Thin polymeric films of layer-by-layer-assembled poly(allylamine hydrochloride) and poly(styrenesulfonate) are printed on a PCTE membrane. Track-etched membranes covered with the deposited thin films reject ions and can potentially be utilized as nanofiltration membranes. When the fabrication of these different classes of nanostructured materials is demonstrated, the advantages of pairing template synthesis with inkjet printing, which include fast and reliable deposition, judicious use of the deposited materials, and the ability to design chemically patterned surfaces, are highlighted.

  17. Improving the printing quality of an inkjet printhead using MIMO model predictive control

    NARCIS (Netherlands)

    Ezzeldin Mahdy Abdelmonem, M.; Weiland, S.; Bosch, van den P.P.J.

    2011-01-01

    Drop-on-Demand inkjet printing is considered one of the most promising printing technologies that offers several advantages including high speed, quiet operation and compatibility with a variety of substrates. That makes it an important manufacturing technology serving a wide variety of markets.

  18. An inkjet-printed UWB antenna on paper substrate utilizing a novel fractal matching network

    KAUST Repository

    Cook, Benjamin Stassen; Shamim, Atif

    2012-01-01

    In this work, the smallest reported inkjet-printed UWB antenna is proposed that utilizes a fractal matching network to increase the performance of a UWB microstrip monopole. The antenna is inkjet-printed on a paper substrate to demonstrate

  19. Metal nanoparticle direct inkjet printing for low-temperature 3D micro metal structure fabrication

    International Nuclear Information System (INIS)

    Ko, Seung Hwan; Nam, Koo Hyun; Chung, Jaewon; Hotz, Nico; Grigoropoulos, Costas P

    2010-01-01

    Inkjet printing of functional materials is a key technology toward ultra-low-cost, large-area electronics. We demonstrate low-temperature 3D micro metal structure fabrication by direct inkjet printing of metal nanoparticles (NPs) as a versatile, direct 3D metal structuring approach representing an alternative to conventional vacuum deposition and photolithographic methods. Metal NP ink was inkjet-printed to exploit the large melting temperature drop of the nanomaterial and the ease of the NP ink formulation. Parametric studies on the basic conditions for stable 3D inkjet printing of NP ink were carried out. Furthermore, diverse 3D metal microstructures, including micro metal pillar arrays, helices, zigzag and micro bridges were demonstrated and electrical characterization was performed. Since the process requires low temperature, it carries substantial potential for fabrication of electronics on a plastic substrate

  20. The fabrication and characterization of inkjet-printed polyaniline nanoparticle films

    International Nuclear Information System (INIS)

    Morrin, Aoife; Ngamna, Orawan; O'Malley, Eimer; Kent, Nigel; Moulton, Simon E.; Wallace, Gordon G.; Smyth, Malcolm R.; Killard, Anthony J.

    2008-01-01

    This paper reports on the fabrication and characterization of electrodes modified with conducting polymer nanoparticle films, produced via inkjet printing. The polyaniline nanoparticle formulations were deposited via a desktop inkjet printer onto screen-printed carbon-paste electrodes (SPE), polyethylene terephthalate (PET) and gold-PET and their morphology studied at a range of length scales using profilometry, scanning electron microscopy and atomic force microscopy. The deposited films were found to form continuous polymer films depending upon film thickness, which was in turn dependent on the number of prints performed. The inkjet-printed films exhibited a smooth morphology on the SPEs at the micro-dimensional scale, as a result of the aggradation and coalescing of the nanoparticles upon deposition. The resulting modified electrodes were both conductive and electroactive, possessing good reversible polyaniline electrochemistry. Such a combination of materials and processing offers the potential of producing a range of low cost, solid state devices such as sensors, actuators and electrochromic devices

  1. A Century of Acoustic Metrology

    DEFF Research Database (Denmark)

    Rasmussen, Knud

    1998-01-01

    The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect.......The development in acoustic measurement technique over the last century is reviewed with special emphasis on the metrological aspect....

  2. A criterion of the performance of thermometric systems of high metrological reliability

    International Nuclear Information System (INIS)

    Sal'nikov, N.L.; Filimonov, E.V.

    1995-01-01

    Monitoring temperature regimes is an important part of ensuring the operational safety of a nuclear power plant. Therefore, high standards are imposed upon the reliability of the primary information on the heat field of the object obtained from different sensors, and it is urgent to develop methods of evaluating the metrological reliability of these sensors. THe main sources of thermometric information at nuclear power plants are contact temperature sensors, the most widely used of these being thermoelectric converters (TEC) and thermal resistance converters (TRC)

  3. Comparison of barium titanate thin films prepared by inkjet printing and spin coating

    Directory of Open Access Journals (Sweden)

    Jelena Vukmirović

    2015-09-01

    Full Text Available In this paper, barium titanate films were prepared by different deposition techniques (spin coating, office Epson inkjet printer and commercial Dimatix inkjet printer. As inkjet technique requires special rheological properties of inks the first part of the study deals with the preparation of inks, whereas the second part examines and compares structural characteristics of the deposited films. Inks were synthesized by sol-gel method and parameters such as viscosity, particle size and surface tension were measured. Deposited films were examined by optical and scanning electron microscopy, XRD analysis and Raman spectroscopy. The findings consider advantages and disadvantages of the particular deposition techniques.

  4. Inkjet printing of silver citrate conductive ink on PET substrate

    Energy Technology Data Exchange (ETDEWEB)

    Nie Xiaolei [Key Laboratory for Green Chemical Technology of Ministry of Education, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072 (China); Wang Hong, E-mail: hongwang@tju.edu.cn [Key Laboratory for Green Chemical Technology of Ministry of Education, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072 (China); Zou Jing [Key Laboratory for Green Chemical Technology of Ministry of Education, School of Chemical Engineering and Technology, Tianjin University, Tianjin 300072 (China)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer A direct synthesis method of silver conductive film on PET substrate was presented. Black-Right-Pointing-Pointer A stable particle-free conductive ink was prepared. Black-Right-Pointing-Pointer Formation of silver-amine complex reduced the thermal decomposition temperature. Black-Right-Pointing-Pointer Conductive patterns for flexible electronics were fabricated by inkjet printing. Black-Right-Pointing-Pointer Silver film on PET substrate possessed highest adhesion rating even without polymer. - Abstract: Direct synthesis of silver conductive film on PET substrate by inkjet printing silver citrate conductive ink was presented in this paper. This kind of conductive ink contained silver citrate as silver precursor, 1,2-diaminopropane as complex agent dissolving the silver salt and methanol and isopropanol as a media adjusting the viscosity and surface tension. The formation of silver-amine complex reduced the decomposition temperature from 180 Degree-Sign C to 135 Degree-Sign C, thus the ink could be cured at relatively low temperature. The film reached the lowest resistivity of 17 {mu}{Omega} cm after cured at 150 Degree-Sign C for 50 min, 3.1 {mu}{Omega} cm at 230 Degree-Sign C and possessed high reflection and excellent adhesive property. Electrical conductivity, surface morphology and composition were investigated by four-point probe method, scanning electron microscope (SEM) and energy dispersive X-ray spectroscopy (EDS). It is demonstrated how the cured condition affects the silver film. Moreover, radio-frequency identification (RFID) antenna was fabricated by inkjet printing, which opens up routes for the flexible electronics fabrication.

  5. Fabrication of conductive copper patterns using reactive inkjet printing followed by two-step electroless plating

    International Nuclear Information System (INIS)

    Chen, Jin-Ju; Lin, Guo-Qiang; Wang, Yan; Sowade, Enrico; Baumann, Reinhard R.; Feng, Zhe-Sheng

    2017-01-01

    Highlights: • Copper patterns were fabricated by reactive inkjet printing and two-step electroless plating. • Cu particles produced via reactive inkjet printing act as catalyst for copper electroless plating. • High conductivity can be obtained without many printing passes and high temperature sintering. • This approach can largely avoid nozzle-clogging problems. • This approach presents a potential way in the flexible printed electronics with simple process. - Abstract: A simple and low-cost process for fabricating conductive copper patterns on flexible polyimide substrates was demonstrated. Copper catalyst patterns were first produced on polyimide substrates using reactive inkjet printing of Cu (II)-bearing ink and reducing ink, and then the conductive copper patterns were generated after a two-step electroless plating procedure. The copper layers were characterized by optical microscope, SEM, XRD and EDS. Homogeneously distributed copper nanoclusters were found in the catalyst patterns. A thin copper layer with uniform particle size was formed after first-step electroless plating, and a thick copper layer of about 14.3 μm with closely packed structure and fine crystallinity was produced after second-step electroless plating. This resulting copper layer had good solderability, reliable adhesion strength and a low resistivity of 5.68 μΩ cm without any sintering process.

  6. Fabrication of conductive copper patterns using reactive inkjet printing followed by two-step electroless plating

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Jin-Ju; Lin, Guo-Qiang; Wang, Yan [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, 610054 (China); Sowade, Enrico; Baumann, Reinhard R. [Digital Printing and Imaging Technology, Technische Universität Chemnitz, Chemnitz, 09126 (Germany); Feng, Zhe-Sheng, E-mail: fzs@uestc.edu.cn [State Key Laboratory of Electronic Thin Films and Integrated Devices, University of Electronic Science and Technology of China, Chengdu, 610054 (China)

    2017-02-28

    Highlights: • Copper patterns were fabricated by reactive inkjet printing and two-step electroless plating. • Cu particles produced via reactive inkjet printing act as catalyst for copper electroless plating. • High conductivity can be obtained without many printing passes and high temperature sintering. • This approach can largely avoid nozzle-clogging problems. • This approach presents a potential way in the flexible printed electronics with simple process. - Abstract: A simple and low-cost process for fabricating conductive copper patterns on flexible polyimide substrates was demonstrated. Copper catalyst patterns were first produced on polyimide substrates using reactive inkjet printing of Cu (II)-bearing ink and reducing ink, and then the conductive copper patterns were generated after a two-step electroless plating procedure. The copper layers were characterized by optical microscope, SEM, XRD and EDS. Homogeneously distributed copper nanoclusters were found in the catalyst patterns. A thin copper layer with uniform particle size was formed after first-step electroless plating, and a thick copper layer of about 14.3 μm with closely packed structure and fine crystallinity was produced after second-step electroless plating. This resulting copper layer had good solderability, reliable adhesion strength and a low resistivity of 5.68 μΩ cm without any sintering process.

  7. Inkjet Printing and Ebeam Sintering Approach to Fabrication of GHz Meta material Absorber

    International Nuclear Information System (INIS)

    Park, J. W.; Kim, Y. J.; Lee, Y. P.; Park, I. S.; Kang, J. H.; Lim, Jongwoo; Kim, Jonghee; Kim, Hyotae

    2013-01-01

    Metamaterial absorber structure of GHz range is fabricated by inkjet printing and e-beam sintering. The inkjet printing is of interest, which give the easier and quicker way to fabricate large scale metamaterials than the approaches by the lithographic process, Furthermore it is more suitable to make flexible electronics, which has yet been great technologic trend. Usual post process of inkjet printing is the sintering to ensure solvent-free from the printed pattern and to its better conductivity comparable to the ordinary vacuum deposition process. E-beam irradiation sintering of the pattern is promising because it is inherently local and low temperature process. The main procedure of metamaterials fabrication is printing a resonator structure with lossy metal such as Ag or Au. We designed two types of Ag based multiband absorber which are double and quadruple bands. Those adsorber patterns are printed on polyimide substrate with commercially available Ag ink (DGP 40LT-15C, 25C). The absorbance performance of fabricated metamaterials is characterized by Hewlett-Packard E836B network analyzer in microwave anechoic chamber. The conductivity enhancement after e-beam or other sintering process is checked by measuring sheet resistance. The absorbance of the fabricated metamaterial is measured around 60% for the types designed. The absorbance is not high enough to practical use, which is attributed to low conductivity of the printed pattern. The spectrum shows, however, quite interesting large broadness, which come in the interval between each pack absorbance, witch needs further study. Though the extent of its effectiveness of inkjet printing in metamaterials needs more experimental studies, the demonstrated capability of quick and large area fabrication to flexible substrate is excellent

  8. La Metrología Óptica y sus Aplicaciones La Metrología Óptica y sus Aplicaciones

    OpenAIRE

    Daniel Malacara Hernández

    2012-01-01

    En este trabajo se presenta una introducción al campo de la metrología óptica y de su herramienta principal que es la interferometría. También se presenta un panorama de los diferentes métodos empleados en metrología describiendo con especial detalle los avances más recientes en este campo. In this work an introduction to optical metrology is presented with a brief description of its main tool which is interferometry. Also, a survey of the main different methods used in optical metrology is ...

  9. Inkjet Printed Radio Frequency Passive Components

    KAUST Repository

    McKerricher, Garret

    2015-01-01

    -resonant frequencies around 1GHz. These fully printed devices have quality factors less than 10. Finally, 3D inkjet-printed UV-cured material is utilized with a novel silver organo-complex ink at 80oC providing conductivity of 1x107 S/m. A lumped element filter

  10. An inkjet printed stripe-type color filter of liquid crystal display

    International Nuclear Information System (INIS)

    Chen, Chin-Tai; Wu, Kuo-Hua; Shieh, Fanny; Lu, Chun-Fu

    2010-01-01

    In this paper, we propose a comprehensive concept and new design of a drop-on-demand (DOD) inkjet printing process for fabricating color filter (CF) layers of liquid crystal displays (LCDs) onto the structured surfaces of flat substrates, composed of 'physical sidewalls' for aligning and controlling the liquid morphology. Several fundamental guidelines of the design have been addressed in current inkjet-printing techniques. Using a droplet generator (printhead) of the deposition system, the color-ink drops can be jetted and placed over the specific domains, where the sidewalls align the flow merged from a stream of droplets and fulfill the coverage of the defined areas, in which the geometrical relations correlating the droplets and sidewalls are explicitly expressed in the study. According to the results of the simulation and analysis, the proposed sidewalls, acting as the physical barriers, can control the liquid morphology through the simple geometric factors such as sidewall widths, heights and contact angles. The experimental results showed that the solid RGB color layers were self-assembled from the liquid droplets and formed with the uniform thickness, except for the neighborhood of the sidewalls. It indicated that the sidewalls serving as 'physical barriers' had a remarkable effect in confining and self-aligning the droplet flow within the desirable regions. This inkjet-printing method would alternatively offer one cost-effective and high-flexibility method for the production of the versatile LCD CF, thus being particularly beneficial for large-area printing and flexible substrates.

  11. A Software Module for High-Accuracy Calibration of Rings and Cylinders on CMM using Multi-Orientation Techniques (Multi-Step and Reversal methods)

    DEFF Research Database (Denmark)

    Tosello, Guido; De Chiffre, Leonardo

    . The Centre for Geometrical Metrology (CGM) at the Technical University of Denmark takes care of free form measurements, in collaboration with DIMEG, University of Padova, Italy. The present report describes a software module, ROUNDCAL, to be used for high-accuracy calibration of rings and cylinders....... The purpose of the software is to calculate the form error and the least square circle of rings and cylinders by mean of average of pontwise measuring results becoming from so-called multi-orientation techniques (both reversal and multi-step methods) in order to eliminate systematic errors of CMM ....

  12. Polymer light-emitting diodes with thermal inkjet printed poly(3,4-ethylenedioxythiophene):polystyrenesulfonate as transparent anode

    International Nuclear Information System (INIS)

    Chou, W.-Y.; Lin, S.-T.; Cheng, H.-L.; Chang, M.-H.; Guo, H.-R.; Wen, T.-C.; Mai, Y.-S.; Horng, J.-B.; Kuo, C.-W.; Tang, F.-C.; Liao, C.-C.; Chiu, C.-L.

    2007-01-01

    Conjugated poly(3,4-ethylenedioxythiophene):polystyrenesulfonate (PEDOT:PSS) films, prepared by inkjet-printing and spin-coating methods, have been studied using atomic force microscopy, micro-Raman spectroscopy, photoelectron spectroscopy, and four-point probe conductivity measurements. Electrical conductivity of the inkjet-printed film was enhanced by a factor of around 10 when compared to a spin-coating film. The improved conductivity was attributed to longer effective conjugation length of PEDOT chains in inkjet-printing PEDOT:PSS films as suggested by their micro-Raman spectroscopy. PEDOT:PSS films formed by the inkjet-printing method are appropriate for use as an anode for simplification of the fabrication process of polymer light-emitting diodes whose performance is about 1.2 cd/A

  13. SAQP pitch walk metrology using single target metrology

    Science.gov (United States)

    Fang, Fang; Herrera, Pedro; Kagalwala, Taher; Camp, Janay; Vaid, Alok; Pandev, Stilian; Zach, Franz

    2017-03-01

    Self-aligned quadruple patterning (SAQP) processes have found widespread acceptance in advanced technology nodes to drive device scaling beyond the resolution limitations of immersion scanners. Of the four spaces generated in this process from one lithography pattern two tend to be equivalent as they are derived from the first spacer deposition. The three independent spaces are commonly labelled as α, β and γ. α, β and γ are controlled by multiple process steps including the initial lithographic patterning process, the two mandrel and spacer etches as well as the two spacer depositions. Scatterometry has been the preferred metrology approach, however is restricted to repetitive arrays. In these arrays independent measurements, in particular of alpha and gamma, are not possible due to degeneracy of the standard array targets. . In this work we present a single target approach which lifts the degeneracies commonly encountered while using product relevant layout geometries. We will first describe the metrology approach which includes the previously described SRM (signal response metrology) combined with reference data derived from CD SEM data. The performance of the methodology is shown in figures 1-3. In these figures the optically determined values for alpha, beta and gamma are compared to the CD SEM reference data. The variations are achieved using controlled process experiments varying Mandrel CD and Spacer deposition thicknesses.

  14. Drop-on-Demand Inkjet Printhead Performance Enhancement by Dynamic Lumped Element Modeling for Printable Electronics Fabrication

    Directory of Open Access Journals (Sweden)

    Maowei He

    2014-01-01

    Full Text Available The major challenge in printable electronics fabrication is the print resolution and accuracy. In this paper, the dynamic lumped element model (DLEM is proposed to directly simulate an inkjet-printed nanosilver droplet formation process and used for predictively controlling jetting characteristics. The static lumped element model (LEM previously developed by the authors is extended to dynamic model with time-varying equivalent circuits to characterize nonlinear behaviors of piezoelectric printhead. The model is then used to investigate how performance of the piezoelectric ceramic actuator influences jetting characteristics of nanosilver ink. Finally, the proposed DLEM is applied to predict the printing quality using nanosilver ink. Experimental results show that, compared to other analytic models, the proposed DLEM has a simpler structure with the sufficient simulation and prediction accuracy.

  15. 3D inkjet printed flexible and wearable antenna systems

    KAUST Repository

    Shamim, Atif

    2017-12-22

    With the advent of wearable sensors and internet of things (IoT), there is a new focus on electronics which can be bent so that they can be worn or mounted on non-planar objects. Moreover, there is a requirement that these electronics become extremely low cost, to the extent that they become disposable. The flexible and low cost aspects can be addressed by adapting additive manufacturing technologies such as inkjet printing and 3D printing. This paper presents inkjet printing as an emerging new technique to realize low cost, flexible and wearable antenna systems. The ability of inkjet printing to realize electronics on unconventional mediums such as plastics, papers, and textiles has opened up a plethora of new applications. A variety of antennas such as wide-band, multiband, and wearable, etc, which have been realized through additive manufacturing techniques are shown. Many system level examples are also shown, primarily for wireless sensing applications. The promising results of these designs indicate that the day when electronics can be printed like newspapers and magazines through roll-to-roll and reel-to-reel printing is not far away.

  16. 5th Conference on Advanced Mathematical and Computational Tools in Metrology

    CERN Document Server

    Cox, M G; Filipe, E; Pavese, F; Richter, D

    2001-01-01

    Advances in metrology depend on improvements in scientific and technical knowledge and in instrumentation quality, as well as on better use of advanced mathematical tools and development of new ones. In this volume, scientists from both the mathematical and the metrological fields exchange their experiences. Industrial sectors, such as instrumentation and software, will benefit from this exchange, since metrology has a high impact on the overall quality of industrial products, and applied mathematics is becoming more and more important in industrial processes.This book is of interest to people

  17. Non-contact distance measurement and profilometry using thermal near-field radiation towards a high resolution inspection and metrology solution

    NARCIS (Netherlands)

    Bijster, R.J.F.; Sadeghian Marnani, H.; van Keulen, A.; Sanchez, M.I.; Ukraintsev, V.A.

    2016-01-01

    Optical near-field technologies such as solid immersion lenses and hyperlenses are candidate solutions for high resolution and high throughput wafer inspection and metrology for the next technology nodes. Besides sub-diffraction limited optical performance, these concepts share the necessity of

  18. Inkjet?Printed Cu2ZnSn(S, Se)4 Solar Cells

    OpenAIRE

    Lin, Xianzhong; Kavalakkatt, Jaison; Lux?Steiner, Martha Ch.; Ennaoui, Ahmed

    2015-01-01

    Cu2ZnSn(S, Se)4?based solar cells with total area (0.5 cm2) power conversion efficiency of 6.4% are demonstrated from thin film absorbers processed by inkjet printing technology of Cu?Zn?Sn?S precursor ink followed by selenization. The device performance is limited by the low fill factor, which is due to the high series resistance.

  19. Inkjet-Printed Cu2ZnSn(S, Se)4 Solar Cells.

    Science.gov (United States)

    Lin, Xianzhong; Kavalakkatt, Jaison; Lux-Steiner, Martha Ch; Ennaoui, Ahmed

    2015-06-01

    Cu 2 ZnSn(S, Se) 4 -based solar cells with total area (0.5 cm 2 ) power conversion efficiency of 6.4% are demonstrated from thin film absorbers processed by inkjet printing technology of Cu-Zn-Sn-S precursor ink followed by selenization. The device performance is limited by the low fill factor, which is due to the high series resistance.

  20. Full densification of inkjet-printed copper conductive tracks on a flexible substrate utilizing a hydrogen plasma sintering

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Young-Tae [Department of Fusion Chemical Engineering, Hanyang University, Ansan 15588 (Korea, Republic of); Lee, Young-In [Department of Materials Science and Engineering, Seoul National University of Science and Technology, Seoul 01811 (Korea, Republic of); Kim, Seil [Department of Fusion Chemical Engineering, Hanyang University, Ansan 15588 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 31116 (Korea, Republic of); Choa, Yong-Ho, E-mail: choa15@hanyang.ac.kr [Department of Fusion Chemical Engineering, Hanyang University, Ansan 15588 (Korea, Republic of)

    2017-02-28

    Highlights: • Hydrogen thermally- and plasma- treatments are applied to reduce and sinter the inkjet-printed copper patterns at low temperature. • Plasma sintered Cu patterns have fully densified microstructure with the resistivity of 3.23 μW cm. • Cu conductive track with dense microstructure remains its electrical resistivity after 1 month. • Thermal sintered Cu patterns show a relatively poor microstructure and high resistivity. - Abstract: Low temperature sintering techniques are crucial in developing flexible printed electronics. In this work, we demonstrate a novel hydrogen plasma sintering method that achieves a full reduction and densification of inkjet-printed patterns using a copper complex ion ink. After inkjet printing on polyethylene terephthalate (PET) substrates, both hydrogen plasma and conventional hydrogen thermal treatment were employed to compare the resulting microstructures, electrical properties and anti-oxidation behavior. The plasma treated pattern shows a fully densified microstructure with a resistivity of 3.23 μΩ cm, while the thermally treated pattern shows a relatively poor microstructure and high resistivity. In addition, the hydrogen plasma-treated copper pattern retains its electrical resistivity for one month without any significant decrease. This novel hydrogen plasma sintering technique could be used to produce conductive patterns with excellent electrical properties, allowing for highly reliable flexible printed electronics.

  1. Profile variation impact on FIB cross-section metrology

    Science.gov (United States)

    Cordes, Aaron; Bunday, Benjamin; Nadeau, Jim

    2012-03-01

    The focused ion beam (FIB) milling tool is an important component of reference metrology and process characterization, both as a supporting instrument for bulk sample preparation before forwarding to the transmission electron microscope (TEM) and other instruments and as an in situ measurement instrument using angled scanning electron microscopy. As features grow denser, deeper and more demanding, full-profile reference metrology is needed, and this methodology will only grow in importance. Thus, the ability to extract accurate dimensional and profile information out of the crosssectional faces produced by FIB milling is critical. For features that demonstrate perfect symmetry in the plane of the cross section, analyzing images and extracting metrology data are straightforward. However, for industrial materials, symmetry is not a safe assumption: as features shrink, the line edge and sidewall roughness increases as a percentage of the overall feature dimension. Furthermore, with the introduction of more complex architectures such as 3D memory and FinFETs, the areas of greatest interest, such as the intersections of wrap-around gates, cannot be assumed to be symmetrical in any given plane if cut placement is not precisely controlled. Therefore it is important to establish the exact location and repeatability of the cross-section plane, both in terms of coordinate placement and effective angle of the milled surface. To this end, we prepared designed-in line edge roughness samples in the Albany Nanotech facility using SEMATECH's AMAG6 metrology reticle. The samples were thoroughly characterized before being milled by a non-destructive, sidewall-scanning atomic force microscope (AFM). These samples are then milled and measured under varying process and setup parameters using a single-beam FIB with angled SEM. We established methodologies that allow precise alignment of the cut planes of slice-and-view FIB milling to 3D-AFM scan lines to compare repeated sections

  2. Inkjet printing of silver citrate conductive ink on PET substrate

    Science.gov (United States)

    Nie, Xiaolei; Wang, Hong; Zou, Jing

    2012-11-01

    Direct synthesis of silver conductive film on PET substrate by inkjet printing silver citrate conductive ink was presented in this paper. This kind of conductive ink contained silver citrate as silver precursor, 1,2-diaminopropane as complex agent dissolving the silver salt and methanol and isopropanol as a media adjusting the viscosity and surface tension. The formation of silver-amine complex reduced the decomposition temperature from 180 °C to 135 °C, thus the ink could be cured at relatively low temperature. The film reached the lowest resistivity of 17 μΩ cm after cured at 150 °C for 50 min, 3.1 μΩ cm at 230 °C and possessed high reflection and excellent adhesive property. Electrical conductivity, surface morphology and composition were investigated by four-point probe method, scanning electron microscope (SEM) and energy dispersive X-ray spectroscopy (EDS). It is demonstrated how the cured condition affects the silver film. Moreover, radio-frequency identification (RFID) antenna was fabricated by inkjet printing, which opens up routes for the flexible electronics fabrication.

  3. Toward fast and cost-effective ink-jet printing of solid electrolyte for lithium microbatteries

    Science.gov (United States)

    Delannoy, P.-E.; Riou, B.; Lestriez, B.; Guyomard, D.; Brousse, T.; Le Bideau, J.

    2015-01-01

    Ink-jet printing of ionogel for low-cost microbattery is presented. Such an approach allows to provide liquid-like electrolyte performances for all-solid microdevices. Ink-jet printing process is possible thanks to sol precursor of the ionogel. This full silica based ionogels confining ionic liquid are known to be thermal resistant, serving safety and technologies requiring solder reflow. High ionic conductivity and compatibility with porous composite electrodes allow reaching good electrochemical cycling performance: full Li-ion cell with LiFePO4 and Li4Ti5O12 porous composite electrodes shows a surface capacity of 300 μAh cm-2 for more than 100 cycles. Such surface capacities are very competitive as compared to those obtained for microdevices based on expensive PVD processes.

  4. Alternative sintering methods compared to conventional thermal sintering for inkjet printed silver nanoparticle ink

    NARCIS (Netherlands)

    Niittynen, J.; Abbel, R.; Mäntysalo, M.; Perelaer, J.; Schubert, U.S.; Lupo, D.

    2014-01-01

    In this contribution several alternative sintering methods are compared to traditional thermal sintering as high temperature and long process time of thermal sintering are increasing the costs of inkjet-printing and preventing the use of this technology in large scale manufacturing. Alternative

  5. 8th Brazilian Congress on Metrology (Metrologia 2015)

    International Nuclear Information System (INIS)

    2016-01-01

    such as those relating to the International Year of Light. The events are meetings on Electricity (XI International Seminar on Electrical Metrology - SEMETRO), Ionizing Radiation (II Brazilian Congress on Ionizing Radiation - CBMRI) and the Meeting of the Brazilian Chemical Metrology Network (REMEQ). As in previous events, we hosted the Expo Metrology 2015 trade show and an exhibition of measuring equipment. During the conference, short courses in various areas of interest in Metrology and Conformity Assessment were given, organized by the Basic Industrial Technology National School - ENTIB, an initiative from the Brazilian Society of Metrology (SBM). The 8"t"h Brazilian Congress on Metrology consisted of Keynote Speeches (6) and regular papers (292). Among the regular papers, the 100 most outstanding ones, comprising a high quality content on Metrology, were selected to be published in this issue of the Journal of Physics: Conference Series. With tremendous satisfaction and gratefulness, the organizers of METROLOGIA 2015 would like to present this volume of IOP Journal of Physics: Conference Series (JPCS) to the scientific community to promote further research in Metrology. We believe that (paper)

  6. 3D inkjet printed disposable environmental monitoring wireless sensor node

    KAUST Repository

    Farooqui, Muhammad Fahad

    2017-10-24

    We propose a disposable, miniaturized, moveable, fully integrated 3D inkjet-printed wireless sensor node for large area environmental monitoring applications. As a proof of concept, we show the wireless sensing of temperature, humidity and H2S levels which are important for early warnings of two critical environmental conditions namely forest fires and industrial gas leaks. The temperature sensor has TCR of -0.018/°, the highest of any inkjet-printed sensor and the H2S sensor can detect as low as 3 ppm of gas. These sensors and an antenna have been realized on the walls of a 3D-printed cubic package which encloses the microelectronics developed on a 3D-printed circuit board. Hence, 3D printing and inkjet printing have been combined in order to realize a unique low-cost, fully integrated wireless sensor node. Field tests show that these sensor nodes can wirelessly communicate up to a distance of over 100m. Our proposed sensor node can be a part of internet of things with the aim of providing a better and safe living.

  7. Raman spectroscopy and capillary electrophoresis applied to forensic colour inkjet printer inks analysis.

    Science.gov (United States)

    Król, Małgorzata; Karoly, Agnes; Kościelniak, Paweł

    2014-09-01

    Forensic laboratories are increasingly engaged in the examination of fraudulent documents, and what is important, in many cases these are inkjet-printed documents. That is why systematic approaches to inkjet printer inks comparison and identification have been carried out by both non-destructive and destructive methods. In this study, micro-Raman spectroscopy and capillary electrophoresis (CE) were applied to the analysis of colour inkjet printer inks. Micro-Raman spectroscopy was used to study the chemical composition of colour inks in situ on a paper surface. It helps to characterize and differentiate inkjet inks, and can be used to create a spectra database of inks taken from different cartridge brands and cartridge numbers. Capillary electrophoresis in micellar electrophoretic capillary chromatography mode was applied to separate colour and colourless components of inks, enabling group identification of those components which occur in a sufficient concentration (giving intensive peaks). Finally, on the basis of the obtained results, differentiation of the analysed inks was performed. Twenty-three samples of inkjet printer inks were examined and the discriminating power (DP) values for both presented methods were established in the routine work of experts during the result interpretation step. DP was found to be 94.0% (Raman) and 95.6% (CE) when all the analysed ink samples were taken into account, and it was 96.7% (Raman) and 98.4% (CE), when only cartridges with different index numbers were considered. Copyright © 2014 Elsevier Ireland Ltd. All rights reserved.

  8. Inkjet printing of nanoporous gold electrode arrays on cellulose membranes for high-sensitive paper-like electrochemical oxygen sensors using ionic liquid electrolytes.

    Science.gov (United States)

    Hu, Chengguo; Bai, Xiaoyun; Wang, Yingkai; Jin, Wei; Zhang, Xuan; Hu, Shengshui

    2012-04-17

    A simple approach to the mass production of nanoporous gold electrode arrays on cellulose membranes for electrochemical sensing of oxygen using ionic liquid (IL) electrolytes was established. The approach, combining the inkjet printing of gold nanoparticle (GNP) patterns with the self-catalytic growth of these patterns into conducting layers, can fabricate hundreds of self-designed gold arrays on cellulose membranes within several hours using an inexpensive inkjet printer. The resulting paper-based gold electrode arrays (PGEAs) had several unique properties as thin-film sensor platforms, including good conductivity, excellent flexibility, high integration, and low cost. The porous nature of PGEAs also allowed the addition of electrolytes from the back cellulose membrane side and controllably produced large three-phase electrolyte/electrode/gas interfaces at the front electrode side. A novel paper-based solid-state electrochemical oxygen (O(2)) sensor was therefore developed using an IL electrolyte, 1-butyl-3-methylimidazolium hexafluorophosphate (BMIMPF(6)). The sensor looked like a piece of paper but possessed high sensitivity for O(2) in a linear range from 0.054 to 0.177 v/v %, along with a low detection limit of 0.0075% and a short response time of less than 10 s, foreseeing its promising applications in developing cost-effective and environment-friendly paper-based electrochemical gas sensors.

  9. Weather resistance of inkjet prints on plastic substrates

    Directory of Open Access Journals (Sweden)

    Rozália Szentgyörgyvölgyi

    2015-06-01

    Full Text Available The development of wide format inkjet printers made the technology available for large area commercials. Outdoor advertising uses a wide range of substrate including paperboard, vinyl, canvas, mesh; the material of the substrate itself has to endure the physical and chemical effects of local weather. Weather elements (humidity, wind, solar irradiation degrade printed products inevitably; plastic products have better resistance against them, than paper based substrates. Service life of the printed product for outdoor application is a key parameter from the customer’s point of view. There are two ways to estimate expected lifetime: on site outdoor testing or laboratory testing. In both cases weathering parameters can be monitored, however laboratory testing devices may produce the desired environmental effects and thus accelerate the aging process. Our research objective was to evaluate the effects of artificial weathering on prints produced by inkjet technology on plastic substrates. We used a large format CMYK inkjet printer (Mutoh Rockhopper II, with Epson DX 4 print heads to print our test chart on two similar substrates (PVC coated tarpaulins with grammages 400 g/m2 and 440 g/m2. Specimen were aged in an Atlas Suntest XLS+ material tester device for equal time intervals. We measured and calculated the gradual changes of the optical properties (optical density, tone value, colour shifts of the test prints.

  10. TSOM method for semiconductor metrology

    Science.gov (United States)

    Attota, Ravikiran; Dixson, Ronald G.; Kramar, John A.; Potzick, James E.; Vladár, András E.; Bunday, Benjamin; Novak, Erik; Rudack, Andrew

    2011-03-01

    Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes. Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis, inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with potentially significant savings and yield improvements in manufacturing.

  11. Inkjet-printed thin film radio-frequency capacitors based on sol-gel derived alumina dielectric ink

    KAUST Repository

    McKerricher, Garret; Maller, Robert; Vaseem, Mohammad; McLachlan, Martyn A.; Shamim, Atif

    2017-01-01

    passive electronics. The ability to spatially pattern high quality Al2O3 thin films using, for example, inkjet printing would tremendously simplify the incumbent fabrication processes – significantly reducing cost and allowing for the development of large

  12. Inkjet-assisted layer-by-layer printing of quantum dot/enzyme microarrays for highly sensitive detection of organophosphorous pesticides

    Energy Technology Data Exchange (ETDEWEB)

    Luan, Enxiao; Zheng, Zhaozhu; Li, Xinyu; Gu, Hongxi [State Key Laboratory of Urban Water Resource and Environment, School of Life Science and Technology, Harbin Institute of Technology, Harbin 150080 (China); Micro- and Nanotechnology Research Center, Harbin Institute of Technology, Harbin 150080 (China); Liu, Shaoqin, E-mail: shaoqinliu@hit.edu.cn [Micro- and Nanotechnology Research Center, Harbin Institute of Technology, Harbin 150080 (China)

    2016-04-15

    We present a facile fabrication of layer-by-layer (LbL) microarrays of quantum dots (QDs) and acetylcholinesterase enzyme (AChE). The resulting arrays had several unique properties, such as low cost, high integration and excellent flexibility and time–saving. The presence of organophosphorous pesticides (OPs) can inhibit the AChE activity and thus changes the fluorescent intensity of QDs/AChE microscopic dot arrays. Therefore, the QDs/AChE microscopic dot arrays were used for the sensitive visual detection of OPs. Linear calibration for parathion and paraoxon was obtained in the range of 5–100 μg L{sup −1} under the optimized conditions with the limit of detection (LOD) of 10 μg L{sup −1}. The arrays have been successfully used for detection of OPs in fruits and water real samples. The new array was validated by comparison with conventional high performance liquid chromatography-mass spectrometry (HPLC-MS). - Graphical abstract: A fluorimetric assay for high-throughput screening of organophosphorous pesticides was developed based on the CdTe QDs/AChE microarrays via inkjet-assisted LbL printing techniques. - Highlights: • The large scale microarrays of CdTe QDs and AChE were fabricated by facile inkjet-assisted LbL printing technique. • The QDs/AChE microscopic dot arrays could be used quantitatively and rapidly for the sensitively visual detection of OPs. • A detection limit of 10 μg L{sup −1} was achieved, much lower than levels specified by standard tests and other colorimetric detection methods. • The low cost, short processing time, sufficient sensitivity, good stability and ease of use make it for a facile platform for on-site screening.

  13. Preparation of solid silver nanoparticles for inkjet printed flexible electronics with high conductivity.

    Science.gov (United States)

    Shen, Wenfeng; Zhang, Xianpeng; Huang, Qijin; Xu, Qingsong; Song, Weijie

    2014-01-01

    Silver nanoparticles (NPs) which could be kept in solid form and were easily stored without degeneration or oxidation at room temperature for a long period of time were synthesized by a simple and environmentally friendly wet chemistry method in an aqueous phase. Highly stable dispersions of aqueous silver NP inks, sintered at room temperature, for printing highly conductive tracks (∼8.0 μΩ cm) were prepared simply by dispersing the synthesized silver NP powder in water. These inks are stable, fairly homogeneous and suitable for a wide range of patterning techniques. The inks were successfully printed on paper and polyethylene terephthalate (PET) substrates using a common color printer. Upon annealing at 180 °C, the resistivity of the printed silver patterns decreased to 3.7 μΩ cm, which is close to twice that of bulk silver. Various factors affecting the resistivity of the printed silver patterns, such as annealing temperature and the number of printing cycles, were investigated. The resulting high conductivity of the printed silver patterns reached over 20% of the bulk silver value under ambient conditions, which enabled the fabrication of flexible electronic devices, as demonstrated by the inkjet printing of conductive circuits of LED devices.

  14. Alignment of KB mirrors with at-wavelength metrology tool simulated using SRW

    Science.gov (United States)

    Idir, Mourad; Rakitin, Maksim; Gao, Bo; Xue, Junpeng; Huang, Lei; Chubar, Oleg

    2017-08-01

    Synchrotron Radiation Workshop (SRW) is a powerful synchrotron radiation simulation tool and has been widely used at synchrotron facilities all over the world. During the last decade, many types of X-ray wavefront sensors have been developed and used. In this work, we present our recent effort on the development of at-wavelength metrology simulation based on SRW mainly focused on the Hartmann Wavefront Sensor (HWS). Various conditions have been studied to verify that the simulated HWS is performing as expected in terms of accuracy. This at-wavelength metrology simulation tool is then used to align KB mirrors by minimizing the wavefront aberrations. We will present our optimization process to perform an `in situ' alignment using conditions as close as possible to the real experiments (KB mirrors with different levels of figure errors or different misalignment geometry).

  15. Virtual overlay metrology for fault detection supported with integrated metrology and machine learning

    Science.gov (United States)

    Lee, Hong-Goo; Schmitt-Weaver, Emil; Kim, Min-Suk; Han, Sang-Jun; Kim, Myoung-Soo; Kwon, Won-Taik; Park, Sung-Ki; Ryan, Kevin; Theeuwes, Thomas; Sun, Kyu-Tae; Lim, Young-Wan; Slotboom, Daan; Kubis, Michael; Staecker, Jens

    2015-03-01

    While semiconductor manufacturing moves toward the 7nm node for logic and 15nm node for memory, an increased emphasis has been placed on reducing the influence known contributors have toward the on product overlay budget. With a machine learning technique known as function approximation, we use a neural network to gain insight to how known contributors, such as those collected with scanner metrology, influence the on product overlay budget. The result is a sufficiently trained function that can approximate overlay for all wafers exposed with the lithography system. As a real world application, inline metrology can be used to measure overlay for a few wafers while using the trained function to approximate overlay vector maps for the entire lot of wafers. With the approximated overlay vector maps for all wafers coming off the track, a process engineer can redirect wafers or lots with overlay signatures outside the standard population to offline metrology for excursion validation. With this added flexibility, engineers will be given more opportunities to catch wafers that need to be reworked, resulting in improved yield. The quality of the derived corrections from measured overlay metrology feedback can be improved using the approximated overlay to trigger, which wafers should or shouldn't be, measured inline. As a development or integration engineer the approximated overlay can be used to gain insight into lots and wafers used for design of experiments (DOE) troubleshooting. In this paper we will present the results of a case study that follows the machine learning function approximation approach to data analysis, with production overlay measured on an inline metrology system at SK hynix.

  16. Synthesis and inkjet printing of aqueous ZnS:Mn nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    Angelo, Peter D., E-mail: peter.angelo@mail.utoronto.ca [Department of Chemical Engineering and Applied Chemistry, Pulp and Paper Centre, University of Toronto, 200 College Street, Toronto, Canada M5G3A1 (Canada); Kronfli, Rosanna; Farnood, Ramin R. [Department of Chemical Engineering and Applied Chemistry, Pulp and Paper Centre, University of Toronto, 200 College Street, Toronto, Canada M5G3A1 (Canada)

    2013-04-15

    Nanoparticles of ZnS doped with Mn, a common photo- and electro-luminescent species, were synthesized in water using a competitive precipitation method. Particle size was controlled by selection of an appropriate stabilizer added during synthesis, 3-mercaptopropionic acid, which also rendered the particles water-dispersible after synthesis and isolation. Primary particle size was ∼3 nm, with small agglomerates of 10–20 nm in size. The particles were stably dispersed into water at a loading of 2.5 w/w%. This dispersion formed the basis for an aqueous inkjet ink, containing 1 w/w% ZnS:Mn. The small particle size allowed the nanoparticles to be successfully delivered to several substrates without loss during filtration or jetting. Bright photoluminescence was observed in the printed patterns on some substrates (glass, photo-paper, foil, etc.) but was quenched on other substrates where the ink penetrated into the surface (uncoated paper). The small drop volume (10 pL) allowed for reasonably high-resolution printed patterns to be deposited, albeit with significant surface roughness due to the “coffee-ring” effect. -- Highlights: ► Highly monodisperse ZnS:Mn nanoparticles were prepared in aqueous solution. ► ZnS:Mn incorporated into a fluid with suitable properties for inkjet printing. ► Photoluminescence was bright on impermeable substrates but quenched on paper. ► Film smoothness was compromised by high solids loading, and high viscosity of ink.

  17. Fabrication of interdigitated electrodes by inkjet printing technology for apllication in ammonia sensing

    International Nuclear Information System (INIS)

    Le, Duy Dam; Nguyen, Thi Ngoc Nhien; Doan, Duc Chanh Tin; Dang, Thi My Dung; Dang, Mau Chien

    2016-01-01

    In this paper interdigitated electrodes for gas sensors were fabricated by inkjet printing technology. Silver electrodes were inkjet printed on Si/SiO 2 substrates instead of traditional photolithography method. The inkjet printing parameters to obtain desired dimensions, thickness of the electrodes and distance between the interdigitated electrodes were optimized in this study. The fabricated interdigitated silver electrodes were tested for application in ammonia gas sensors. Conductive polyaniline (PANI) layer was coated on the silver interdigitated electrodes by drop-coating. Ammonia detection of the PANI-coated chips was characterized with a gas measurement system in which humidity and ammonia concentrations were well-controlled. The electrical conductivity of the PANI films coated on the electrodes was measured when the PANI films were exposed to nitrogen and ammonia. The conductivity of the PANI films decreased significantly due to the deprotonation process of PANI upon ammonia expodure. The recovery time was about 15 min by heating up the polymer chip at 60 °C. The results showed that the silver electrodes fabricated by inkjet printing technique could be used as a sensor platform for ammonia detection. (paper)

  18. Clean focus, dose and CD metrology for CD uniformity improvement

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Hong, Minhyung; Kim, Seungyoung; Lee, Jieun; Lee, DongYoung; Oh, Eungryong; Choi, Ahlin; Kim, Nakyoon; Robinson, John C.; Mengel, Markus; Pablo, Rovira; Yoo, Sungchul; Getin, Raphael; Choi, Dongsub; Jeon, Sanghuck

    2018-03-01

    Lithography process control solutions require more exacting capabilities as the semiconductor industry goes forward to the 1x nm node DRAM device manufacturing. In order to continue scaling down the device feature sizes, critical dimension (CD) uniformity requires continuous improvement to meet the required CD error budget. In this study we investigate using optical measurement technology to improve over CD-SEM methods in focus, dose, and CD. One of the key challenges is measuring scanner focus of device patterns. There are focus measurement methods based on specially designed marks on scribe-line, however, one issue of this approach is that it will report focus of scribe line which is potentially different from that of the real device pattern. In addition, scribe-line marks require additional design and troubleshooting steps that add complexity. In this study, we investigated focus measurement directly on the device pattern. Dose control is typically based on using the linear correlation behavior between dose and CD. The noise of CD measurement, based on CD-SEM for example, will not only impact the accuracy, but also will make it difficult to monitor dose signature on product wafers. In this study we will report the direct dose metrology result using an optical metrology system which especially enhances the DUV spectral coverage to improve the signal to noise ratio. CD-SEM is often used to measure CD after the lithography step. This measurement approach has the advantage of easy recipe setup as well as the flexibility to measure critical feature dimensions, however, we observe that CD-SEM metrology has limitations. In this study, we demonstrate within-field CD uniformity improvement through the extraction of clean scanner slit and scan CD behavior by using optical metrology.

  19. Inkjet printed ambipolar transistors and inverters based on carbon nanotube/zinc tin oxide heterostructures

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Bongjun; Jang, Seonpil; Dodabalapur, Ananth, E-mail: ananth.dodabalapur@engr.utexas.edu [Microelectronics Research Center, The University of Texas at Austin, Austin, Texas 78758 (United States); Department of Electrical and Computer Engineering, The University of Texas at Austin, Austin, Texas 78712 (United States); Geier, Michael L.; Prabhumirashi, Pradyumna L. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Hersam, Mark C. [Department of Materials Science and Engineering, Northwestern University, Evanston, Illinois 60208 (United States); Department of Chemistry, Northwestern University, Evanston, Illinois 60208 (United States); Department of Medicine, Northwestern University, Evanston, Illinois 60208 (United States)

    2014-02-10

    We report ambipolar field-effect transistors (FETs) consisting of inkjet printed semiconductor bilayer heterostructures utilizing semiconducting single-walled carbon nanotubes (SWCNTs) and amorphous zinc tin oxide (ZTO). The bilayer structure allows for electron transport to occur principally in the amorphous oxide layer and hole transport to occur exclusively in the SWCNT layer. This results in balanced electron and hole mobilities exceeding 2 cm{sup 2} V{sup −1} s{sup −1} at low operating voltages (<5 V) in air. We further show that the SWCNT-ZTO hybrid ambipolar FETs can be integrated into functional inverter circuits that display high peak gain (>10). This work provides a pathway for realizing solution processable, inkjet printable, large area electronic devices, and systems based on SWCNT-amorphous oxide heterostructures.

  20. Metrology's role in quality assurance

    International Nuclear Information System (INIS)

    Zeederberg, L.B.

    1982-01-01

    Metrology, the science of measurement, is playing an increasing role in modern industry as part of an on-going quality assurance programme. At Escom, quality assurance was critical during the construction of the Koeberg nuclear facility, and also a function in controlling services provided by Escom. This article deals with the role metrology plays in quality assurance

  1. Future metrology needs for FEL reflective optics

    International Nuclear Information System (INIS)

    Assoufid, L.

    2000-01-01

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed

  2. Future metrology needs for FEL reflective optics.

    Energy Technology Data Exchange (ETDEWEB)

    Assoufid, L.

    2000-09-21

    An International Workshop on Metrology for X-ray and Neutron Optics has been held March 16-17, 2000, at the Advanced Photon Source, Argonne National Laboratory, near Chicago, Illinois (USA). The workshop gathered engineers and scientists from both the U.S. and around the world to evaluate metrology instrumentation and methods used to characterize surface figure and finish for long grazing incidence optics used in beamlines at synchrotrons radiation sources. This two-day workshop was motivated by the rapid evolution in the performance of x-ray and neutron sources along with requirements in optics figure and finish. More specifically, the performance of future light sources, such as free-electron laser (FEL)-based x-ray sources, is being pushed to new limits in term of both brilliance and coherence. As a consequence, tolerances on surface figure and finish of the next generation of optics are expected to become tighter. The timing of the workshop provided an excellent opportunity to study the problem, evaluate the state of the art in metrology instrumentation, and stimulate innovation on future metrology instruments and techniques to be used to characterize these optics. This paper focuses on FEL optics and metrology needs. (A more comprehensive summary of the workshop can be found elsewhere.) The performance and limitations of current metrology instrumentation will be discussed and recommendations from the workshop on future metrology development to meet the FEL challenges will be detailed.

  3. Quantum interference metrology at deep-UV wavelengths using phase-controlled ultrashort laser pulses

    NARCIS (Netherlands)

    Zinkstok, R. Th; Witte, S.; Ubachs, W.; Hogervorst, W.; Eikema, K. S E

    2005-01-01

    High-resolution metrology at wavelengths shorter than ultraviolet is in general hampered by a limited availability of appropriate laser sources. It is demonstrated that this limitation can be overcome by quantum-interference metrology with frequency up-converted ultrafast laser pulses. The required

  4. Creating transient cell membrane pores using a standard inkjet printer.

    Science.gov (United States)

    Owczarczak, Alexander B; Shuford, Stephen O; Wood, Scott T; Deitch, Sandra; Dean, Delphine

    2012-03-16

    Bioprinting has a wide range of applications and significance, including tissue engineering, direct cell application therapies, and biosensor microfabrication. Recently, thermal inkjet printing has also been used for gene transfection. The thermal inkjet printing process was shown to temporarily disrupt the cell membranes without affecting cell viability. The transient pores in the membrane can be used to introduce molecules, which would otherwise be too large to pass through the membrane, into the cell cytoplasm. The application being demonstrated here is the use of thermal inkjet printing for the incorporation of fluorescently labeled g-actin monomers into cells. The advantage of using thermal ink-jet printing to inject molecules into cells is that the technique is relatively benign to cells. Cell viability after printing has been shown to be similar to standard cell plating methods. In addition, inkjet printing can process thousands of cells in minutes, which is much faster than manual microinjection. The pores created by printing have been shown to close within about two hours. However, there is a limit to the size of the pore created (~10 nm) with this printing technique, which limits the technique to injecting cells with small proteins and/or particles. A standard HP DeskJet 500 printer was modified to allow for cell printing. The cover of the printer was removed and the paper feed mechanism was bypassed using a mechanical lever. A stage was created to allow for placement of microscope slides and coverslips directly under the print head. Ink cartridges were opened, the ink was removed and they were cleaned prior to use with cells. The printing pattern was created using standard drawing software, which then controlled the printer through a simple print command. 3T3 fibroblasts were grown to confluence, trypsinized, and then resuspended into phosphate buffered saline with soluble fluorescently labeled g-actin monomers. The cell suspension was pipetted into the

  5. Comparison of ATLAS tilecal module No. 8 high-precision metrology measurement results obtained by laser (JINR) and photogrammetric (CERN) methods

    International Nuclear Information System (INIS)

    Batusov, V.; Budagov, Yu.; Gayde, J.C.

    2002-01-01

    The high-precision assembly of large experimental set-ups is of a principal necessity for the successful execution of the forthcoming LHC research programme in the TeV-beams. The creation of an adequate survey and control metrology method is an essential part of the detector construction scenario. This work contains the dimension measurement data for ATLAS hadron calorimeter MODULE No. 8 (6 m, 22 tons) which were obtained by laser and by photogrammetry methods. The comparative data analysis demonstrates the measurements agreement within ± 70 μm. It means, these two clearly independent methods can be combined and lead to the rise of a new-generation engineering culture: high-precision metrology when precision assembling of large scale massive objects

  6. Comparison of ATLAS Tilecal MODULE No 8 high-precision metrology measurement results obtained by laser (JINR) and photogrammetric (CERN) methods

    CERN Document Server

    Batusov, V; Gayde, J C; Khubua, J I; Lasseur, C; Lyablin, M V; Miralles-Verge, L; Nessi, Marzio; Rusakovitch, N A; Sissakian, A N; Topilin, N D

    2002-01-01

    The high-precision assembly of large experimental set-ups is of a principal necessity for the successful execution of the forthcoming LHC research programme in the TeV-beams. The creation of an adequate survey and control metrology method is an essential part of the detector construction scenario. This work contains the dimension measurement data for ATLAS hadron calorimeter MODULE No. 8 (6 m, 22 tons) which were obtained by laser and by photogrammetry methods. The comparative data analysis demonstrates the measurements agreement within +or-70 mu m. It means, these two clearly independent methods can be combined and lead to the rise of a new-generation engineering culture: high-precision metrology when precision assembling of large scale massive objects. (3 refs).

  7. Inkjet printed wireless smart bandage

    KAUST Repository

    Farooqui, Muhammad Fahad; Shamim, Atif

    2016-01-01

    Chronic wounds affect millions of patients around the world and requires a major portion of health care budget for treatment. In this article, we present an unprecedented low cost continuous wireless monitoring system, realized through inkjet printing on a standard bandage strip, which can send early warnings as well as record long term wound progression data. The smart bandage can communicate upto a distance of 60 m when worn on the body.

  8. Inkjet printed wireless smart bandage

    KAUST Repository

    Farooqui, Muhammad Fahad

    2016-12-19

    Chronic wounds affect millions of patients around the world and requires a major portion of health care budget for treatment. In this article, we present an unprecedented low cost continuous wireless monitoring system, realized through inkjet printing on a standard bandage strip, which can send early warnings as well as record long term wound progression data. The smart bandage can communicate upto a distance of 60 m when worn on the body.

  9. Laboratorio de Metrología - LABM

    OpenAIRE

    Jaramillo Ch., Zaira J.

    2011-01-01

    esos y transacciones de forma transparente y justa para todas las partes involucradas. Una herramienta necesaria para este propósito es la Metrología, ciencia que es utilizada en el Laboratorio de Metrología (LABM) del Centro Experimenta

  10. Fully inkjet printed RF inductors and capacitors using polymer dielectric and silver conductive ink with through vias

    KAUST Repository

    McKerricher, Garret

    2015-03-01

    In this paper, fully inkjet printed multilayer capacitors and inductors are fabricated and characterized using poly 4-vinylphenol (PVP) ink as the dielectric layer and silver nanoparticle ink as the conductor. Inkjet printed through vias, created with a novel dissolving method are used to make RF structures in a multilayer inkjet printing process. The vias have been realized in a 350-nm PVP film and exhibit resistance better than 0.1 Ω. Spiral inductors from 10 to 75 nH have been realized with maximum quality factors around five. The 10-nH inductor exhibits a self-resonant frequency slightly below 1 GHz. Metal-insulator-metal capacitors are realized with densities of 50 pF/mm-2. These capacitors demonstrate values ranging from 16 to 50 pF. The 16-pF capacitor shows a self-resonant frequency over 1.5 GHz. The successful implementation of inductors and capacitors in an all inkjet printed multilayer process with vias is an important step toward fully inkjet-printed large area and flexible RF systems.

  11. Fully inkjet printed RF inductors and capacitors using polymer dielectric and silver conductive ink with through vias

    KAUST Repository

    McKerricher, Garret; Gonzalez Perez, Jose; Shamim, Atif

    2015-01-01

    In this paper, fully inkjet printed multilayer capacitors and inductors are fabricated and characterized using poly 4-vinylphenol (PVP) ink as the dielectric layer and silver nanoparticle ink as the conductor. Inkjet printed through vias, created with a novel dissolving method are used to make RF structures in a multilayer inkjet printing process. The vias have been realized in a 350-nm PVP film and exhibit resistance better than 0.1 Ω. Spiral inductors from 10 to 75 nH have been realized with maximum quality factors around five. The 10-nH inductor exhibits a self-resonant frequency slightly below 1 GHz. Metal-insulator-metal capacitors are realized with densities of 50 pF/mm-2. These capacitors demonstrate values ranging from 16 to 50 pF. The 16-pF capacitor shows a self-resonant frequency over 1.5 GHz. The successful implementation of inductors and capacitors in an all inkjet printed multilayer process with vias is an important step toward fully inkjet-printed large area and flexible RF systems.

  12. Problems of metrological supply of carbon materials production

    International Nuclear Information System (INIS)

    Belov, G.V.; Bazilevskij, L.P.; Cherkashina, N.V.

    1989-01-01

    Carbon materials and products contain internal residual stresses and have an anisotropy of properties therefore special methods of tests are required to control their quality. The main metrological problems during development, production and application of carbon products are: metrological supply of production forms and records during the development of production conditions; metrological supply of quality control of the product; metrological supply of methods for the tests of products and the methods to forecast the characteristics of product quality for the period of quaranteed service life

  13. Inkjet-Printed In-Ga-Zn Oxide Thin-Film Transistors with Laser Spike Annealing

    Science.gov (United States)

    Huang, Hang; Hu, Hailong; Zhu, Jingguang; Guo, Tailiang

    2017-07-01

    Inkjet-printed In-Ga-Zn oxide (IGZO) thin-film transistors (TFTs) have been fabricated at low temperature using laser spike annealing (LSA) treatment. Coffee-ring effects during the printing process were eliminated to form uniform IGZO films by simply increasing the concentration of solute in the ink. The impact of LSA on the TFT performance was studied. The field-effect mobility, threshold voltage, and on/off current ratio were greatly influenced by the LSA treatment. With laser scanning at 1 mm/s for 40 times, the 30-nm-thick IGZO TFT baked at 200°C showed mobility of 1.5 cm2/V s, threshold voltage of -8.5 V, and on/off current ratio >106. Our findings demonstrate the feasibility of rapid LSA treatment of low-temperature inkjet-printed oxide semiconductor transistors, being comparable to those obtained by conventional high-temperature annealing.

  14. Metrology for WEST components design and integration optimization

    International Nuclear Information System (INIS)

    Brun, C.; Archambeau, G.; Blanc, L.; Bucalossi, J.; Chantant, M.; Gargiulo, L.; Hermenier, A.; Le, R.; Pilia, A.

    2015-01-01

    Highlights: • Metrology methods. • Interests of metrology campaign to optimize margins by reducing uncertainties. • Assembly problems are solved and validated on a numerical mock up. • Post treatment of full 3DScan of the vacuum vessel. - Abstract: On WEST new components will be implemented in an existing environment, emphasis has to be put on the metrology to optimize the design and the assembly. Hence, at a particular stage of the project, several components have to coexist in the limited vessel. Therefore, all the difficulty consists in validating the mechanical interfaces between existing components and new one; minimize the risk of the assembling and to maximize the plasma volume. The CEA/IRFM takes the opportunity of the ambitious project to sign a partnership with an industrial specialized in multipurpose metrology domains. To optimize the assembly procedure, the IRFM Assembly group works in strong collaboration with its industrial, to define and plan the campaigns of metrology. The paper will illustrate the organization, methods and results of the dedicated metrology campaigns have been defined and carried out in the WEST dis/assembly phase. To conclude, the future needs of metrology at CEA/IRFM will be exposed to define the next steps.

  15. Development of a virtual metrology for high-mix TFT-LCD manufacturing processes

    International Nuclear Information System (INIS)

    Chen Shan; Pan Tianhong; Jang Shishang

    2010-01-01

    Nowadays, TFT-LCD manufacturing has become a very complex process, in which many different products being manufactured with many different tools. The ability to predict the quality of product in such a high-mix system is critical to developing and maintaining a high yield. In this paper, a statistical method is proposed for building a virtual metrology model from a number of products using a high-mix manufacturing process. Stepwise regression is used to select 'key variables' that really affect the quality of the products. Multivariate analysis of covariance is also proposed for simultaneously applying the selected variables and product effect. This framework provides a systematic method of building a processing quality prediction system for a high-mix manufacturing process. The experimental results show that the proposed quality prognostic system can not only estimate the critical dimension accurately but also detect potentially faulty glasses.

  16. Optical metrology alignment and impact on the measurement performance of the LISA Technology Package

    Energy Technology Data Exchange (ETDEWEB)

    Hirth, M; Fichter, W; Brandt, N; Gerardi, D [iFR, Universitaet Stuttgart, Pfaffenwaldring 7a, 70569 Stuttgart (Germany); Schleicher, A [Astrium GmbH, 88039 Friedrichshafen (Germany); Wanner, G, E-mail: marc.hirth@ifr.uni-stuttgart.d [Albert Einstein Institut, Callinstrasse 38, 30167 Hannover (Germany)

    2009-03-01

    Aside from LISA Pathfinder's top-level acceleration requirement, there is a stringent independent requirement for the accuracy of the optical metrology system. In case of a perfectly aligned metrology system (optical bench and test masses) it should rather be independent of residual displacement jitter due to control. However, this ideal case will not be achieved as mechanical tolerances and uncertainties lead to a direct impact of test mass and spacecraft displacement jitter on the optical measurement accuracy. In this paper, we present a strategy how to cover these effects for a systematic requirement breakdown. We use a simplified nonlinear geometrical model for the differential distance measurement of the test masses which is linearized and linked to the equations of motion for both the spacecraft and the two test masses. This leads from test mass relative displacement to a formulation in terms of applied force/torque and thus allows to distinguish the absolute motion of each of the three bodies. It further shows how motions in each degree of freedom couple linearly into the optical measurement via DC misalignments of the laser beam and the test masses. This finally allows for deriving requirements on the alignment accuracy of components and on permittable closed-loop acceleration noise. In the last part a budget for the expected measurement performance is compiled from simulations as no measurement data is available yet.

  17. Optical metrology alignment and impact on the measurement performance of the LISA Technology Package

    International Nuclear Information System (INIS)

    Hirth, M; Fichter, W; Brandt, N; Gerardi, D; Schleicher, A; Wanner, G

    2009-01-01

    Aside from LISA Pathfinder's top-level acceleration requirement, there is a stringent independent requirement for the accuracy of the optical metrology system. In case of a perfectly aligned metrology system (optical bench and test masses) it should rather be independent of residual displacement jitter due to control. However, this ideal case will not be achieved as mechanical tolerances and uncertainties lead to a direct impact of test mass and spacecraft displacement jitter on the optical measurement accuracy. In this paper, we present a strategy how to cover these effects for a systematic requirement breakdown. We use a simplified nonlinear geometrical model for the differential distance measurement of the test masses which is linearized and linked to the equations of motion for both the spacecraft and the two test masses. This leads from test mass relative displacement to a formulation in terms of applied force/torque and thus allows to distinguish the absolute motion of each of the three bodies. It further shows how motions in each degree of freedom couple linearly into the optical measurement via DC misalignments of the laser beam and the test masses. This finally allows for deriving requirements on the alignment accuracy of components and on permittable closed-loop acceleration noise. In the last part a budget for the expected measurement performance is compiled from simulations as no measurement data is available yet.

  18. Organic ferroelectric memory devices with inkjet-printed polymer electrodes on flexible substrates

    KAUST Repository

    Bhansali, Unnat Sampatraj

    2013-05-01

    Drop-on-demand piezoelectric inkjet-printing technique has been used to fabricate a functional cross-bar array of all-organic ferroelectric memory devices. The polymer-ferroelectric-polymer device consists of a ferroelectric copolymer P(VDF-TrFE) film sandwiched between inkjet-patterned, continuous, orthogonal lines of PEDOT:PSS polymer as the bottom and top electrodes. These devices exhibit well-saturated hysteresis curves with a maximum remnant polarization (Pr) = 6.7 μC/cm2, coercive field (E c) = 55 MV/m and a peak capacitance density of 45 nF/cm2. Our polarization fatigue measurements show that these devices retain ∼100% and 45% of their initial Pr values after 103 and 10 5 stress cycles, respectively. The overall performance and polarization retention characteristics of these ferroelectric capacitors with inkjet-printed polymer electrodes are comparable to metal and spin-cast polymer electrodes suggesting their potential use in large-area flexible electronics. © 2013 Elsevier Ltd. All rights reserved.

  19. Manufacturing and metrology for IR conformal windows and domes

    Science.gov (United States)

    Ferralli, Ian; Blalock, Todd; Brunelle, Matt; Lynch, Timothy; Myer, Brian; Medicus, Kate

    2017-05-01

    Freeform and conformal optics have the potential to dramatically improve optical systems by enabling systems with fewer optical components, reduced aberrations, and improved aerodynamic performance. These optical components differ from standard components in their surface shape, typically a non-symmetric equation based definition, and material properties. Traditional grinding and polishing tools are unable to handle these freeform shapes. Additionally, standard metrology tools cannot measure these surfaces. Desired substrates are typically hard ceramics, including poly-crystalline alumina or aluminum oxynitride. Notwithstanding the challenges that the hardness provides to manufacturing, these crystalline materials can be highly susceptible to grain decoration creating unacceptable scatter in optical systems. In this presentation, we will show progress towards addressing the unique challenges of manufacturing conformal windows and domes. Particular attention is given to our robotic polishing platform. This platform is based on an industrial robot adapted to accept a wide range of tooling and parts. The robot's flexibility has provided us an opportunity to address the unique challenges of conformal windows. Slurries and polishing active layers can easily be changed to adapt to varying materials and address grain decoration. We have the flexibility to change tool size and shape to address the varying sizes and shapes of conformal optics. In addition, the robotic platform can be a base for a deflectometry-based metrology tool to measure surface form error. This system, whose precision is independent of the robot's positioning accuracy, will allow us to measure optics in-situ saving time and reducing part risk. In conclusion, we will show examples of the conformal windows manufactured using our developed processes.

  20. Line printing solution-processable small molecules with uniform surface profile via ink-jet printer.

    Science.gov (United States)

    Liu, Huimin; Xu, Wei; Tan, Wanyi; Zhu, Xuhui; Wang, Jian; Peng, Junbiao; Cao, Yong

    2016-03-01

    Line printing offers a feasible approach to remove the pixel well structure which is widely used to confine the ink-jet printed solution. In the study, a uniform line is printed by an ink-jet printer. To achieve a uniform surface profile of the printed line, 10vol% low-volatile solvent DMA (3,4-Dimethylanisole) is mixed with high-volatile solvent Pxy (p-xylene) as the solvent. After a solution-processable small molecule is dissolved, the surface tension of DMA solution becomes lower than that of Pxy solution, which creates an inward Marangoni flow during the solvent evaporation. The inward Marangoni flow balances out the outward capillary flow, thereby forming a flat film surface. The line width of the printed line depends on the contact angle of the solution on the hole injection layer. Copyright © 2015 Elsevier Inc. All rights reserved.

  1. Improved performance of inkjet-printed Ag source/drain electrodes for organic thin-film transistors by overcoming the coffee ring effects

    Science.gov (United States)

    Liu, Cheng-Fang; Lin, Yan; Lai, Wen-Yong; Huang, Wei

    2017-11-01

    Inkjet printing is a promising technology for the scalable fabrication of organic electronics because of the material conservation and facile patterning as compared with other solution processing techniques. In this study, we have systematically investigated the cross-sectional profile control of silver (Ag) electrode via inkjet printing. A facile methodology for achieving inkjet-printed Ag source/drain with improved profiles is developed. It is demonstrated that the printing conditions such as substrate temperature, drop spacing and printing layers affect the magnitude of the droplet deposition and the rate of evaporation, which can be optimized to greatly reduce the coffee ring effects for improving the inkjet-printed electrode profiles. Ag source/drain electrodes with uniform profiles were successfully inkjet-printed and incorporated into organic thin-film transistors (OTFTs). The resulting devices showed superior electrical performance than those without special treatments. It is noted to mention that the strategy for modulating the inkjet-printed Ag electrodes in this work does not demand the ink formulation or complicated steps, which is beneficial for scaling up the printing techniques for potential large-area/mass manufacturing.

  2. To Investigate the Absorption, Dynamic Contact Angle and Printability Effects of Synthetic Zeolite Pigments in an Inkjet Receptive Coating

    Science.gov (United States)

    Jalindre, Swaraj Sunil

    Ink absorption performance in inkjet receptive coatings containing synthetic zeolite pigments was studied. Coating pigment pore and particle size distribution are the key parameters that influence in modifying media surface properties, thus affecting the rate of ink penetration and drying time (Scholkopf, et al. 2004). The primary objective of this study was: (1) to investigate the synthetic zeolite pigment effects on inkjet ink absorption, dynamic contact angle and printability, and (2) to evaluate these novel synthetic zeolite pigments in replacing the fumed silica pigments in conventional inkjet receptive coatings. In this research study, single pigment coating formulations (in equal P:B ratio) were prepared using microporous synthetic zeolite pigments (5A, Organophilic and 13X) and polyvinyl alcohol (PVOH) binder. The laboratory-coated samples were characterized for absorption, air permeance, roughness, drying time, wettability and print fidelity. Based on the rheological data, it was found that the synthetic zeolite formulated coatings depicted a Newtonian flow behavior at low shear; while the industry accepted fumed silica based coatings displayed a characteristically high pseudoplastic flow behavior. Our coated samples generated using microporous synthetic zeolite pigments produced low absorption, reduced wettability and accelerated ink drying characteristics. These characteristics were caused due to the synthetic zeolite pigments, which resulted in relatively closed surface structure coated samples. The research suggested that no single selected synthetic zeolite coating performed better than the conventional fumed silica based coatings. Experimental data also showed that there was no apparent relationship between synthetic zeolite pigment pore sizes and inkjet ink absorption. For future research, above coated samples should be evaluated for pore size distribution using Mercury Porosimeter, which quantifies surface porosity of coated samples. This presented

  3. Investigation into the use of smartphone as a machine vision device for engineering metrology and flaw detection, with focus on drilling

    Science.gov (United States)

    Razdan, Vikram; Bateman, Richard

    2015-05-01

    This study investigates the use of a Smartphone and its camera vision capabilities in Engineering metrology and flaw detection, with a view to develop a low cost alternative to Machine vision systems which are out of range for small scale manufacturers. A Smartphone has to provide a similar level of accuracy as Machine Vision devices like Smart cameras. The objective set out was to develop an App on an Android Smartphone, incorporating advanced Computer vision algorithms written in java code. The App could then be used for recording measurements of Twist Drill bits and hole geometry, and analysing the results for accuracy. A detailed literature review was carried out for in-depth study of Machine vision systems and their capabilities, including a comparison between the HTC One X Android Smartphone and the Teledyne Dalsa BOA Smart camera. A review of the existing metrology Apps in the market was also undertaken. In addition, the drilling operation was evaluated to establish key measurement parameters of a twist Drill bit, especially flank wear and diameter. The methodology covers software development of the Android App, including the use of image processing algorithms like Gaussian Blur, Sobel and Canny available from OpenCV software library, as well as designing and developing the experimental set-up for carrying out the measurements. The results obtained from the experimental set-up were analysed for geometry of Twist Drill bits and holes, including diametrical measurements and flaw detection. The results show that Smartphones like the HTC One X have the processing power and the camera capability to carry out metrological tasks, although dimensional accuracy achievable from the Smartphone App is below the level provided by Machine vision devices like Smart cameras. A Smartphone with mechanical attachments, capable of image processing and having a reasonable level of accuracy in dimensional measurement, has the potential to become a handy low-cost Machine vision

  4. An alternative method to achieve metrological confirmation in measurement process

    Science.gov (United States)

    Villeta, M.; Rubio, E. M.; Sanz, A.; Sevilla, L.

    2012-04-01

    Metrological confirmation process must be designed and implemented to ensure that metrological characteristics of the measurement system meet metrological requirements of the measurement process. The aim of this paper is to present an alternative method to the traditional metrological requirements about the relationship between tolerance and measurement uncertainty, to develop such confirmation processes. The proposed way to metrological confirmation considers a given inspection task of the measurement process into the manufacturing system, and it is based on the Index of Contamination of the Capability, ICC. Metrological confirmation process is then developed taking into account the producer risks and economic considerations on this index. As a consequence, depending on the capability of the manufacturing process, the measurement system will be or will not be in adequate state of metrological confirmation for the measurement process.

  5. Dimensional micro and nano metrology

    DEFF Research Database (Denmark)

    Hansen, Hans Nørgaard; da Costa Carneiro, Kim; Haitjema, Han

    2006-01-01

    The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer these chal......The need for dimensional micro and nano metrology is evident, and as critical dimensions are scaled down and geometrical complexity of objects is increased, the available technologies appear not sufficient. Major research and development efforts have to be undertaken in order to answer...... these challenges. The developments have to include new measuring principles and instrumentation, tolerancing rules and procedures as well as traceability and calibration. The current paper describes issues and challenges in dimensional micro and nano metrology by reviewing typical measurement tasks and available...

  6. Critical issues in overlay metrology

    International Nuclear Information System (INIS)

    Sullivan, Neal T.

    2001-01-01

    In this paper, following an overview of overlay metrology, the difficult relationship of overlay with device performance and yield is discussed and supported with several examples. This is followed by a discussion of the impending collision of metrology equipment performance and 'real' process tolerances for sub 0.18 um technologies. This convergence of tolerance and performance is demonstrated to lead to the current emergence of real-time overlay modeling in a feed-forward/feedback process environment and the associated metrology/sampling implications. This modeling takes advantage of the wealth of understanding concerning the systematic behavior of overlay registration errors. Finally, the impact of new process technologies (RET, OAI, CPSM, CMP, and etc.) on the measurement target is discussed and shown to de-stabilize overlay performance on standard overlay measurement target designs

  7. Vendor-based laser damage metrology equipment supporting the National Ignition Facility

    International Nuclear Information System (INIS)

    Campbell, J. H; Jennings, R. T.; Kimmons, J. F.; Kozlowski, M. R.; Mouser, R. P.; Schwatz, S.; Stolz, C. J.; Weinzapfel, C. L.

    1998-01-01

    A sizable laser damage metrology effort is required as part of optics production and installation for the 192 beam National Ignition Facility (NIF) laser. The large quantities, high damage thresholds, and large apertures of polished and coated optics necessitates vendor-based metrology equipment to assure component quality during production. This equipment must be optimized to provide the required information as rapidly as possible with limited operator experience. The damage metrology tools include: (1) platinum inclusion damage test systems for laser amplifier slabs, (2) laser conditioning stations for mirrors and polarizers, and (3) mapping and damage testing stations for UV transmissive optics. Each system includes a commercial Nd:YAG laser, a translation stage for the optics, and diagnostics to evaluate damage. The scanning parameters, optical layout, and diagnostics vary with the test fluences required and the damage morphologies expected. This paper describes the technical objectives and milestones involved in fulfilling these metrology requirements

  8. Radioactivity metrology

    International Nuclear Information System (INIS)

    Legrand, J.

    1979-01-01

    Some aspects of the radioactivity metrology are reviewed. Radioactivity primary references; absolute methods of radioactivity measurements used in the Laboratoire de Metrologie des Rayonnements Ionisants; relative measurement methods; traceability through international comparisons and interlaboratory tests; production and distribution of secondary standards [fr

  9. International symposium on in situ nuclear metrology as a tool for radioecology INSINUME

    International Nuclear Information System (INIS)

    2008-01-01

    Full Text: This symposium, which is the natural continuation of the previous INSINUME conferences, held in Fleurus-Belgium, Albena-Bulgaria and Kusadasi-Turkey, has a dual purpose. First of all, it wants to bring together Radioecologists, Regulatory Authorities as well as Radiological Monitoring System Operators, in order to allow a wide exchange of information regarding practical experience and difficulties encountered in daily radiological monitoring of environment. On the other hand, the symposium intends to focus on the modern nuclear metrological tools, which could be used nowadays to ease the direct remote surveillance of the radiological status of seas, rivers, lakes and earth surface. In the past, these tools were suffering from a lack of sensitivity and reliability and were for that reason mainly used for health physics control that didn't require such a high accuracy. New systems are now at the disposal of the mathematical model users and radioecologists for investigating radioactive contaminants dispersion in normal conditions as well as in case of incidents. On basis of acquired experience and metrology progress, the final object of the symposium is to help the environment radioprotection world, to harmonise its rules, and thus to perform in the future a realistic and useful radiological monitoring. [fr

  10. FOREWORD: Materials metrology Materials metrology

    Science.gov (United States)

    Bennett, Seton; Valdés, Joaquin

    2010-04-01

    It seems that so much of modern life is defined by the materials we use. From aircraft to architecture, from cars to communications, from microelectronics to medicine, the development of new materials and the innovative application of existing ones have underpinned the technological advances that have transformed the way we live, work and play. Recognizing the need for a sound technical basis for drafting codes of practice and specifications for advanced materials, the governments of countries of the Economic Summit (G7) and the European Commission signed a Memorandum of Understanding in 1982 to establish the Versailles Project on Advanced Materials and Standards (VAMAS). This project supports international trade by enabling scientific collaboration as a precursor to the drafting of standards. The VAMAS participants recognized the importance of agreeing a reliable, universally accepted basis for the traceability of the measurements on which standards depend for their preparation and implementation. Seeing the need to involve the wider metrology community, VAMAS approached the Comité International des Poids et Mesures (CIPM). Following discussions with NMI Directors and a workshop at the BIPM in February 2005, the CIPM decided to establish an ad hoc Working Group on the metrology applicable to the measurement of material properties. The Working Group presented its conclusions to the CIPM in October 2007 and published its final report in 2008, leading to the signature of a Memorandum of Understanding between VAMAS and the BIPM. This MoU recognizes the work that is already going on in VAMAS as well as in the Consultative Committees of the CIPM and establishes a framework for an ongoing dialogue on issues of materials metrology. The question of what is meant by traceability in the metrology of the properties of materials is particularly vexed when the measurement results depend on a specified procedure. In these cases, confidence in results requires not only traceable

  11. CD-SEM real time bias correction using reference metrology based modeling

    Science.gov (United States)

    Ukraintsev, V.; Banke, W.; Zagorodnev, G.; Archie, C.; Rana, N.; Pavlovsky, V.; Smirnov, V.; Briginas, I.; Katnani, A.; Vaid, A.

    2018-03-01

    Accuracy of patterning impacts yield, IC performance and technology time to market. Accuracy of patterning relies on optical proximity correction (OPC) models built using CD-SEM inputs and intra die critical dimension (CD) control based on CD-SEM. Sub-nanometer measurement uncertainty (MU) of CD-SEM is required for current technologies. Reported design and process related bias variation of CD-SEM is in the range of several nanometers. Reference metrology and numerical modeling are used to correct SEM. Both methods are slow to be used for real time bias correction. We report on real time CD-SEM bias correction using empirical models based on reference metrology (RM) data. Significant amount of currently untapped information (sidewall angle, corner rounding, etc.) is obtainable from SEM waveforms. Using additional RM information provided for specific technology (design rules, materials, processes) CD extraction algorithms can be pre-built and then used in real time for accurate CD extraction from regular CD-SEM images. The art and challenge of SEM modeling is in finding robust correlation between SEM waveform features and bias of CD-SEM as well as in minimizing RM inputs needed to create accurate (within the design and process space) model. The new approach was applied to improve CD-SEM accuracy of 45 nm GATE and 32 nm MET1 OPC 1D models. In both cases MU of the state of the art CD-SEM has been improved by 3x and reduced to a nanometer level. Similar approach can be applied to 2D (end of line, contours, etc.) and 3D (sidewall angle, corner rounding, etc.) cases.

  12. The effect of surface modifications of carbon nanotubes on the electrical properties of inkjet-printed SWNT/PEDOT-PSS composite line patterns

    International Nuclear Information System (INIS)

    Najeeb, C K; Lee, Jae-Hyeok; Chang, Jingbo; Kim, Jae-Ho

    2010-01-01

    We prepared nanocomposite inks of poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT-PSS) filled with single-walled carbon nanotubes (SWNTs) purified by acidic treatment, carboxylated by chemical oxidation and carboxyl-functionalized nanotubes physically modified with a natural gum, gum arabic. Inkjet printing of line patterns with a feature size of 100 μm width and lengths ranging from 1 to 5 cm was performed on glass substrates with a piezoelectric inkjet printer. The carboxyl-functionalized SWNT-based composite demonstrated a significant decrease (fourfold) of electrical resistance for the line patterns compared to that with a purified CNT-based composite due to improved dispersability of nanotubes in the polymer matrix. The use of gum arabic for the dispersion of carboxyl-functionalized nanotubes demonstrated a further drastic decrease (18-fold) of the resistance compared with a purified CNT-based composite owing to the formation of an extended continuous network within the line pattern. The inkjet-printed conductive patterns can be applied in various fields, such as flexible high speed transistors, high efficiency solar cells and transparent electrodes.

  13. Inkjet printing technology and conductive inks synthesis for microfabrication techniques

    International Nuclear Information System (INIS)

    Dang, Mau Chien; Dung Dang, Thi My; Fribourg-Blanc, Eric

    2013-01-01

    Inkjet printing is an advanced technique which reliably reproduces text, images and photos on paper and some other substrates by desktop printers and is now used in the field of materials deposition. This interest in maskless materials deposition is coupled with the development of microfabrication techniques for the realization of circuits or patterns on flexible substrates for which printing techniques are of primary interest. This paper is a review of some results obtained in inkjet printing technology to develop microfabrication techniques at Laboratory for Nanotechnology (LNT). Ink development, in particular conductive ink, study of printed patterns, as well as application of these to the realization of radio-frequency identification (RFID) tags on flexible substrates, are presented. (paper)

  14. Metrology of human-based and other qualitative measurements

    Science.gov (United States)

    Pendrill, Leslie; Petersson, Niclas

    2016-09-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  15. Metrology of human-based and other qualitative measurements

    International Nuclear Information System (INIS)

    Pendrill, Leslie; Petersson, Niclas

    2016-01-01

    The metrology of human-based and other qualitative measurements is in its infancy—concepts such as traceability and uncertainty are as yet poorly developed. This paper reviews how a measurement system analysis approach, particularly invoking as performance metric the ability of a probe (such as a human being) acting as a measurement instrument to make a successful decision, can enable a more general metrological treatment of qualitative observations. Measures based on human observations are typically qualitative, not only in sectors, such as health care, services and safety, where the human factor is obvious, but also in customer perception of traditional products of all kinds. A principal challenge is that the usual tools of statistics normally employed for expressing measurement accuracy and uncertainty will probably not work reliably if relations between distances on different portions of scales are not fully known, as is typical of ordinal or other qualitative measurements. A key enabling insight is to connect the treatment of decision risks associated with measurement uncertainty to generalized linear modelling (GLM). Handling qualitative observations in this way unites information theory, the perceptive identification and choice paradigms of psychophysics. The Rasch invariant measure psychometric GLM approach in particular enables a proper treatment of ordinal data; a clear separation of probe and item attribute estimates; simple expressions for instrument sensitivity; etc. Examples include two aspects of the care of breast cancer patients, from diagnosis to rehabilitation. The Rasch approach leads in turn to opportunities of establishing metrological references for quality assurance of qualitative measurements. In psychometrics, one could imagine a certified reference for knowledge challenge, for example, a particular concept in understanding physics or for product quality of a certain health care service. Multivariate methods, such as Principal Component

  16. Internet of ''printed'' Things: low-cost fabrication of autonomous sensing nodes by inkjet printing

    International Nuclear Information System (INIS)

    Kawahara, Yoshihiro

    2014-01-01

    ''What if electronics devices are printed using an inkjet printer even at home?'' ''What if those devices no longer need a battery?'' I will introduce two enabling technologies for the Internet of Things concept. 1. Instant Inkjet Circuits: A low cost, fast and accessible technology to support the rapid prototyping of electronic devices. We demonstrated that ''sintering-free'' silver nano particle ink with a commodity inkjet printer can be used to fabricate printed circuit board and high-frequency applications such as antennas and sensors. The technology is now commercialized by AgIC, Inc. 2. Wireless Power: Although large amounts of data can be exchanged over a wireless communication link, mobile devices are still tethered by power cables. We are trying to solve this problem by two different approaches: energy harvesting. A simple circuitry comprised of diodes and capacitor can convert ambient radio signals into DC current. Our research revealed the signals from TV tower located 6.5km apart could be used to feed 100 microwatts to power microcontrollers

  17. Distributed large-scale dimensional metrology new insights

    CERN Document Server

    Franceschini, Fiorenzo; Maisano, Domenico

    2011-01-01

    Focuses on the latest insights into and challenges of distributed large scale dimensional metrology Enables practitioners to study distributed large scale dimensional metrology independently Includes specific examples of the development of new system prototypes

  18. Low-Cost Inkjet-Printed Wireless Sensor Nodes for Environmental and Health Monitoring Applications

    KAUST Repository

    Farooqui, Muhammad Fahad

    2016-11-01

    Increase in population and limited resources have created a growing demand for a futuristic living environment where technology enables the efficient utilization and management of resources in order to increase quality of life. One characteristic of such a society, which is often referred to as a ‘Smart City’, is that the people are well informed about their physiological being as well as the environment around them, which makes them better equipped to handle crisis situations. There is a need, therefore, to develop wireless sensors which can provide early warnings and feedback during calamities such as floods, fires, and industrial leaks, and provide remote health care facilities. For these situations, low-cost sensor nodes with small form factors are required. For this purpose, the use of a low-cost, mass manufacturing technique such as inkjet printing can be beneficial due to its digitally controlled additive nature of depositing material on a variety of substrates. Inkjet printing can permit economical use of material on cheap flexible substrates that allows for the development of miniaturized freeform electronics. This thesis describes how low-cost, inkjet-printed, wireless sensors have been developed for real-time monitoring applications. A 3D buoyant mobile wireless sensor node has been demonstrated that can provide early warnings as well as real-time data for flood monitoring. This disposable paper-based module can communicate while floating in water up to a distance of 50 m, regardless of its orientation in the water. Moreover, fully inkjet-printed sensors have been developed to monitor temperature, humidity and gas levels for wireless environmental monitoring. The sensors are integrated and packaged using 3D inkjet printing technology. Finally, in order to demonstrate the benefits of such wireless sensor systems for health care applications, a low-cost, wearable, wireless sensing system has been developed for chronic wound monitoring. The system

  19. Full densification of inkjet-printed copper conductive tracks on a flexible substrate utilizing a hydrogen plasma sintering

    Science.gov (United States)

    Kwon, Young-Tae; Lee, Young-In; Kim, Seil; Lee, Kun-Jae; Choa, Yong-Ho

    2017-02-01

    Low temperature sintering techniques are crucial in developing flexible printed electronics. In this work, we demonstrate a novel hydrogen plasma sintering method that achieves a full reduction and densification of inkjet-printed patterns using a copper complex ion ink. After inkjet printing on polyethylene terephthalate (PET) substrates, both hydrogen plasma and conventional hydrogen thermal treatment were employed to compare the resulting microstructures, electrical properties and anti-oxidation behavior. The plasma treated pattern shows a fully densified microstructure with a resistivity of 3.23 μΩ cm, while the thermally treated pattern shows a relatively poor microstructure and high resistivity. In addition, the hydrogen plasma-treated copper pattern retains its electrical resistivity for one month without any significant decrease. This novel hydrogen plasma sintering technique could be used to produce conductive patterns with excellent electrical properties, allowing for highly reliable flexible printed electronics.

  20. Dynamics of wetting explored with inkjet printing

    Directory of Open Access Journals (Sweden)

    Völkel Simeon

    2017-01-01

    Full Text Available An inkjet printer head, which is capable of depositing liquid droplets with a resolution of 22 picoliters and high repeatability, is employed to investigate the wetting dynamics of drops printed on a horizontal plane as well as on a granular monolayer. For a sessile drop on a horizontal plane, we characterize the contact angle hysteresis, drop volume and contact line dynamics from side view images. We show that the evaporation rate scales with the dimension of the contact line instead of the surface area of the drop. We demonstrate that the system evolves into a closed cycle upon repeating the depositing-evaporating process, owing to the high repeatability of the printing facility. Finally, we extend the investigation to a granular monolayer in order to explore the interplay between liquid deposition and granular particles.

  1. Improving OCD time to solution using Signal Response Metrology

    Science.gov (United States)

    Fang, Fang; Zhang, Xiaoxiao; Vaid, Alok; Pandev, Stilian; Sanko, Dimitry; Ramanathan, Vidya; Venkataraman, Kartik; Haupt, Ronny

    2016-03-01

    In recent technology nodes, advanced process and novel integration scheme have challenged the precision limits of conventional metrology; with critical dimensions (CD) of device reduce to sub-nanometer region. Optical metrology has proved its capability to precisely detect intricate details on the complex structures, however, conventional RCWA-based (rigorous coupled wave analysis) scatterometry has the limitations of long time-to-results and lack of flexibility to adapt to wide process variations. Signal Response Metrology (SRM) is a new metrology technique targeted to alleviate the consumption of engineering and computation resources by eliminating geometric/dispersion modeling and spectral simulation from the workflow. This is achieved by directly correlating the spectra acquired from a set of wafers with known process variations encoded. In SPIE 2015, we presented the results of SRM application in lithography metrology and control [1], accomplished the mission of setting up a new measurement recipe of focus/dose monitoring in hours. This work will demonstrate our recent field exploration of SRM implementation in 20nm technology and beyond, including focus metrology for scanner control; post etch geometric profile measurement, and actual device profile metrology.

  2. Opportunities and Risks in Semiconductor Metrology

    Science.gov (United States)

    Borden, Peter

    2005-09-01

    New metrology opportunities are constantly emerging as the semiconductor industry attempts to meet scaling requirements. The paper summarizes some of the key FEOL and BEOL needs. These must be weighed against a number of considerations to ensure that they are good opportunities for the metrology equipment supplier. The paper discusses some of these considerations.

  3. Application of Biomaterials and Inkjet Printing to Develop Bacterial Culture System

    Directory of Open Access Journals (Sweden)

    Tithimanan Srimongkon

    2015-01-01

    Full Text Available We created an automated bioassay system based on inkjet printing. Compared to conventional manual bacterial culture systems our printing approach improves the quality as well as the processing speed. A hydrophobic/hydrophilic pattern as a container supporting a culture medium was built on filter paper using a toluene solution of polystyrene for hydrophobization, followed by toluene printing to create several hydrophilic areas. As culture media we used a novel poly(vinyl alcohol based hydrogel and a standard calcium alginate hydrogel. The poly(vinyl alcohol hydrogel was formed by physical crosslinking poly(vinyl alcohol with adipic acid dihydrazide solutions. The conditions of poly(vinyl alcohol gelation were optimized for inkjet printability and the optimum mixture ratio was determined. The calcium alginate hydrogel was formed by chemical reaction between sodium alginate and CaCl2 solutions. Together with nutrients both hydrogel solutions were successfully printed on paper by means of the modified inkjet printer. The amount of each solution was demanded simply by outputting CMYK values. In the last step bacterial cells were printed on both hydrogel media. For both media we achieved a stable bacteria growth which was confirmed by microscopical imaging of the developed bacterial colonies.

  4. Cells immobilized on patterns printed in DNA by an inkjet printer.

    Science.gov (United States)

    Sakurai, Kengo; Teramura, Yuji; Iwata, Hiroo

    2011-05-01

    The ability to two-dimensionally align various kinds of cells freely onto substrate would be a useful tool for analysis of cell-cell interactions. In this study, we aimed to establish a method for attaching cells to the substrate, in which the pattern is drawn by an inkjet printer. Poly-deoxyribonucleic acid (DNA) was immobilized onto the cell surface by use of DNA-conjugated poly(ethylene) glycol-phospholipid (DNA-PEG-lipid), which is the amphiphilic conjugate of PEG-lipid and single-stranded DNA. The surface of the substrate was then modified with the complementary DNA using an inkjet printer. Finally, DNA-immobilized cells were attached onto the substrate through DNA hybridization. The use of the inkjet printer enabled us to draw the DNA pattern accurately on the substrate with a resolution of a few hundred micrometers. DNA-immobilized cells could be attached precisely along the DNA pattern on the substrate. In addition, various kinds of cells could be attached simultaneously by using various sequences of DNA. Our technique is promising for analysis of cell-cell interactions and differentiation induction in stem cell research. Copyright © 2011 Elsevier Ltd. All rights reserved.

  5. Digital power and performance analysis of inkjet printed ring oscillators based on electrolyte-gated oxide electronics

    Science.gov (United States)

    Cadilha Marques, Gabriel; Garlapati, Suresh Kumar; Dehm, Simone; Dasgupta, Subho; Hahn, Horst; Tahoori, Mehdi; Aghassi-Hagmann, Jasmin

    2017-09-01

    Printed electronic components offer certain technological advantages over their silicon based counterparts, like mechanical flexibility, low process temperatures, maskless and additive manufacturing possibilities. However, to be compatible to the fields of smart sensors, Internet of Things, and wearables, it is essential that devices operate at small supply voltages. In printed electronics, mostly silicon dioxide or organic dielectrics with low dielectric constants have been used as gate isolators, which in turn have resulted in high power transistors operable only at tens of volts. Here, we present inkjet printed circuits which are able to operate at supply voltages as low as ≤2 V. Our transistor technology is based on lithographically patterned drive electrodes, the dimensions of which are carefully kept well within the printing resolutions; the oxide semiconductor, the electrolytic insulator and the top-gate electrodes have been inkjet printed. Our inverters show a gain of ˜4 and 2.3 ms propagation delay time at 1 V supply voltage. Subsequently built 3-stage ring oscillators start to oscillate at a supply voltage of only 0.6 V with a frequency of ˜255 Hz and can reach frequencies up to ˜350 Hz at 2 V supply voltage. Furthermore, we have introduced a systematic methodology for characterizing ring oscillators in the printed electronics domain, which has been largely missing. Benefiting from this procedure, we are now able to predict the switching capacitance and driver capability at each stage, as well as the power consumption of our inkjet printed ring oscillators. These achievements will be essential for analyzing the performance and power characteristics of future inkjet printed digital circuits.

  6. Controllable Impregnation Via Inkjet Printing for the Fabrication of Solid Oxide Cell Air Electrodes

    KAUST Repository

    Da'as, E. H.

    2013-10-07

    The impregnation method has been considered as one of the most successful techniques for the fabrication of highly efficient electrodes for solid oxide fuel and electrolysis cells (SOCs) at the lab scale. However, because the impregnation is usually performed manually, its irreproducibility remains a major problem that can be solved by using controllable techniques, such as inkjet printing. In this paper, lanthanum strontium manganite (LSM)/yttria stabilized zirconia (YSZ) air electrodes were prepared by infiltrating YSZ porous bodies with LSM precursor solution using inkjet printing, followed by annealing at 800°C for 2 hours. XRD analysis confirmed the formation of the LSM phase, which was in the form of nanoparticles with size in the 50-70 nm range on the YSZ walls, as revealed by FEG-SEM observations. The effect of printing parameters on the distribution of the impregnated phase was investigated and discussed.

  7. Controllable Impregnation Via Inkjet Printing for the Fabrication of Solid Oxide Cell Air Electrodes

    KAUST Repository

    Da'as, E. H.; Irvine, J. T. S.; Traversa, Enrico; Boulfrad, S.

    2013-01-01

    The impregnation method has been considered as one of the most successful techniques for the fabrication of highly efficient electrodes for solid oxide fuel and electrolysis cells (SOCs) at the lab scale. However, because the impregnation is usually performed manually, its irreproducibility remains a major problem that can be solved by using controllable techniques, such as inkjet printing. In this paper, lanthanum strontium manganite (LSM)/yttria stabilized zirconia (YSZ) air electrodes were prepared by infiltrating YSZ porous bodies with LSM precursor solution using inkjet printing, followed by annealing at 800°C for 2 hours. XRD analysis confirmed the formation of the LSM phase, which was in the form of nanoparticles with size in the 50-70 nm range on the YSZ walls, as revealed by FEG-SEM observations. The effect of printing parameters on the distribution of the impregnated phase was investigated and discussed.

  8. Inkjet printing of insulin microneedles for transdermal delivery.

    Science.gov (United States)

    Ross, Steven; Scoutaris, Nicolaos; Lamprou, Dimitrios; Mallinson, David; Douroumis, Dennis

    2015-08-01

    Inkjet printing technology was used to apply insulin polymeric layers on metal microneedles for transdermal delivery. A range of various polymers such as gelatin (GLN), polyvinyl caprolactame-polyvinyl acetate-polyethylene glycol (SOL), poly(2-ethyl-2-oxazoline) (POX) and trehalose (THL) were assessed for their capacity to form thin uniform and homogeneous layers that preserve insulin intact. Atomic force microscopy (AFM) showed homogeneous insulin-polymer layers without any phase separation while SOL demonstrated the best performance. Circular discroism (CD) analysis of rehydrated films showed that insulin's alpha helices and β-sheet were well preserved for THL and SOL. In contrast, GLN and POX insulin layers revealed small band shifts indicating possible conformational changes. Insulin release in Franz diffusion cells from MNs inserted into porcine skin showed rapid release rates for POX and GLN within the first 20 min. Inkjet printing was proved an effective approach for transdermal delivery of insulin in solid state.

  9. Analysis of key technologies for virtual instruments metrology

    Science.gov (United States)

    Liu, Guixiong; Xu, Qingui; Gao, Furong; Guan, Qiuju; Fang, Qiang

    2008-12-01

    Virtual instruments (VIs) require metrological verification when applied as measuring instruments. Owing to the software-centered architecture, metrological evaluation of VIs includes two aspects: measurement functions and software characteristics. Complexity of software imposes difficulties on metrological testing of VIs. Key approaches and technologies for metrology evaluation of virtual instruments are investigated and analyzed in this paper. The principal issue is evaluation of measurement uncertainty. The nature and regularity of measurement uncertainty caused by software and algorithms can be evaluated by modeling, simulation, analysis, testing and statistics with support of powerful computing capability of PC. Another concern is evaluation of software features like correctness, reliability, stability, security and real-time of VIs. Technologies from software engineering, software testing and computer security domain can be used for these purposes. For example, a variety of black-box testing, white-box testing and modeling approaches can be used to evaluate the reliability of modules, components, applications and the whole VI software. The security of a VI can be assessed by methods like vulnerability scanning and penetration analysis. In order to facilitate metrology institutions to perform metrological verification of VIs efficiently, an automatic metrological tool for the above validation is essential. Based on technologies of numerical simulation, software testing and system benchmarking, a framework for the automatic tool is proposed in this paper. Investigation on implementation of existing automatic tools that perform calculation of measurement uncertainty, software testing and security assessment demonstrates the feasibility of the automatic framework advanced.

  10. Radiative transfer analysis of the effect of ink dot area on color phase in inkjet printing

    International Nuclear Information System (INIS)

    Gonome, Hiroki; Ishikawa, Yuki; Kono, Takahiro; Yamada, Jun

    2017-01-01

    This study discusses a mechanism of inkjet printing and investigates the effect of ink contrast on the color phase of the printed object. Inkjet printing is a popular printing method for home use, but its color repeatability is occasionally broken. To verify this problem, we calculated the radiative transfer equation on the surface of an object printed by an inkjet printer, and the color was quantitatively estimated. The ink dot area and spectral reflectance of the printed samples were measured. Furthermore, the spectral reflectance of the objects printed with different dot areas were theoretically calculated. By comparing the measured and calculated reflectance, we estimated the scattering coefficient of the paper and absorption coefficient of the ink. We quantitatively calculated the color with the HSV color system. The hue changed with dot area rate. It is considered that this is caused by the broad range of the spectral absorption coefficients of inks. We believe that this study will aid the development of ink without color change and improve the color repeatability of inkjet printers. - Highlights: • Radiative transfer on the surface of an object printed by an inkjet printer is modeled. • Spectral reflectance of the printed samples are measured and calculated. • The hue changes with dot area rate because of the broad range of the spectral absorption coefficients of inks.

  11. Present status of metrology of electro-optical surveillance systems

    Science.gov (United States)

    Chrzanowski, K.

    2017-10-01

    There has been a significant progress in equipment for testing electro-optical surveillance systems over the last decade. Modern test systems are increasingly computerized, employ advanced image processing and offer software support in measurement process. However, one great challenge, in form of relative low accuracy, still remains not solved. It is quite common that different test stations, when testing the same device, produce different results. It can even happen that two testing teams, while working on the same test station, with the same tested device, produce different results. Rapid growth of electro-optical technology, poor standardization, limited metrology infrastructure, subjective nature of some measurements, fundamental limitations from laws of physics, tendering rules and advances in artificial intelligence are major factors responsible for such situation. Regardless, next decade should bring significant improvements, since improvement in measurement accuracy is needed to sustain fast growth of electro-optical surveillance technology.

  12. A flexible inkjet printed antenna for wearable electronics applications

    KAUST Repository

    Karimi, Muhammad Akram; Shamim, Atif

    2016-01-01

    Wearable electronics has gained enormous attention since past few years because it is a promising technology to enhance the human experience. This paper shows a modified inverted-F antenna (IFA), inkjet printed directly on the fabric. A flexible

  13. Performance improvement of a drop-on-demand inkjet printhead using an optimization-based feedforward control method

    NARCIS (Netherlands)

    Khalate, A.; Bombois, X.; Babuska, R.; Wijshoff, H.M.A.; Waarsing, R.

    2011-01-01

    The printing quality delivered by a drop-on-demand (DoD) inkjet printhead is limited due to the residual oscillations in the ink channel. The maximal jetting frequency of a DoD inkjet printhead can be increased by quickly damping the residual oscillations and by bringing in this way the ink channel

  14. Introduction to quantum metrology quantum standards and instrumentation

    CERN Document Server

    Nawrocki, Waldemar

    2015-01-01

    This book presents the theory of quantum effects used in metrology and results of the author’s own research in the field of quantum electronics. The book provides also quantum measurement standards used in many branches of metrology for electrical quantities, mass, length, time and frequency. This book represents the first comprehensive survey of quantum metrology problems. As a scientific survey, it propagates a new approach to metrology with more emphasis on its connection with physics. This is of importance for the constantly developing technologies and nanotechnologies in particular. Providing a presentation of practical applications of the effects used in quantum metrology for the construction of quantum standards and sensitive electronic components, the book is useful for a wide audience of physicists and metrologists in the broad sense of both terms. In 2014 a new system of units, the so called  Quantum SI, is introduced. This book helps to understand and approve the new system to both technology a...

  15. Regulation of the Deposition Morphology of Inkjet-Printed Crystalline Materials via Polydopamine Functional Coatings for Highly Uniform and Electrically Conductive Patterns.

    Science.gov (United States)

    Liu, Liang; Ma, Siyuan; Pei, Yunheng; Xiong, Xiao; Sivakumar, Preeth; Singler, Timothy J

    2016-08-24

    We report a method to achieve highly uniform inkjet-printed silver nitrate (AgNO3) and a reactive silver precursor patterns on rigid and flexible substrates functionalized with polydopamine (PDA) coatings. The printed AgNO3 patterns on PDA-coated substrates (glass and polyethylene terephthalate (PET)) exhibit a narrow thickness distribution ranging between 0.9 and 1 μm in the line transverse direction and uniform deposition profiles in the line axial direction. The deposited reactive silver precursor patterns on PDA-functionalized substrates also show "dome-shaped" morphology without "edge-thickened" structure due to "coffee-stain" effect. We posit that the highly uniform functional ink deposits formed on PDA-coated substrates are attributable to the strong binding interaction between the abundant catecholamine moieties at the PDA surface and the metallic silver cations (Ag(+) or Ag(NH3)(2+)) in the solutal inks. During printing of the ink rivulet and solvent evaporation, the substrate-liquid ink (S-L) interface is enriched with the silver-based cations and a solidification at the S/L interface is induced. The preferential solidification initiated at the S-L interface is further verified by the in situ visualization of the dynamic solidification process during solvent evaporation, and results suggest an enhanced crystal nucleation and growth localized at the S-L interface on PDA functionalized substrates. This interfacial interaction mediates solute transport in the liquid phase, resulting in the controlled enrichment of solute at the S-L interface and mitigated solute precipitation in both the contact line region and the liquid ink-vapor (L-V) interface due to evaporation. This mediated transport contributes to the final uniform solid deposition for both types of ink systems. This technique provides a complementary strategy for achieving highly uniform inkjet-printed crystalline structures, and can serve as an innovative foundation for high-precision additive

  16. Inkjet-Printed Flexible Graphene-Based Supercapacitor

    International Nuclear Information System (INIS)

    Ervin, Matthew H.; Le, Linh T.; Lee, Woo Y.

    2014-01-01

    Highlights: • A flexible, inkjet-printed, graphene-based supercapacitor has been demonstrated with a graphene specific capacitance of up to 192 F/g. • Repeated bending of the device for hundreds of cycles resulted in a loss of capacitance of less than 5%. • The permeability of the Kapton packaging materials is a problem for the common aqueous and organic electrolytes, but ionic liquids appear to be well contained. - Abstract: A flexible supercapacitor is being developed for integrating with and powering flexible electronics for military and commercial applications. Graphene oxide dispersed in water was used as an ink for inkjet printing the electrode active material onto metal film on Kapton current collectors. After printing, the graphene oxide was thermally reduced at 200 °C to produce conductive graphene electrodes. These electrodes were heat sealed together with added electrolyte and separator, and the assembled supercapacitor performance was evaluated. The specific capacitance of the graphene is good, and the overall performance of the packaged device serves as a proof of concept. But in the future, thicker graphene electrodes and further package optimization will be required to obtain good device-level performance. A number of issues associated with using Kapton for packaging these devices are identified and discussed

  17. Experimental Study on Inkjet-Printed Passive UHF RFID Tags on Versatile Paper-Based Substrates

    Directory of Open Access Journals (Sweden)

    Han He

    2016-01-01

    Full Text Available We present the possibilities and challenges of passive UHF RFID tag antennas manufactured by inkjet printing silver nanoparticle ink on versatile paper-based substrates. The most efficient manufacturing parameters, such as the pattern resolution, were determined and the optimal number of printed layers was evaluated for each substrate material. Next, inkjet-printed passive UHF RFID tags were fabricated on each substrate with the optimized parameters and number of layers. According to our measurements, the tags on different paper substrates showed peak read ranges of 4–6.5 meters and the tags on different cardboard substrates exhibited peak read ranges of 2–6 meters. Based on their wireless performance, these inkjet-printed paper-based passive UHF RFID tags are sufficient for many future wireless applications and comparable to tags fabricated on more traditional substrates, such as polyimide.

  18. EU ITER TF coil: Dimensional metrology, a key player in the Double Pancake integration

    International Nuclear Information System (INIS)

    Poncet, L.; Bellesia, B.; Oliva, A. Bonito; Boter Rebollo, E.; Cornelis, M.; Cornella Medrano, J.; Harrison, R.; Bue, A. Lo; Moreno, A.; Foussat, A.; Felipe, A.; Echeandia, A.; Barutti, A.; Caserza, B.; Barbero, P.; Stenca, S.; Da Re, A.; Silva Ribeiro, J.; Brocot, C.; Benaoun, S.

    2015-01-01

    Highlights: • Development and qualification of a dimensional metrology procedure on wound superconductor trajectory based on Laser scanning system. • Dimensional control of the conductor centreline during winding, before and after heat treatment. • Radial Plate groove centreline length controlled using Laser Trackers. • Full scale wound Double Pancake prototype transferred inside Radial Plate prototype without any issues. - Abstract: The ITER Toroidal Field (TF) magnet system consists of 18 “D” shaped coils. Fusion for Energy (F4E), the European Domestic Agency for ITER, is responsible for the supply of 10 out the 19 TF coils (18 installed plus one spare coil). Each TF coil, about 300 t in weight, is made of a stainless steel case containing a Winding Pack (WP). The European manufacturing of the Radial Plates (RPs) and WPs has been awarded to two different industrial partners, whose activities are strongly linked with each other. In order to manufacture a Double Pancake (DP), first, the conductor has to be bent onto a D-shaped double spiral trajectory, then heat treated and inserted in the grooves of the RP. This represents the most challenging manufacturing step: in order to fit inside the groove, the double spiral trajectory of the conductor must match almost perfectly the trajectory of the groove, over a length above 700 m. In order to achieve this, the conductor trajectory length must be controlled with an accuracy of 1 mm over a length of 350 m while the radial plate groove has to be machined with tolerances of ±0.2 mm over dimensions of more than 10 m. In order to succeed, it has been essential to develop a metrology process capable to control with high accuracy both the DP conductor and the RP groove trajectories. This paper reports on the work carried out on the development and qualification of the dimensional metrology to monitor the manufacturing of the conductor. Reference is made to the final dimensional check of the RP focusing on the

  19. Inkjet Impregnation for Tailoring Air Electrode Microstructure to Improve Solid Oxide Cells Performance

    KAUST Repository

    Da’as, Eman H.

    2015-09-30

    The urge to lower the operating temperature of solid oxide cells (SOCs) to the intermediate ranges between 500-700°C motivated the research into impregnation processes, which offer highly efficient SOC air electrodes at low operating temperatures. Lack of controllability and reproducibility of this technique in the conventional way is still considered as an inadequacy for industrialization since it is performed manually. Therefore, inkjet-printing technology was proposed as an adequate approach to perform scalable and controllable impregnation for SOC air electrodes, which in turn leads to low operating temperatures. Composite LSM-ionic conductive air electrodes of weight ratio 1:2 were fabricated by inkjet impregnation of lanthanum strontium manganite (La0.8Sr0.2MnO3) precursor nitrates onto a porous ionic conductive backbone structure. First, porous yttria stabilized zirconia (8YSZ) substrates prepared by tape casting were used to study the influence of the printing parameters on the lateral dispersion and penetration of LSM ink inside the pores. XRD analysis confirmed the formation of LSM phase after calcination at 800°C for 2 h, while SEM revealed the formation of LSM nanostructures. It has been found by optical microscope observations that the spacing between the drops and the substrate temperature have a significant role in controlling the printing process. Next, the optimized printing parameters were applied in the inkjet impregnation of the LSM ink into porous YSZ electrodes that were spin coated on both sides of dense YSZ layers. LSM-YSZ composite air electrodes achieved an area specific resistance (ASR) of around 0.29 Ω.cm2 at 700°C. The performance of LSM-YSZ composite electrodes was influenced by the microstructure and the thickness, and by the electrode/electrolyte interface characteristics. As a result, the enhancement in LSM-YSZ composite electrode performance was observed due to the better percolation in LSM, YSZ and oxygen diffusion. Finally

  20. Slovak Office of Standards, Metrology and Testing. Annual Report 2001

    International Nuclear Information System (INIS)

    2002-01-01

    A brief account of activities carried out by the Slovak Office of Standards, Metrology and Testing of the Slovak Republic in 2001 is presented. These activities are reported under the headings: (1) Introduction by the President of the Slovak Office of Standards, Metrology and Testing; (2) The Vice-president's Unit Standardization and Quality; (3) The President's Office; (4) Chief Inspector Department; (5) Legislative-juridical Department; (6) Department of Economy; (7) Department of International Co-operation; (8) Department of European Integration; (9) Department of Metrology; (10) Department of Testing; (11) Department of the Cyclotron Centre SR; (12) Slovak Institute of Metrology; (13) Slovak Standards Institution; (14) Slovak Metrology Inspectorate; (15) Slovak Legal Metrology; (16) Measuring Techniques - Technocentre - MTT; Abbreviations; (17) Technical Testing Institute Piestany; (18) Testing Institute of Transport and Earthmoving Machinery - SUDST

  1. Fabrication of Lanthanum Strontium Cobalt Ferrite-Gadolinium-Doped Ceria Composite Cathodes Using a Low-Price Inkjet Printer.

    Science.gov (United States)

    Han, Gwon Deok; Choi, Hyung Jong; Bae, Kiho; Choi, Hyeon Rak; Jang, Dong Young; Shim, Joon Hyung

    2017-11-15

    In this work, we have successfully fabricated lanthanum strontium cobalt ferrite (LSCF)-gadolinium-doped ceria (GDC) composite cathodes by inkjet printing and demonstrated their functioning in solid oxide fuel cells (SOFCs). The cathodes are printed using a low-cost HP inkjet printer, and the LSCF and GDC source inks are synthesized with fluidic properties optimum for inkjet printing. The composition and microstructure of the LSCF and GDC layers are successfully controlled by controlling the color level in the printed images and the number of printing cycles, respectively. Anode-support type SOFCs with optimized LSCF-GDC composite cathodes synthesized by our inkjet printing method have achieved a power output of over 570 mW cm -2 at 650 °C, which is comparable to the performance of a commercial SOFC stack. Electrochemical impedance analysis is carried out to establish a relationship between the cell performance and the compositional and structural characteristics of the printed LSCF-GDC composite cathodes.

  2. Radiative transfer analysis of the effect of ink dot area on color phase in inkjet printing

    Science.gov (United States)

    Gonome, Hiroki; Ishikawa, Yuki; Kono, Takahiro; Yamada, Jun

    2017-06-01

    This study discusses a mechanism of inkjet printing and investigates the effect of ink contrast on the color phase of the printed object. Inkjet printing is a popular printing method for home use, but its color repeatability is occasionally broken. To verify this problem, we calculated the radiative transfer equation on the surface of an object printed by an inkjet printer, and the color was quantitatively estimated. The ink dot area and spectral reflectance of the printed samples were measured. Furthermore, the spectral reflectance of the objects printed with different dot areas were theoretically calculated. By comparing the measured and calculated reflectance, we estimated the scattering coefficient of the paper and absorption coefficient of the ink. We quantitatively calculated the color with the HSV color system. The hue changed with dot area rate. It is considered that this is caused by the broad range of the spectral absorption coefficients of inks. We believe that this study will aid the development of ink without color change and improve the color repeatability of inkjet printers.

  3. Inkjet printing of Chitlac-nanosilver—a method to create functional coatings for non-metallic bone implants

    International Nuclear Information System (INIS)

    Nganga, Sara; Moritz, Niko; Jakobsson, Kristina; Vallittu, Pekka K; Kolakovic, Ruzica; Nyman, Johan O; Sandler, Niklas; Borgogna, Massimiliano; Travan, Andrea; Donati, Ivan; Crosera, Matteo

    2014-01-01

    Biostable fiber-reinforced composites, based on bisphenol-A-dimethacrylate and triethyleneglycoldimethacrylate thermoset polymer matrix reinforced with E-glass fibers have been successfully used in cranial reconstructions and the material has been approved for clinical use. As a further refinement of these implants, antimicrobial, non-cytotoxic coatings on the composites were created by an immersion procedure driven by strong electrostatic interactions. Silver nanoparticles (nAg) were immobilized in lactose-modified chitosan (Chitlac) to prepare the bacteriostatic coatings. Herein, we report the use of inkjet technology (a drop-on-demand inkjet printer) to deposit functional Chitlac-nAg coatings on the thermoset substrates. Characterization methods included scanning electron microscopy, scanning white light interferometry and electro-thermal atomic absorption spectroscopy. Inkjet printing enabled the fast and flexible functionalization of the thermoset surfaces with controlled coating patterns. The coatings were not impaired by the printing process: the kinetics of silver release from the coatings created by inkjet printing and conventional immersion technique was similar. Further research is foreseen to optimize printing parameters and to tailor the characteristics of the coatings for specific clinical applications. (note)

  4. Inkjet printing of Chitlac-nanosilver--a method to create functional coatings for non-metallic bone implants.

    Science.gov (United States)

    Nganga, Sara; Moritz, Niko; Kolakovic, Ruzica; Jakobsson, Kristina; Nyman, Johan O; Borgogna, Massimiliano; Travan, Andrea; Crosera, Matteo; Donati, Ivan; Vallittu, Pekka K; Sandler, Niklas

    2014-10-22

    Biostable fiber-reinforced composites, based on bisphenol-A-dimethacrylate and triethyleneglycoldimethacrylate thermoset polymer matrix reinforced with E-glass fibers have been successfully used in cranial reconstructions and the material has been approved for clinical use. As a further refinement of these implants, antimicrobial, non-cytotoxic coatings on the composites were created by an immersion procedure driven by strong electrostatic interactions. Silver nanoparticles (nAg) were immobilized in lactose-modified chitosan (Chitlac) to prepare the bacteriostatic coatings. Herein, we report the use of inkjet technology (a drop-on-demand inkjet printer) to deposit functional Chitlac-nAg coatings on the thermoset substrates. Characterization methods included scanning electron microscopy, scanning white light interferometry and electro-thermal atomic absorption spectroscopy. Inkjet printing enabled the fast and flexible functionalization of the thermoset surfaces with controlled coating patterns. The coatings were not impaired by the printing process: the kinetics of silver release from the coatings created by inkjet printing and conventional immersion technique was similar. Further research is foreseen to optimize printing parameters and to tailor the characteristics of the coatings for specific clinical applications.

  5. High-volume manufacturing device overlay process control

    Science.gov (United States)

    Lee, Honggoo; Han, Sangjun; Woo, Jaeson; Lee, DongYoung; Song, ChangRock; Heo, Hoyoung; Brinster, Irina; Choi, DongSub; Robinson, John C.

    2017-03-01

    Overlay control based on DI metrology of optical targets has been the primary basis for run-to-run process control for many years. In previous work we described a scenario where optical overlay metrology is performed on metrology targets on a high frequency basis including every lot (or most lots) at DI. SEM based FI metrology is performed ondevice in-die as-etched on an infrequent basis. Hybrid control schemes of this type have been in use for many process nodes. What is new is the relative size of the NZO as compared to the overlay spec, and the need to find more comprehensive solutions to characterize and control the size and variability of NZO at the 1x nm node: sampling, modeling, temporal frequency and control aspects, as well as trade-offs between SEM throughput and accuracy.

  6. Comparison of filters: Inkjet printed on PEN substrate versus a laser-etched on LCP substrate

    KAUST Repository

    Arabi, Eyad A.

    2014-10-01

    In this paper, microstrip-based bandpass filters on polyethylene naphthalate (PEN) and liquid crystal polymers (LCP) are presented to investigate the performance of filters on ultra-thin substrates. PEN (with a thickness of 120 μm) has been characterized and used for a filter for the first time. In addition to being low cost and transparent, it demonstrates comparable RF performance to LCP. The conductor losses are compared by fabricating filters with inkjet printed lines as well as laser etched copper clad LCP sheets. With 5 layers of inkjet printing, and a curing temperature below 200°C, a final silver thickness of 2 μm and conductivity of 9.6 × 106 S/m are achieved. The designs are investigated at two frequencies, 24 GHz as well as 5 GHz to assess their performance at high and low frequencies respectively. The 24 GHz inkjet printed filter shows an insertion loss of 2 dB, while the 5 GHz design gives an insertion loss of 8 dB. We find that thin substrates have a strong effect on the insertion loss of filters especially as the frequency is reduced. The same design, realized on LCP (thickness of 100 μm) through laser etching, demonstrates a very similar performance, thus verifying this finding. © 2014 European Microwave Association.

  7. Comparison of filters: Inkjet printed on PEN substrate versus a laser-etched on LCP substrate

    KAUST Repository

    Arabi, Eyad A.; McKerricher, Garret; Shamim, Atif

    2014-01-01

    In this paper, microstrip-based bandpass filters on polyethylene naphthalate (PEN) and liquid crystal polymers (LCP) are presented to investigate the performance of filters on ultra-thin substrates. PEN (with a thickness of 120 μm) has been characterized and used for a filter for the first time. In addition to being low cost and transparent, it demonstrates comparable RF performance to LCP. The conductor losses are compared by fabricating filters with inkjet printed lines as well as laser etched copper clad LCP sheets. With 5 layers of inkjet printing, and a curing temperature below 200°C, a final silver thickness of 2 μm and conductivity of 9.6 × 106 S/m are achieved. The designs are investigated at two frequencies, 24 GHz as well as 5 GHz to assess their performance at high and low frequencies respectively. The 24 GHz inkjet printed filter shows an insertion loss of 2 dB, while the 5 GHz design gives an insertion loss of 8 dB. We find that thin substrates have a strong effect on the insertion loss of filters especially as the frequency is reduced. The same design, realized on LCP (thickness of 100 μm) through laser etching, demonstrates a very similar performance, thus verifying this finding. © 2014 European Microwave Association.

  8. Development and application of high-precision metrology for the ATLAS tile-calorimeter construction (pre-assembly experience and lessons)

    International Nuclear Information System (INIS)

    Batusov, V.Yu.; Budagov, Yu.A.; Khubua, D.I.

    2004-01-01

    In view of the forthcoming ATLAS assembly in the pit the pre-assembly of the Hadron Tile Calorimeter BARRELS was undertaken at the laboratory hall. A complex of metrology methods (laser, photogrammetry, theodolite, mechanic, PREDICTION programme) developed at the principal stages and resulted in successful high-precision erection of the barrels has been described

  9. Emerging technology for astronomical optics metrology

    Science.gov (United States)

    Trumper, Isaac; Jannuzi, Buell T.; Kim, Dae Wook

    2018-05-01

    Next generation astronomical optics will enable science discoveries across all fields and impact the way we perceive the Universe in which we live. To build these systems, optical metrology tools have been developed that push the boundary of what is possible. We present a summary of a few key metrology technologies that we believe are critical for the coming generation of optical surfaces.

  10. In-die photomask registration and overlay metrology with PROVE using 2D correlation methods

    Science.gov (United States)

    Seidel, D.; Arnz, M.; Beyer, D.

    2011-11-01

    According to the ITRS roadmap, semiconductor industry drives the 193nm lithography to its limits, using techniques like double exposure, double patterning, mask-source optimization and inverse lithography. For photomask metrology this translates to full in-die measurement capability for registration and critical dimension together with challenging specifications for repeatability and accuracy. Especially, overlay becomes more and more critical and must be ensured on every die. For this, Carl Zeiss SMS has developed the next generation photomask registration and overlay metrology tool PROVE® which serves the 32nm node and below and which is already well established in the market. PROVE® features highly stable hardware components for the stage and environmental control. To ensure in-die measurement capability, sophisticated image analysis methods based on 2D correlations have been developed. In this paper we demonstrate the in-die capability of PROVE® and present corresponding measurement results for shortterm and long-term measurements as well as the attainable accuracy for feature sizes down to 85nm using different illumination modes and mask types. Standard measurement methods based on threshold criteria are compared with the new 2D correlation methods to demonstrate the performance gain of the latter. In addition, mask-to-mask overlay results of typical box-in-frame structures down to 200nm feature size are presented. It is shown, that from overlay measurements a reproducibility budget can be derived that takes into account stage, image analysis and global effects like mask loading and environmental control. The parts of the budget are quantified from measurement results to identify critical error contributions and to focus on the corresponding improvement strategies.

  11. Methodology for evaluating pattern transfer completeness in inkjet printing with irregular edges

    Science.gov (United States)

    Huang, Bo-Cin; Chan, Hui-Ju; Hong, Jian-Wei; Lo, Cheng-Yao

    2016-06-01

    A methodology for quantifying and qualifying pattern transfer completeness in inkjet printing through examining both pattern dimensions and pattern contour deviations from reference design is proposed, which enables scientifically identifying and evaluating inkjet-printed lines, corners, circles, ellipses, and spirals with irregular edges of bulging, necking, and unpredictable distortions resulting from different process conditions. This methodology not only avoids differences in individual perceptions of ambiguous pattern distortions but also indicates the systematic effects of mechanical stresses applied in different directions to a polymer substrate, and is effective for both optical and electrical microscopy in direct and indirect lithography or lithography-free patterning.

  12. Methodology for evaluating pattern transfer completeness in inkjet printing with irregular edges

    International Nuclear Information System (INIS)

    Huang, Bo-Cin; Chan, Hui-Ju; Lo, Cheng-Yao; Hong, Jian-Wei

    2016-01-01

    A methodology for quantifying and qualifying pattern transfer completeness in inkjet printing through examining both pattern dimensions and pattern contour deviations from reference design is proposed, which enables scientifically identifying and evaluating inkjet-printed lines, corners, circles, ellipses, and spirals with irregular edges of bulging, necking, and unpredictable distortions resulting from different process conditions. This methodology not only avoids differences in individual perceptions of ambiguous pattern distortions but also indicates the systematic effects of mechanical stresses applied in different directions to a polymer substrate, and is effective for both optical and electrical microscopy in direct and indirect lithography or lithography-free patterning. (paper)

  13. New Yellow Synergist for Stable Pigment Dispersion of Inkjet Ink.

    Science.gov (United States)

    Song, Gihyun; Lee, Hayoon; Jung, Hyocheol; Kang, Seokwoo; Park, Jongwook

    2018-02-01

    Minimizing ink droplet and self-dispersed pigment mixture are becoming hot issues for high resolution of inkjet printing. New synergist including sulfonic acid group of PY-74 was suggested and synthesized. Pigment itself did not show water solubility but new synergist, SY-11 exhibited good solubility in water and organic solvents such as DMSO and DMF. When aqueous pigment ink was prepared with SY-11, storage stability of the ink has been remained for 7 days under periodically repeated heating and cooling conditions. Particle size of formulated ink was around 150 nm.

  14. A New Miniaturized Inkjet Printed Solid State Electrolyte Sensor for Applications in Life Support Systems - First Results

    Science.gov (United States)

    Hill, Christine; Stefanos Fasoulas, -; Eberhart, Martin; Berndt, Felix

    New generations of integrated closed loop systems will combine life support systems (incl. biological components) and energy systems such as fuel cell and electrolysis systems. Those systems and their test beds also contain complex safety sensor monitoring systems. Especially in fuel cells and electrolysis systems, the hydrogen and oxygen flows and exchange into other areas due to diffusion processes or leaks need to be monitored. Knowledge of predominant gas concentrations at all times is essential to avoid explosive gas mixtures. Solid state electrolyte sensors are promising for use as safety sensors. They have already been developed and produced at various institutes, but the power consumption for heating an existing solid state electrolyte sensor element still lies between 1 to 1.5 W and the operational readiness still takes about 20 to 30 s. This is partially due to the current manufacturing process for the solid state electrolyte sensor elements that is based on screen printing technology. However this technology has strong limitations in flexibility of the layout and re-designs. It is therefore suitable for mass production, but not for a flexible development and the production of specific individual sensors, e.g. for space applications. Moreover a disadvantage is the relatively high material consumption, especially in combination with the sensors need of expensive noble metal and ceramic pastes, which leads to a high sensor unit price. The Inkjet technology however opens up completely new possibilities in terms of dimensions, geometries, structures, morphologies and materials of sensors. This new approach is capable of printing finer high-resolution layers without the necessity of meshes or masks for patterning. Using the Inkjet technology a design change is possible at any time on the CAD screen. Moreover the ink is only deposited where it is needed. Custom made sensors, as they are currently demanded in space sensor applications, are thus realized simply

  15. Metrology in Pharmaceutical Industry - A Case Study

    International Nuclear Information System (INIS)

    Yuvamoto, Priscila D.; Fermam, Ricardo K. S.; Nascimento, Elizabeth S.

    2016-01-01

    Metrology is recognized by improving production process, increasing the productivity, giving more reliability to the measurements and consequently, it impacts in the economy of a country. Pharmaceutical area developed GMP (Good Manufacture Practice) requeriments, with no introduction of metrological concepts. However, due to Nanomedicines, it is expected this approach and the consequent positive results. The aim of this work is to verify the level of metrology implementation in a Brazilian pharmaceutical industry, using a case study. The purpose is a better mutual comprehension by both areas, acting together and governmental support to robustness of Brazilian pharmaceutical area. (paper)

  16. Metrology test object for dimensional verification in additive manufacturing of metals for biomedical applications.

    Science.gov (United States)

    Teeter, Matthew G; Kopacz, Alexander J; Nikolov, Hristo N; Holdsworth, David W

    2015-01-01

    Additive manufacturing continues to increase in popularity and is being used in applications such as biomaterial ingrowth that requires sub-millimeter dimensional accuracy. The purpose of this study was to design a metrology test object for determining the capabilities of additive manufacturing systems to produce common objects, with a focus on those relevant to medical applications. The test object was designed with a variety of features of varying dimensions, including holes, cylinders, rectangles, gaps, and lattices. The object was built using selective laser melting, and the produced dimensions were compared to the target dimensions. Location of the test objects on the build plate did not affect dimensions. Features with dimensions less than 0.300 mm did not build or were overbuilt to a minimum of 0.300 mm. The mean difference between target and measured dimensions was less than 0.100 mm in all cases. The test object is applicable to multiple systems and materials, tests the effect of location on the build, uses a minimum of material, and can be measured with a variety of efficient metrology tools (including measuring microscopes and micro-CT). Investigators can use this test object to determine the limits of systems and adjust build parameters to achieve maximum accuracy. © IMechE 2014.

  17. Large-area compatible fabrication and encapsulation of inkjet-printed humidity sensors on flexible foils with integrated thermal compensation

    International Nuclear Information System (INIS)

    Molina-Lopez, F; Quintero, A Vásquez; Mattana, G; Briand, D; De Rooij, N F

    2013-01-01

    This work presents the simultaneous fabrication of ambient relative humidity (RH) and temperature sensors arrays, inkjet-printed on flexible substrates and subsequently encapsulated at foil level. These sensors are based on planar interdigitated capacitors with an inkjet-printed sensing layer and meander-shaped resistors. Their combination allows the compensation of the RH signals variations at different temperatures. The whole fabrication of the system is carried out at foil level and involves the utilization of additive methods such as inkjet-printing and electrodeposition. Electrodeposition of the printed lines resulted in an improvement of the thermoresistors. The sensors have been characterized and their performances analyzed. The encapsulation layer does not modify the performances of the sensors in terms of sensitivity or response time. This work demonstrates the potential of inkjet-printing in the large-area fabrication of light-weight and cost-efficient gas sensors on flexible substrates. (paper)

  18. Consultative committee on ionizing radiation: Impact on radionuclide metrology

    International Nuclear Information System (INIS)

    Karam, L.R.; Ratel, G.

    2016-01-01

    In response to the CIPM MRA, and to improve radioactivity measurements in the face of advancing technologies, the CIPM's consultative committee on ionizing radiation developed a strategic approach to the realization and validation of measurement traceability for radionuclide metrology. As a consequence, measurement institutions throughout the world have devoted no small effort to establish radionuclide metrology capabilities, supported by active quality management systems and validated through prioritized participation in international comparisons, providing a varied stakeholder community with measurement confidence. - Highlights: • Influence of CIPM MRA on radionuclide metrology at laboratories around the world. • CCRI strategy: to be the “undisputed hub for ionizing radiation global metrology.” • CCRI Strategic Plan stresses importance of measurement confidence for stakeholder. • NMIs increasing role in radionuclide metrology by designating institutions (DIs). • NMIs and DIs establish quality systems; validate capabilities through comparisons.

  19. Fabrication of In Vitro Cancer Microtissue Array on Fibroblast-Layered Nanofibrous Membrane by Inkjet Printing

    Directory of Open Access Journals (Sweden)

    Tae-Min Park

    2017-11-01

    Full Text Available In general, a drug candidate is evaluated using 2D-cultured cancer cells followed by an animal model. Despite successful preclinical testing, however, most drugs that enter human clinical trials fail. The high failure rates are mainly caused by incompatibility between the responses of the current models and humans. Here, we fabricated a cancer microtissue array in a multi-well format that exhibits heterogeneous and batch-to-batch structure by continuous deposition of collagen-suspended Hela cells on a fibroblast-layered nanofibrous membrane via inkjet printing. Expression of both Matrix Metalloproteinase 2 (MMP2 and Matrix Metalloproteinase 9 (MMP9 was higher in cancer microtissues than in fibroblast-free microtissues. The fabricated microtissues were treated with an anticancer drug, and high drug resistance to doxorubicin occurred in cancer microtissues but not in fibroblast-free microtissues. These results introduce an inkjet printing fabrication method for cancer microtissue arrays, which can be used for various applications such as early drug screening and gradual 3D cancer studies.

  20. Impact of the ITRS Metrology Roadmap

    International Nuclear Information System (INIS)

    Diebold, Alain C.

    2001-01-01

    The International Technology Roadmap for Semiconductors (ITRS) provides the semiconductor industry with the timing of critical technology needs for future generations of integrated circuits. The Metrology roadmap in the ITRS describes the measurement needs based on the process requirements found in the Lithography, Front End Processes, Interconnect, and Packaging Roadmaps. This paper illustrates the impact of the Metrology Roadmap on the development of key measurement technology

  1. On the evaluation of photogrammetric methods for dense 3D surface reconstruction in a metrological context

    Science.gov (United States)

    Toschi, I.; Capra, A.; De Luca, L.; Beraldin, J.-A.; Cournoyer, L.

    2014-05-01

    This paper discusses a methodology to evaluate the accuracy of recently developed image-based 3D modelling techniques. So far, the emergence of these novel methods has not been supported by the definition of an internationally recognized standard which is fundamental for user confidence and market growth. In order to provide an element of reflection and solution to the different communities involved in 3D imaging, a promising approach is presented in this paper for the assessment of both metric quality and limitations of an open-source suite of tools (Apero/MicMac), developed for the extraction of dense 3D point clouds from a set of unordered 2D images. The proposed procedural workflow is performed within a metrological context, through inter-comparisons with "reference" data acquired with two hemispherical laser scanners, one total station, and one laser tracker. The methodology is applied to two case studies, designed in order to analyse the software performances in dealing with both outdoor and environmentally controlled conditions, i.e. the main entrance of Cathédrale de la Major (Marseille, France) and a custom-made scene located at National Research Council of Canada 3D imaging Metrology Laboratory (Ottawa). Comparative data and accuracy evidence produced for both tests allow the study of some key factors affecting 3D model accuracy.

  2. Celtiberian metrology and its romanization

    Directory of Open Access Journals (Sweden)

    Leonard A. CURCHIN

    2013-05-01

    Full Text Available Celtiberian metrology has scarcely been investigated until now, with the exception of coin weights. On the basis of measurements of pre-Roman mud bricks, a Celtiberian foot of 24 cm is proposed. With regard to weights, we can accept a module of 9 g for silver jewelry and some bronze coins; however, loom weights do not conform to any metrological system. Over time, Roman measures of length (as indicated by the dimensions of bricks, tiles and architectural monuments and weight were adopted.

  3. Management of metrology in measuring of the displacement of building construction

    Directory of Open Access Journals (Sweden)

    Jiří Kratochvíl

    2007-06-01

    Full Text Available The metrology management of the measurement of the displacement of building construction is not regulated in the standard ČSN ISO 73 0405 - Measurement of the displacement of building construction. But the metrology management has to be included in the project of measurement of the displacement (Stage of project. Then we have to pay an attention to the metrological management during this measurement (Stage of realization and during the evaluation of this measurement (Stage of evaluation. We have to insist on the subsequent improving of metrology management within the frame of the next project (so-called feedback. The metrology management in the measurement of the displacement during the stages should be based on an application of statutory instruments and technical standards. We should insist especially on the system of standards for the quality control ISO 9000. Considering specialities of geodetic measurements it is necessary to adapt the metrology management. That is why it will differ from the metrology management in other fields of knowledge. This paper includes some steps of metrological provision which must not be ignored.

  4. Silver inkjet printing with control of surface energy and substrate temperature

    International Nuclear Information System (INIS)

    Lee, S-H; Shin, K-Y; Hwang, J Y; Kang, K T; Kang, H S

    2008-01-01

    The characteristics of silver inkjet printing were intensively investigated with control of surface energy and substrate temperature. A fluorocarbon (FC) film was spincoated on a silicon (Si) substrate to obtain a hydrophobic surface, and an ultraviolet (UV)/ozone (O 3 ) treatment was performed to control the surface wettability of the FC film surface. To characterize the surface changes, we performed measurements of the static and dynamic contact angles and calculated the surface energy by Wu's harmonic mean model. The surface energy of the FC film increased with the UV/O 3 treatment time, while the contact angles decreased. In silver inkjet printing, the hydrophobic FC film could reduce the diameter of the printed droplets. Merging of deposited droplets was observed when the substrate was kept at room temperature. Substrate heating was effective in preventing the merging phenomenon among the deposited droplets, and in reducing the width of printed lines. The merging phenomenon of deposited droplets was also prevented by increasing the UV/O 3 treatment time. Continuous silver lines in the width range of 48.04–139.21 µm were successfully achieved by inkjet printing on the UV/O 3 -treated hydrophobic FC films at substrate temperatures below 90 °C

  5. Modified processing conditions for optimized organic solar cells with inkjet printed P3HT:PC61BM active layers

    International Nuclear Information System (INIS)

    Lange, Alexander; Hollaender, Andreas; Wegener, Michael

    2013-01-01

    Highlights: ► Inkjet printing was used to deposit P3HT:PC 61 BM solar cell active layers. ► The fill factor was dependent on the drying conditions used after printing. ► Fast drying at 100 °C and post-annealing resulted in good device performance. ► Devices with active layers which were slowly dried had high efficiencies without post-annealing. -- Abstract: Inkjet printing can be used to deposit the functional layers of organic solar cells and it offers advantages over spin coating such as the possibility to print films with user-defined patterns. In this study, inkjet printing was utilized to deposit polymer:fullerene solar cell active layers and different drying and annealing conditions were examined in order to optimize device performance. Low fill factors of approximately 30% were found for devices with printed active layers that were dried at 100 °C and a considerable shift in the fill factor of up to 60% was seen after post-annealing at 150 °C. Changes in the fill factor corresponded to an increase in device efficiency from ∼1.3% to ∼2.4% after post-annealing. An alternative active layer drying procedure was used based on solvent annealing which resulted in high fill factors of 60% and efficiencies of ∼2.4% without post-annealing. Blend films were examined with atomic force microscopy, ultra-violet visible spectroscopy and X-ray photoelectron spectroscopy. It was determined that solvent annealed, inkjet printed active layers are considerably rougher and show enhanced organization with respect to films that were dried at 100 °C. Two preparation routes are provided for devices with printed active layers with acceptable efficiencies based on quick drying and post-annealing or slow drying (solvent annealing)

  6. Conductive Carbon Nanotube Inks for Use with Desktop Inkjet Printing Technology

    Science.gov (United States)

    Roberson, Luke; Williams, Martha; Tate, LaNetra; Fortier, Craig; Smith, David; Davia, Kyle; Gibson, Tracy; Snyder, Sarah

    2013-01-01

    Inkjet printing is a common commercial process. In addition to the familiar use in printing documents from computers, it is also used in some industrial applications. For example, wire manufacturers are required by law to print the wire type, gauge, and safety information on the exterior of each foot of manufactured wire, and this is typically done with inkjet or laser printers. The goal of this work was the creation of conductive inks that can be applied to a wire or flexible substrates via inkjet printing methods. The use of inkjet printing technology to print conductive inks has been in testing for several years. While researchers have been able to get the printing system to mechanically work, the application of conductive inks on substrates has not consistently produced adequate low resistances in the kilohm range. Conductive materials can be applied using a printer in single or multiple passes onto a substrate including textiles, polymer films, and paper. The conductive materials are composed of electrical conductors such as carbon nanotubes (including functionalized carbon nanotubes and metal-coated carbon nanotubes); graphene, a polycyclic aromatic hydrocarbon (e.g., pentacene and bisperipentacene); metal nanoparticles; inherently conductive polymers (ICP); and combinations thereof. Once the conductive materials are applied, the materials are dried and sintered to form adherent conductive materials on the substrate. For certain formulations, increased conductivity can be achieved by printing on substrates supported by low levels of magnetic field alignment. The adherent conductive materials can be used in applications such as damage detection, dust particle removal, smart coating systems, and flexible electronic circuitry. By applying alternating layers of different electrical conductors to form a layered composite material, a single homogeneous layer can be produced with improved electrical properties. It is believed that patterning alternate layers of

  7. A European project on high temperature metrology for industrial applications; Un proyecto europeo en metrologia de altas temperaturas para aplicaciones industriales

    Energy Technology Data Exchange (ETDEWEB)

    Campo, D. del; Machin, G.

    2013-09-01

    The measurement of temperatures above 1000 degree centigrade is both difficult and yet vital for the success of a wide range of industrial processes; glass and ceramic manufacturing (1100 degree centigrade to 2000 degree centigrade) or refractory metals production (2500+ degree centigrade) are clear examples. Many of these industries require improved process efficiency/control, because of growing environmental concerns (emissions zero waste) and competition from outside the EU. One of the keys to making advances to these drivers is improving process control by improved high temperature measurement. In the frame of the European Metrology Research Program (EMRP), a project named High temperature metrology for industrial applications (HiTeMS) with the overall objective of developing a suite of methods and techniques for improving the measurement of high temperatures in industry has been running since September 2011. This paper gives an overview of the main objectives of the project and the technical activities that are being performed. (Author)

  8. Relativistic quantum metrology: exploiting relativity to improve quantum measurement technologies.

    Science.gov (United States)

    Ahmadi, Mehdi; Bruschi, David Edward; Sabín, Carlos; Adesso, Gerardo; Fuentes, Ivette

    2014-05-22

    We present a framework for relativistic quantum metrology that is useful for both Earth-based and space-based technologies. Quantum metrology has been so far successfully applied to design precision instruments such as clocks and sensors which outperform classical devices by exploiting quantum properties. There are advanced plans to implement these and other quantum technologies in space, for instance Space-QUEST and Space Optical Clock projects intend to implement quantum communications and quantum clocks at regimes where relativity starts to kick in. However, typical setups do not take into account the effects of relativity on quantum properties. To include and exploit these effects, we introduce techniques for the application of metrology to quantum field theory. Quantum field theory properly incorporates quantum theory and relativity, in particular, at regimes where space-based experiments take place. This framework allows for high precision estimation of parameters that appear in quantum field theory including proper times and accelerations. Indeed, the techniques can be applied to develop a novel generation of relativistic quantum technologies for gravimeters, clocks and sensors. As an example, we present a high precision device which in principle improves the state-of-the-art in quantum accelerometers by exploiting relativistic effects.

  9. Inkjet Printing Based Mono-layered Photonic Crystal Patterning for Anti-counterfeiting Structural Colors

    Science.gov (United States)

    Nam, Hyunmoon; Song, Kyungjun; Ha, Dogyeong; Kim, Taesung

    2016-01-01

    Photonic crystal structures can be created to manipulate electromagnetic waves so that many studies have focused on designing photonic band-gaps for various applications including sensors, LEDs, lasers, and optical fibers. Here, we show that mono-layered, self-assembled photonic crystals (SAPCs) fabricated by using an inkjet printer exhibit extremely weak structural colors and multiple colorful holograms so that they can be utilized in anti-counterfeit measures. We demonstrate that SAPC patterns on a white background are covert under daylight, such that pattern detection can be avoided, but they become overt in a simple manner under strong illumination with smartphone flash light and/or on a black background, showing remarkable potential for anti-counterfeit techniques. Besides, we demonstrate that SAPCs yield different RGB histograms that depend on viewing angles and pattern densities, thus enhancing their cryptographic capabilities. Hence, the structural colorations designed by inkjet printers would not only produce optical holograms for the simple authentication of many items and products but also enable a high-secure anti-counterfeit technique. PMID:27487978

  10. Use of national metrological references of dose absorbed in water and application of the IAEA TRS nr 398 dosimetry protocol to high energy photon beams. BNM-LNHB-LCIE-SFPM working group

    International Nuclear Information System (INIS)

    Chauvenet, B.; Delaunay, F.; Dolo, J.M.; Le Roy, G.; Bridier, A.; Francois, P.; Sabattier, R.

    2003-01-01

    Metrological references of dose absorbed in water for high energy photon beams used in radiotherapy have been elaborated during the past years by national calibration laboratories, and these new references are the basis of recent dosimetry protocols. However, the passage from metrological references of air kerma to dose absorbed in water, as well as the practical application of new calibration opportunities for dosemeters in high energy X ray beams requires a specific attention to maintain the consistency of dose measurement references over the hospital site. In this respect, this guide aims at the application of these metrological references. It proposes recommendations for the application of metrological references in terms of dose absorbed in water on the hospital site with reference to their determination conditions and to the implementation of the new IAEA dosimetry protocol (TRS nr 398). Thus, this guide proposes an overview of metrological references in French calibration laboratories, presents calibration methods (air kerma in a cobalt 60 gamma photon beam, dose absorbed in water) and a comparison with the IAEA TRS 277 dosimetry protocol. It addresses various practical aspects, and discusses uncertainties

  11. Three-dimensional inkjet biofabrication based on designed images

    International Nuclear Information System (INIS)

    Arai, Kenichi; Iwanaga, Shintaroh; Toda, Hideki; Genci, Capi; Nakamura, Makoto; Nishiyama, Yuichi

    2011-01-01

    Tissue engineering has been developed with the ultimate aim of manufacturing human organs, but success has been limited to only thin tissues and tissues with no significant structures. In order to construct more complicated tissues, we have developed a three-dimensional (3D) fabrication technology in which 3D structures are directly built up by layer-by-layer printing with living cells and several tissue components. We developed a custom-made inkjet printer specially designed for this purpose. Recently, this printer was improved, and the on-demand printing mode was developed and installed to fabricate further complicated structures. As a result of this version, 3D layer-by-layer printing based on complicated image data has become possible, and several 2D and 3D structures with more complexity than before were successfully fabricated. The effectiveness of the on-demand printing mode in the fabrication of complicated 3D tissue structures was confirmed. As complicated 3D structures are essential for biofunctional tissues, inkjet 3D biofabrication has great potential for engineering complicated bio-functional tissues.

  12. Three-dimensional inkjet biofabrication based on designed images

    Energy Technology Data Exchange (ETDEWEB)

    Arai, Kenichi; Iwanaga, Shintaroh; Toda, Hideki; Genci, Capi; Nakamura, Makoto [Graduate School of Science and Engineering, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan); Nishiyama, Yuichi, E-mail: maknaka@eng.u-toyama.ac.jp [Bioprinting Project of Kanagawa Academy of Science and Technology, Kawasaki (Japan)

    2011-09-15

    Tissue engineering has been developed with the ultimate aim of manufacturing human organs, but success has been limited to only thin tissues and tissues with no significant structures. In order to construct more complicated tissues, we have developed a three-dimensional (3D) fabrication technology in which 3D structures are directly built up by layer-by-layer printing with living cells and several tissue components. We developed a custom-made inkjet printer specially designed for this purpose. Recently, this printer was improved, and the on-demand printing mode was developed and installed to fabricate further complicated structures. As a result of this version, 3D layer-by-layer printing based on complicated image data has become possible, and several 2D and 3D structures with more complexity than before were successfully fabricated. The effectiveness of the on-demand printing mode in the fabrication of complicated 3D tissue structures was confirmed. As complicated 3D structures are essential for biofunctional tissues, inkjet 3D biofabrication has great potential for engineering complicated bio-functional tissues.

  13. A design of optical modulation system with pixel-level modulation accuracy

    Science.gov (United States)

    Zheng, Shiwei; Qu, Xinghua; Feng, Wei; Liang, Baoqiu

    2018-01-01

    Vision measurement has been widely used in the field of dimensional measurement and surface metrology. However, traditional methods of vision measurement have many limits such as low dynamic range and poor reconfigurability. The optical modulation system before image formation has the advantage of high dynamic range, high accuracy and more flexibility, and the modulation accuracy is the key parameter which determines the accuracy and effectiveness of optical modulation system. In this paper, an optical modulation system with pixel level accuracy is designed and built based on multi-points reflective imaging theory and digital micromirror device (DMD). The system consisted of digital micromirror device, CCD camera and lens. Firstly we achieved accurate pixel-to-pixel correspondence between the DMD mirrors and the CCD pixels by moire fringe and an image processing of sampling and interpolation. Then we built three coordinate systems and calculated the mathematic relationship between the coordinate of digital micro-mirror and CCD pixels using a checkerboard pattern. A verification experiment proves that the correspondence error is less than 0.5 pixel. The results show that the modulation accuracy of system meets the requirements of modulation. Furthermore, the high reflecting edge of a metal circular piece can be detected using the system, which proves the effectiveness of the optical modulation system.

  14. Metrology for radioactive waste management. (WP2, WP3)

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. In this presentation the Project is described. (author)

  15. A 24 GHz CMOS oscillator transmitter with an inkjet printed on-chip antenna

    KAUST Repository

    Ghaffar, Farhan A.

    2016-08-15

    CMOS based RF circuits have demonstrated efficient performance over the decades. However, one bottle neck with this technology is its lossy nature for passive components such as inductors, antennas etc. Due to this drawback, passives are either implemented off chip or the designers work with the inefficient passives. This problem can be alleviated by using inkjet printing as a post process on CMOS chip. In this work, we demonstrate inkjet printing of a patterned polymer (SU8) layer on a 24 GHz oscillator chip to isolate the lossy Si substrate from the passives which are inkjet printed on top of the SU8 layer. As a proof of concept, a monopole antenna is printed on top of the SU8 layer integrating it with the oscillator through the exposed RF pads to realize an oscillator transmitter. The proposed hybrid fabrication technique can be extended to multiple dielectric and conductive printed layers to demonstrate complete RF systems on CMOS chips which are efficient, cost-effective and above all small in size. © 2016 IEEE.

  16. Methodology and technological aspects of the flexible substrate preparation for ink-jet printing technology

    Science.gov (United States)

    Tarapata, Grzegorz; Marzecki, Michał

    2013-10-01

    The ink-jet printing technology becomes especially promising for wide volume of production of cheap sensors, consumable electronics and other dedicated applications of everyday life like smart packaging, smart textiles, smart labels, etc. To achieve this goal new materials compatible with ink-jet printing should be developed. Currently on the market there is a growing number of inks with different properties, but their use requires many tests related to its printability and their interaction with other materials. The paper presents technological problems that are encountered by people associated with fabrication of various devices with using of inkjet printing techniques. Results presented in the paper show the influence of surface preparation techniques on the quality of achieved shapes, the impact of other materials already deposited and the impact of another external factors. During carried out experiments the printer Dimatix DMP 2831 and several inks base on nanosilver or dielectric UV curable was used.

  17. Wide frequency independently controlled dual-band inkjet-printed antenna

    KAUST Repository

    AbuTarboush, Hattan F.

    2014-01-08

    A low-cost inkjet-printed multiband monopole antenna is presented. The unique advantage of the proposed antenna is the freedom to adjust and set the dual-band of the antenna independently over a wide range (148.83%). To demonstrate the independent control feature, the 2.4 and 3.4 GHz bands for the wireless local area network (WLAN) and worldwide interoperability for microwave access (WiMAX) applications are selected as an example. The measured impedance bandwidths for the 2.4 and 3.4 GHz are 15.2 and 23.7%, respectively. These dual-bands have the ability to be controlled independently between 1.1 and 7.5 GHz without affecting the other band. In addition, the proposed antenna can be assigned for different mobile and wireless applications such as GPS, PCS, GSM 1800, 1900, UMTS, and up to 5-GHz WLAN and WiMAX applications. The mechanism of independent control of each radiator through dimensional variation is discussed in detail. The antenna has a compact size of 10 × 37.3 × 0.44 mm3, leaving enough space for the driving electronics on the paper substrate. The measured results from the prototype are in good agreement with the simulated results. Owing to inkjet printing on an ordinary paper, the design is extremely light weight and highly suitable for low cost and large volume manufacturing. © The Institution of Engineering and Technology 2013.

  18. State preparation for quantum information science and metrology

    International Nuclear Information System (INIS)

    Samblowski, Aiko

    2012-01-01

    The precise preparation of non-classical states of light is a basic requirement for performing quantum information tasks and quantum metrology. Depending on the assignment, the range of required states varies from preparing and modifying squeezed states to generating bipartite entanglement and establishing multimode entanglement networks. Every state needs special preparation techniques and hence it is important to develop the experimental expertise to generate all states with the desired degree of accuracy. In this thesis, the experimental preparation of different kinds of non-classical states of light is demonstrated. Starting with a multimode entangled state, the preparation of an unconditionally generated bound entangled state of light of unprecedented accuracy is shown. Its existence is of fundamental interest, since it certifies an intrinsic irreversibility of entanglement and suggests a connection with thermodynamics. The state is created in a network of linear optics, utilizing optical parametric amplifiers, operated below threshold, beam splitters and phase gates. The experimental platform developed here afforded the precise and stable control of all experimental parameters. Focusing on the aspect of quantum information networks, the generation of suitable bipartite entangled states of light is desirable. The optical connection between atomic transitions and light that can be transmitted via telecommunications fibers opens the possibility to employ quantum memories within fiber networks. For this purpose, a non-degenerate optical parametric oscillator is operated above threshold and the generation of bright bipartite entanglement between its twin beams at the wavelengths of 810 nm and 1550 nm is demonstrated. In the field of metrology, quantum states are used to enhance the measurement precision of interferometric gravitational wave (GW) detectors. Recently, the sensitivity of a GW detector operated at a wavelength of 1064 nm was increased using squeezed

  19. State preparation for quantum information science and metrology

    Energy Technology Data Exchange (ETDEWEB)

    Samblowski, Aiko

    2012-06-08

    The precise preparation of non-classical states of light is a basic requirement for performing quantum information tasks and quantum metrology. Depending on the assignment, the range of required states varies from preparing and modifying squeezed states to generating bipartite entanglement and establishing multimode entanglement networks. Every state needs special preparation techniques and hence it is important to develop the experimental expertise to generate all states with the desired degree of accuracy. In this thesis, the experimental preparation of different kinds of non-classical states of light is demonstrated. Starting with a multimode entangled state, the preparation of an unconditionally generated bound entangled state of light of unprecedented accuracy is shown. Its existence is of fundamental interest, since it certifies an intrinsic irreversibility of entanglement and suggests a connection with thermodynamics. The state is created in a network of linear optics, utilizing optical parametric amplifiers, operated below threshold, beam splitters and phase gates. The experimental platform developed here afforded the precise and stable control of all experimental parameters. Focusing on the aspect of quantum information networks, the generation of suitable bipartite entangled states of light is desirable. The optical connection between atomic transitions and light that can be transmitted via telecommunications fibers opens the possibility to employ quantum memories within fiber networks. For this purpose, a non-degenerate optical parametric oscillator is operated above threshold and the generation of bright bipartite entanglement between its twin beams at the wavelengths of 810 nm and 1550 nm is demonstrated. In the field of metrology, quantum states are used to enhance the measurement precision of interferometric gravitational wave (GW) detectors. Recently, the sensitivity of a GW detector operated at a wavelength of 1064 nm was increased using squeezed

  20. Elaboration of a microstructured inkjet-printed carbon electrochemical capacitor

    Energy Technology Data Exchange (ETDEWEB)

    Pech, David; Brunet, Magali; Fabre, Norbert; Mesnilgrente, Fabien; Conedera, Veronique; Durou, Hugo [LAAS-CNRS, Universite de Toulouse, 7 av. du Colonel Roche, F-31077 Toulouse (France); Taberna, Pierre-Louis; Simon, Patrice [CIRIMAT-CNRS, Universite de Toulouse, 118 route de Narbonne, F-31062 Toulouse (France)

    2010-02-15

    Carbon-based micro-supercapacitors dedicated to energy storage in self-powered modules were fabricated with inkjet printing technology on silicon substrate. An ink was first prepared by mixing an activated carbon powder with a PTFE polymer binder in ethylene glycol stabilized with a surfactant then deposited by inkjet on patterned gold current collectors with the substrate heated at 140 C in order to assure a good homogeneity. Electrochemical micro-capacitors with electrodes in an interdigital configuration were fabricated, and characterized using electrochemical techniques in 1 M Et{sub 4}NBF{sub 4} propylene carbonate electrolyte. These micro-devices show an excellent capacitive behavior over a wide potential range of 2.5 V for a cell capacitance of 2.1 mF cm{sup -2}. The newly developed technology will allow the integration of the storage device as close as possible to the MEMS-based energy harvesting device, minimizing power losses through connections. (author)

  1. Formation and Characterization of Inkjet-Printed Nanosilver Lines on Plasma-Treated Glass Substrates

    Directory of Open Access Journals (Sweden)

    Jae-Sung Kwon

    2018-02-01

    Full Text Available In this study, we investigated geometrical characteristics of the inkjet-printed lines with non-zero receding contact angle (CA on plasma-treated substrates in terms of various printing variables and analyzed the fluidic behavior and hydrodynamic instability involved in the line formation process. The printing variables included surface energy, droplet overlap ratio, printing frequency, a number of ink droplets, substrate temperature and printing procedures. For the study, a colloidal suspension containing 56 wt % silver nanoparticles in tetradecane solvent was used as a printing ink. It has electrical resistivity of 4.7 μΩ·cm. The substrates were obtained by performing a plasma enhanced chemical vapor deposition (PECVD process with C4F8 and O2 under various treatment conditions. As results of the experiments, the surface shape and pattern of the inkjet-printed Ag lines were dominantly influenced by the surface energy of the substrates, among the printing variables. Accordingly even when the receding CA was non-zero, bulging instability of the lines occurred forming separate circular patterns or regular bulges connected by ridges. It is a new finding of this study, which is completely different with the bulging instability of inkjet lines with zero receding CA specified by previous researches. The bulging instability decreased by increasing surface temperature of the substrates or employing interlacing procedure instead of continuous procedure for printing. The interlacing procedure also was advantageous to fabricate thick and narrow Ag lines with well-defined shape through overprinting on a hydrophobic substrate. These results will contribute greatly to not only the production of various printed electronics containing high-aspect-ratio structures but also the improvement of working performance of the devices.

  2. Inkjet printing and low temperature sintering for organic electronic applications

    NARCIS (Netherlands)

    Wünscher, S.; Teichler, A.; Perelaer, J.; Abbel, R.J.; Schubert, U.S.

    2012-01-01

    In recent years, inkjet printing is increasingly used as a flexible and digital patterning technique in order to deposit functional materials for the manufacturing of microelectronic applications, including radio frequency identification (RFID) tags, organic photovoltaics (OPV), organic light

  3. 3D inkjet printed disposable environmental monitoring wireless sensor node

    KAUST Repository

    Farooqui, Muhammad Fahad; Shamim, Atif

    2017-01-01

    We propose a disposable, miniaturized, moveable, fully integrated 3D inkjet-printed wireless sensor node for large area environmental monitoring applications. As a proof of concept, we show the wireless sensing of temperature, humidity and H2S

  4. Study and operating conditions of HTS Josephson arrays for metrological application

    International Nuclear Information System (INIS)

    Sosso, A.; Lacquaniti, V.; Andreone, D.; Cerri, R.; Klushin, A.M.

    2006-01-01

    We report an experimental study of metrological properties of high-temperature superconductor arrays, made of shunted bicrystal YBCO Josephson junctions. The work is mainly based on a direct comparison against a low temperature array. Owing to the high sensitivity of the measurements, we observed at nanovolt level the changes in the HTS array voltage on a step. A precise estimate of the dependence of the HTS array step width on operating conditions was obtained. Differences were observed with respect to the results of low sensitivity techniques, confirming that our method is necessary in the study of HTS arrays for metrology. The high sensitivity analysis was also applied in the derivation of the temperature dependence of the critical current, providing insights on the behavior of the HTS array

  5. Coherence enhanced quantum metrology in a nonequilibrium optical molecule

    Science.gov (United States)

    Wang, Zhihai; Wu, Wei; Cui, Guodong; Wang, Jin

    2018-03-01

    We explore the quantum metrology in an optical molecular system coupled to two environments with different temperatures, using a quantum master equation beyond secular approximation. We discover that the steady-state coherence originating from and sustained by the nonequilibrium condition can enhance quantum metrology. We also study the quantitative measures of the nonequilibrium condition in terms of the curl flux, heat current and entropy production at the steady state. They are found to grow with temperature difference. However, an apparent paradox arises considering the contrary behaviors of the steady-state coherence and the nonequilibrium measures in relation to the inter-cavity coupling strength. This paradox is resolved by decomposing the heat current into a population part and a coherence part. Only the latter, the coherence part of the heat current, is tightly connected to the steady-state coherence and behaves similarly with respect to the inter-cavity coupling strength. Interestingly, the coherence part of the heat current flows from the low-temperature reservoir to the high-temperature reservoir, opposite to the direction of the population heat current. Our work offers a viable way to enhance quantum metrology for open quantum systems through steady-state coherence sustained by the nonequilibrium condition, which can be controlled and manipulated to maximize its utility. The potential applications go beyond quantum metrology and extend to areas such as device designing, quantum computation and quantum technology in general.

  6. Tunable inkjet-printed slotted waveguide antenna on a ferrite substrate

    KAUST Repository

    Nafe, Ahmed

    2015-04-13

    In this work an inkjet-printed frequency-tunable slotted waveguide antenna on a ferrite substrate is reported. Unlike the typical substrate integrated waveguide approach with via holes, a true 3D rectangular waveguide is realized by inkjet-printing of nano-particle based conductive ink on the broad faces as well as on sides of the substrate. The operating frequency of the antenna can be tuned by applying a variable static bias magnetic field that controls the permeability of the host ferrite substrate. The antenna operates about a center frequency of approximately 14 GHz with an instantaneous impedance bandwidth of 75 MHz. A fabricated prototype has demonstrated a tuning range of 10% (1.5 GHz) using an applied bias magnetic field of 3 kOe yielding it especially attractive for tunable and reconfigurable yet low cost microwave systems.

  7. An inkjet-printed chemical fuse

    International Nuclear Information System (INIS)

    Mabrook, M F; Pearson, C; Petty, M C

    2005-01-01

    Inkjet printing of the conductive polymer poly(3, 4-ethylene dioxythiophene) doped with polystyrene sulfonated acid (PEDOT-PSS) has been used as the basis for a sensor for organic vapours. The electrical resistance of the film was monitored as it was exposed to atmospheres containing alcohol. Ultrathin films exhibited a sharp and nonreversible increase in their resistance. This resulted from a change in the morphology of the organic layer, thereby disrupting the current flow through the polymer. An intended application for these inkjetprinted devices is in disposable handheld instruments to monitor the presence of organic vapours above a threshold level

  8. Inkjet and screen printing for electronic applications

    OpenAIRE

    Medina Rodríguez, Beatriz

    2016-01-01

    Printed electronics (PE) is a set of printing methods used to create electrical devices on various substrates. Printing typically uses common printing equipment suitable for defining patterns on material, such as screen printing, flexography, gravure, offset lithography, and inkjet. Electrically functional, electronic or optical inks are deposited on the substrate, creating active or passive devices. PE offers a great advantage when compared to traditional processes or microelectronics du...

  9. Multispectral calibration to enhance the metrology performance of C-mount camera systems

    Directory of Open Access Journals (Sweden)

    S. Robson

    2014-06-01

    Full Text Available Low cost monochrome camera systems based on CMOS sensors and C-mount lenses have been successfully applied to a wide variety of metrology tasks. For high accuracy work such cameras are typically equipped with ring lights to image retro-reflective targets as high contrast image features. Whilst algorithms for target image measurement and lens modelling are highly advanced, including separate RGB channel lens distortion correction, target image circularity compensation and a wide variety of detection and centroiding approaches, less effort has been directed towards optimising physical target image quality by considering optical performance in narrow wavelength bands. This paper describes an initial investigation to assess the effect of wavelength on camera calibration parameters for two different camera bodies and the same ‘C-mount’ wide angle lens. Results demonstrate the expected strong influence on principal distance, radial and tangential distortion, and also highlight possible trends in principal point, orthogonality and affinity parameters which are close to the parameter estimation noise level from the strong convergent self-calibrating image networks.

  10. Joint Research on Scatterometry and AFM Wafer Metrology

    NARCIS (Netherlands)

    Bodermann, B.; Buhr, E.; Danzebrink, H.U.; Bär, M.; Scholze, F.; Krumrey, M.; Wurm, M.; Klapetek, P.; Hansen, P.E.; Korpelainen, V.; Van Veghel, M.; Yacoot, A.; Siitonen, S.; El Gawhary, O.; Burger, S.; Saastamoinen, T.

    2011-01-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both

  11. La metrología en nuestras vidas

    OpenAIRE

    Jaramillo, Zaira

    2010-01-01

    A primera vista, la palabra "Metrología" nos trae a la mente la idea de condiciones meteorológicas. Nada más alejado de la realidad, porque la Meteorología es la disciplina que se encarga de estudiar las condiciones del tiempo y la Metrología se encarga de estudiar las mediciones.

  12. Development of a spectroscopic Mueller matrix imaging ellipsometer for nanostructure metrology

    International Nuclear Information System (INIS)

    Chen, Xiuguo; Du, Weichao; Yuan, Kui; Chen, Jun; Jiang, Hao; Zhang, Chuanwei; Liu, Shiyuan

    2016-01-01

    In this paper, we describe the development of a spectroscopic Mueller matrix imaging ellipsometer (MMIE), which combines the great power of Mueller matrix ellipsometry with the high spatial resolution of optical microscopy. A dual rotating-compensator configuration is adopted to collect the full 4 × 4 imaging Mueller matrix in a single measurement. The light wavelengths are scanned in the range of 400–700 nm by a monochromator. The instrument has measurement accuracy and precision better than 0.01 for all the Mueller matrix elements in both the whole image and the whole spectral range. The instrument was then applied for the measurement of nanostructures combined with an inverse diffraction problem solving technique. The experiment performed on a photoresist grating sample has demonstrated the great potential of MMIE for accurate grating reconstruction from spectral data collected by a single pixel of the camera and for efficient quantification of geometrical profile of the grating structure over a large area with pixel resolution. It is expected that MMIE will be a powerful tool for nanostructure metrology in future high-volume nanomanufacturing.

  13. Development of a spectroscopic Mueller matrix imaging ellipsometer for nanostructure metrology

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Xiuguo; Du, Weichao; Yuan, Kui; Chen, Jun; Jiang, Hao, E-mail: hjiang@hust.edu.cn [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Zhang, Chuanwei; Liu, Shiyuan, E-mail: hjiang@hust.edu.cn [State Key Laboratory of Digital Manufacturing Equipment and Technology, Huazhong University of Science and Technology, Wuhan 430074 (China); Wuhan Eoptics Technology Co. Ltd., Wuhan 430075 (China)

    2016-05-15

    In this paper, we describe the development of a spectroscopic Mueller matrix imaging ellipsometer (MMIE), which combines the great power of Mueller matrix ellipsometry with the high spatial resolution of optical microscopy. A dual rotating-compensator configuration is adopted to collect the full 4 × 4 imaging Mueller matrix in a single measurement. The light wavelengths are scanned in the range of 400–700 nm by a monochromator. The instrument has measurement accuracy and precision better than 0.01 for all the Mueller matrix elements in both the whole image and the whole spectral range. The instrument was then applied for the measurement of nanostructures combined with an inverse diffraction problem solving technique. The experiment performed on a photoresist grating sample has demonstrated the great potential of MMIE for accurate grating reconstruction from spectral data collected by a single pixel of the camera and for efficient quantification of geometrical profile of the grating structure over a large area with pixel resolution. It is expected that MMIE will be a powerful tool for nanostructure metrology in future high-volume nanomanufacturing.

  14. Phase-locking to a free-space terahertz comb for metrological-grade terahertz lasers.

    Science.gov (United States)

    Consolino, L; Taschin, A; Bartolini, P; Bartalini, S; Cancio, P; Tredicucci, A; Beere, H E; Ritchie, D A; Torre, R; Vitiello, M S; De Natale, P

    2012-01-01

    Optical frequency comb synthesizers have represented a revolutionary approach to frequency metrology, providing a grid of frequency references for any laser emitting within their spectral coverage. Extending the metrological features of optical frequency comb synthesizers to the terahertz domain would be a major breakthrough, due to the widespread range of accessible strategic applications and the availability of stable, high-power and widely tunable sources such as quantum cascade lasers. Here we demonstrate phase-locking of a 2.5 THz quantum cascade laser to a free-space comb, generated in a LiNbO(3) waveguide and covering the 0.1-6 THz frequency range. We show that even a small fraction (quantum cascade laser is sufficient to generate a beat note suitable for phase-locking to the comb, paving the way to novel metrological-grade terahertz applications, including high-resolution spectroscopy, manipulation of cold molecules, astronomy and telecommunications.

  15. Embedding of inkjet-printed Ag-grid/ITO hybrid transparent electrode ...

    Indian Academy of Sciences (India)

    2018-02-02

    Feb 2, 2018 ... Keywords. Solution process; inkjet; electrohydrodynamic printing; transparent electrode; flexible electrode. 1. Introduction. Transparent electrodes (TEs) are one of the most indispensable materials to fabricate rapidly emerging elec- tronic devices, including flexible displays, touch panels, photovoltaic cells ...

  16. Differential Evolution for Many-Particle Adaptive Quantum Metrology

    NARCIS (Netherlands)

    Lovett, N.B.; Crosnier, C.; Perarnau- Llobet, M.; Sanders, B.

    2013-01-01

    We devise powerful algorithms based on differential evolution for adaptive many-particle quantum metrology. Our new approach delivers adaptive quantum metrology policies for feedback control that are orders-of-magnitude more efficient and surpass the few-dozen-particle limitation arising in methods

  17. Principal component analysis for the forensic discrimination of black inkjet inks based on the Vis-NIR fibre optics reflection spectra.

    Science.gov (United States)

    Gál, Lukáš; Oravec, Michal; Gemeiner, Pavol; Čeppan, Michal

    2015-12-01

    Nineteen black inkjet inks of six different brands were examined by fibre optics reflection spectroscopy in Visible and Near Infrared Region (Vis-NIR FORS) directly on paper with a view to achieving good resolution between them. These different inks were tested on nineteen different inkjet printers from three brands. Samples were obtained from prints by reflection probe. Processed reflection spectra in the range 500-1000 nm were used as samples in principal component analysis. Variability between spectra of the same ink obtained from different prints, as well as between spectra of square areas and lines was examined. For both spectra obtained from square areas and lines reference, Principal Component Analysis (PCA) models were created. According to these models, the inkjet inks were divided into clusters. PCA method is able to separate inks containing carbon black as main colorant from the other inks using other colorants. Some spectra were recorded from another piece of printer and used as validation samples. Spectra of validation samples were projected onto reference PCA models. According to position of validation samples in score plots it can be concluded that PCA based on Vis-NIR FORS can reliably differentiate inkjet inks which are included in the reference database. The presented method appears to be a suitable tool for forensic examination of questioned documents containing inkjet inks. Inkjet inks spectra were obtained without extraction or cutting sample with possibility to measure out of the laboratory. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  18. Metrological issues in molecular radiotherapy

    International Nuclear Information System (INIS)

    D'Arienzo, Marco; Capogni, Marco; Smyth, Vere; Cox, Maurice; Johansson, Lena; Bobin, Christophe

    2014-01-01

    The therapeutic effect from molecular radiation therapy (MRT), on both tumour and normal tissue, is determined by the radiation absorbed dose. Recent research indicates that as a consequence of biological variation across patients the absorbed dose can vary, for the same administered activity, by as much as two orders of magnitude. The international collaborative EURAMET-EMRP project Metrology for molecular radiotherapy (MetroMRT) is addressing this problem. The overall aim of the project is to develop methods of calibrating and verifying clinical dosimetry in MRT. In the present paper an overview of the metrological issues in molecular radiotherapy is provided. (authors)

  19. Metrology and properties of engineering surfaces

    CERN Document Server

    Greenwood, J; Chetwynd, D

    2001-01-01

    Metrology and Properties of Engineering Surfaces provides in a single volume a comprehensive and authoritative treatment of the crucial topics involved in the metrology and properties of engineering surfaces. The subject matter is a central issue in manufacturing technology, since the quality and reliability of manufactured components depend greatly upon the selection and qualities of the appropriate materials as ascertained through measurement. The book can in broad terms be split into two parts; the first deals with the metrology of engineering surfaces and covers the important issues relating to the measurement and characterization of surfaces in both two and three dimensions. This covers topics such as filtering, power spectral densities, autocorrelation functions and the use of Fractals in topography. A significant proportion is dedicated to the calibration of scanning probe microscopes using the latest techniques. The remainder of the book deals with the properties of engineering surfaces and covers a w...

  20. Speckle-based portable device for in-situ metrology of x-ray mirrors at Diamond Light Source

    Science.gov (United States)

    Wang, Hongchang; Kashyap, Yogesh; Zhou, Tunhe; Sawhney, Kawal

    2017-09-01

    For modern synchrotron light sources, the push toward diffraction-limited and coherence-preserved beams demands accurate metrology on X-ray optics. Moreover, it is important to perform in-situ characterization and optimization of X-ray mirrors since their ultimate performance is critically dependent on the working conditions. Therefore, it is highly desirable to develop a portable metrology device, which can be easily implemented on a range of beamlines for in-situ metrology. An X-ray speckle-based portable device for in-situ metrology of synchrotron X-ray mirrors has been developed at Diamond Light Source. Ultra-high angular sensitivity is achieved by scanning the speckle generator in the X-ray beam. In addition to the compact setup and ease of implementation, a user-friendly graphical user interface has been developed to ensure that characterization and alignment of X-ray mirrors is simple and fast. The functionality and feasibility of this device is presented with representative examples.

  1. Overlay metrology for double patterning processes

    Science.gov (United States)

    Leray, Philippe; Cheng, Shaunee; Laidler, David; Kandel, Daniel; Adel, Mike; Dinu, Berta; Polli, Marco; Vasconi, Mauro; Salski, Bartlomiej

    2009-03-01

    The double patterning (DPT) process is foreseen by the industry to be the main solution for the 32 nm technology node and even beyond. Meanwhile process compatibility has to be maintained and the performance of overlay metrology has to improve. To achieve this for Image Based Overlay (IBO), usually the optics of overlay tools are improved. It was also demonstrated that these requirements are achievable with a Diffraction Based Overlay (DBO) technique named SCOLTM [1]. In addition, we believe that overlay measurements with respect to a reference grid are required to achieve the required overlay control [2]. This induces at least a three-fold increase in the number of measurements (2 for double patterned layers to the reference grid and 1 between the double patterned layers). The requirements of process compatibility, enhanced performance and large number of measurements make the choice of overlay metrology for DPT very challenging. In this work we use different flavors of the standard overlay metrology technique (IBO) as well as the new technique (SCOL) to address these three requirements. The compatibility of the corresponding overlay targets with double patterning processes (Litho-Etch-Litho-Etch (LELE); Litho-Freeze-Litho-Etch (LFLE), Spacer defined) is tested. The process impact on different target types is discussed (CD bias LELE, Contrast for LFLE). We compare the standard imaging overlay metrology with non-standard imaging techniques dedicated to double patterning processes (multilayer imaging targets allowing one overlay target instead of three, very small imaging targets). In addition to standard designs already discussed [1], we investigate SCOL target designs specific to double patterning processes. The feedback to the scanner is determined using the different techniques. The final overlay results obtained are compared accordingly. We conclude with the pros and cons of each technique and suggest the optimal metrology strategy for overlay control in double

  2. INNOVATIVE NON-CONTACT METROLOGY SOLUTIONS FOR LARGE OPTICAL TELESCOPES, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — NASA has unique non-contact precision metrology requirements for dimensionally inspecting the global position and orientation of large and highly-polished...

  3. Metrology Department - DEMET

    International Nuclear Information System (INIS)

    1989-01-01

    In this report are presented the activities and purposes of the Metrology Dept. of the Institute of Radioprotection and Dosimetry of Brazilian CNEN. It is also presented a list of services rendered by that Dept., the projects in course, personnel and publications.(J.A.M.M.)

  4. Using Vision Metrology System for Quality Control in Automotive Industries

    Science.gov (United States)

    Mostofi, N.; Samadzadegan, F.; Roohy, Sh.; Nozari, M.

    2012-07-01

    The need of more accurate measurements in different stages of industrial applications, such as designing, producing, installation, and etc., is the main reason of encouraging the industry deputy in using of industrial Photogrammetry (Vision Metrology System). With respect to the main advantages of Photogrammetric methods, such as greater economy, high level of automation, capability of noncontact measurement, more flexibility and high accuracy, a good competition occurred between this method and other industrial traditional methods. With respect to the industries that make objects using a main reference model without having any mathematical model of it, main problem of producers is the evaluation of the production line. This problem will be so complicated when both reference and product object just as a physical object is available and comparison of them will be possible with direct measurement. In such case, producers make fixtures fitting reference with limited accuracy. In practical reports sometimes available precision is not better than millimetres. We used a non-metric high resolution digital camera for this investigation and the case study that studied in this paper is a chassis of automobile. In this research, a stable photogrammetric network designed for measuring the industrial object (Both Reference and Product) and then by using the Bundle Adjustment and Self-Calibration methods, differences between the Reference and Product object achieved. These differences will be useful for the producer to improve the production work flow and bringing more accurate products. Results of this research, demonstrate the high potential of proposed method in industrial fields. Presented results prove high efficiency and reliability of this method using RMSE criteria. Achieved RMSE for this case study is smaller than 200 microns that shows the fact of high capability of implemented approach.

  5. USING VISION METROLOGY SYSTEM FOR QUALITY CONTROL IN AUTOMOTIVE INDUSTRIES

    Directory of Open Access Journals (Sweden)

    N. Mostofi

    2012-07-01

    Full Text Available The need of more accurate measurements in different stages of industrial applications, such as designing, producing, installation, and etc., is the main reason of encouraging the industry deputy in using of industrial Photogrammetry (Vision Metrology System. With respect to the main advantages of Photogrammetric methods, such as greater economy, high level of automation, capability of noncontact measurement, more flexibility and high accuracy, a good competition occurred between this method and other industrial traditional methods. With respect to the industries that make objects using a main reference model without having any mathematical model of it, main problem of producers is the evaluation of the production line. This problem will be so complicated when both reference and product object just as a physical object is available and comparison of them will be possible with direct measurement. In such case, producers make fixtures fitting reference with limited accuracy. In practical reports sometimes available precision is not better than millimetres. We used a non-metric high resolution digital camera for this investigation and the case study that studied in this paper is a chassis of automobile. In this research, a stable photogrammetric network designed for measuring the industrial object (Both Reference and Product and then by using the Bundle Adjustment and Self-Calibration methods, differences between the Reference and Product object achieved. These differences will be useful for the producer to improve the production work flow and bringing more accurate products. Results of this research, demonstrate the high potential of proposed method in industrial fields. Presented results prove high efficiency and reliability of this method using RMSE criteria. Achieved RMSE for this case study is smaller than 200 microns that shows the fact of high capability of implemented approach.

  6. Surface processing and ageing behavior of silk fabrics treated with atmospheric-pressure plasma for pigment-based ink-jet printing

    Science.gov (United States)

    Zhang, Chunming; Wang, Libing; Yu, Miao; Qu, Lijun; Men, Yajing; Zhang, Xiangwu

    2018-03-01

    Pigment inkjet printing has highlighted the advantages of cost-effective, short production cycle and environment-friendly. However, patterns directly printed with pigment inks usually have low color yields and blurry images which are caused by bleeding phenomenon. This work presents an atmospheric-pressure plasma method for improving the pigment-based ink-jet printing performance of silk fabrics. The effects of surface changes induced are discussed, with data derived from morphological study by atomic force microscopy (AFM), chemical analysis using X-ray photoelectron spectroscopy (XPS) and contact angle measurement. Ink-jet printing experiments were conducted to study the influence of measured changes on anti-bleeding property and color strength of treated and original samples. The ageing experiment indicates that the modified silk fabrics should be printed within 24 h after plasma processing for maximum color yields. This study explores an effective approach for the atmospheric-pressure plasma, which can provide its significant use in improving the surface properties and ink-jet printing performance of fabrics.

  7. Effect of the light spectrum of various substrates for inkjet printed conductive structures sintered with intense pulsed light

    International Nuclear Information System (INIS)

    Weise, Dana; Mitra, Kalyan Yoti; Ueberfuhr, Peter; Baumann, Reinhard R.

    2015-01-01

    In this work, the novel method of intense pulsed light (IPL) sintering of a nanoparticle silver ink is presented. Various patterns are printed with the Inkjet technology on two flexible foils with different light spectra. One is a clear Polyethylenterephthalat [PET] foil and the second is a light brownish Polyimide [PI] foil. The samples are flashed with different parameters regarding to pulse intensity and pulse length. Microscopic images are indicating the impact of the flashing parameters and the different light spectra of the substrates on the sintered structures. Sheet and line resistance are measured and the conductivity is calculated. A high influence of the property of the substrate with respect to light absorption and thermal conductivity on the functionality of printed conductive structures could be presented. With this new method of IPL sintering, highly conductive inkjet printed silver patterns could be manufactured within milliseconds on flexible polymeric foils without damaging the substrate

  8. Ensuring Food Integrity by Metrology and FAIR Data Principles

    Directory of Open Access Journals (Sweden)

    Michael Rychlik

    2018-05-01

    Full Text Available Food integrity is a general term for sound, nutritive, healthy, tasty, safe, authentic, traceable, as well as ethically, safely, environment-friendly, and sustainably produced foods. In order to verify these properties, analytical methods with a higher degree of accuracy, sensitivity, standardization and harmonization and a harmonized system for their application in analytical laboratories are required. In this view, metrology offers the opportunity to achieve these goals. In this perspective article the current global challenges in food analysis and the principles of metrology to fill these gaps are presented. Therefore, the pan-European project METROFOOD-RI within the framework of the European Strategy Forum on Research Infrastructures (ESFRI was developed to establish a strategy to allow reliable and comparable analytical measurements in foods along the whole process line starting from primary producers until consumers and to make all data findable, accessible, interoperable, and re-usable according to the FAIR data principles. The initiative currently consists of 48 partners from 18 European Countries and concluded its “Early Phase” as research infrastructure by organizing its future structure and presenting a proof of concept by preparing, distributing and comprehensively analyzing three candidate Reference Materials (rice grain, rice flour, and oyster tissue and establishing a system how to compile, process, and store the generated data and how to exchange, compare them and make them accessible in data bases.

  9. Remote metrology system (RMS) design concept

    International Nuclear Information System (INIS)

    1995-01-01

    A 3D remote metrology system (RMS) is needed to map the interior plasma-facing components of the International Thermonuclear Experimental Reactor (ITER). The performance and survival of these components within the reactor vessel are strongly dependent on their precise alignment and positioning with respect to the plasma edge. Without proper positioning and alignment, plasma-facing surfaces will erode rapidly. A RMS design involving Coleman Research Corporation (CRC) fiber optic coherent laser radar (CLR) technology is examined in this study. The fiber optic CLR approach was selected because its high precision should be able to meet the ITER 0.1 mm accuracy requirement and because the CLR's fiber optic implementation allows a 3D scanner to operate remotely from the RMS system's vulnerable components. This design study has largely verified that a fiber optic CLR based RMS can survive the ITER environment and map the ITER interior at the required accuracy at a one measurement/cm 2 density with a total measurement time of less than one hour from each of six or more vertically deployed measurement probes. The design approach employs a sealed and pressurized measurement probe which is attached with an umbilical spiral bellows conduit. This conduit bears fiber optic and electronic links plus a stream of air to lower the temperature in the interior of the probe. Lowering the probe temperature is desirable because probe electromechanical components which could survive the radiation environment often were not rated for the 200 C temperature. The tip of the probe whose outer shell has a flexible bellows joint can swivel in two degrees of freedom to allow mapping operations at each probe deployment level. This design study has concluded that the most successful scanner design will involve a hybrid AO beam deflector and mechanical scanner

  10. Remote metrology system (RMS) design concept

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-10-19

    A 3D remote metrology system (RMS) is needed to map the interior plasma-facing components of the International Thermonuclear Experimental Reactor (ITER). The performance and survival of these components within the reactor vessel are strongly dependent on their precise alignment and positioning with respect to the plasma edge. Without proper positioning and alignment, plasma-facing surfaces will erode rapidly. A RMS design involving Coleman Research Corporation (CRC) fiber optic coherent laser radar (CLR) technology is examined in this study. The fiber optic CLR approach was selected because its high precision should be able to meet the ITER 0.1 mm accuracy requirement and because the CLR`s fiber optic implementation allows a 3D scanner to operate remotely from the RMS system`s vulnerable components. This design study has largely verified that a fiber optic CLR based RMS can survive the ITER environment and map the ITER interior at the required accuracy at a one measurement/cm{sup 2} density with a total measurement time of less than one hour from each of six or more vertically deployed measurement probes. The design approach employs a sealed and pressurized measurement probe which is attached with an umbilical spiral bellows conduit. This conduit bears fiber optic and electronic links plus a stream of air to lower the temperature in the interior of the probe. Lowering the probe temperature is desirable because probe electromechanical components which could survive the radiation environment often were not rated for the 200 C temperature. The tip of the probe whose outer shell has a flexible bellows joint can swivel in two degrees of freedom to allow mapping operations at each probe deployment level. This design study has concluded that the most successful scanner design will involve a hybrid AO beam deflector and mechanical scanner.

  11. A Fully Inkjet Printed 3D Honeycomb Inspired Patch Antenna

    KAUST Repository

    McKerricher, Garret; Titterington, Don; Shamim, Atif

    2015-01-01

    by inkjet printing in this work. This is the first demonstration of a fully 3D Multijet printing process with integrated polymer and metal. A 2.4 GHz patch antenna is successfully fabricated with good performance proving the viability of the process

  12. Evaluation of different substrates for inkjet printing of rasagiline mesylate

    DEFF Research Database (Denmark)

    Genina, Natalja; Janßen, Eva Maria; Breitenbach, Armin

    2013-01-01

    The main goal of the present study was to evaluate applicability of the different model substrates, namely orodispersible films (ODFs), porous copy paper sheets, and water impermeable transparency films (TFs) in preparation of the inkjet-printed drug-delivery systems. Rasagiline mesylate (RM...

  13. Inkjet Printing of Functional Materials on Selectively Plasma Treated Surfaces

    NARCIS (Netherlands)

    ir Martijn van Dongen; ir Renee Verkuijlen; Dr Jan Bernards

    2011-01-01

    In manufacturing of organic electronics, inkjet printing as an alternative technique for depositing materials is becoming increasingly important. Aside to the ink formulations challenges, improving the resolution of the printed patterns is a major goal. In this study we will discuss a newly

  14. Analysis of laser and inkjet prints using spectroscopic methods for forensic identification of questioned documents

    OpenAIRE

    Gál, Lukáš; Belovičová, Michaela; Oravec, Michal; Palková, Miroslava; Čeppan, Michal

    2013-01-01

    The spectral properties in UV-VIS-NIR and IR regions of laser and inkjet prints were studied for the purposes of forensic analysis of documents. The procedures of measurements and processing of spectra of printed documents using fibre optics reflectance spectroscopy in UV-VIS and NIR region, FTIR-ATR with diamond/ZnSe and germanium crystals were optimized. It was found that the shapes of spectra of various black laser jet prints and inkjet prints generally differ in the spectral regions...

  15. Inkjet Printing of Back Electrodes for Inverted Polymer Solar cells

    DEFF Research Database (Denmark)

    Angmo, Dechan; Sweelssen, Jorgen; Andriessen, Ronn

    2013-01-01

    in an otherwise fast roll-to-roll production line. In this paper, the applicability of inkjet printing in the ambient processing of back electrodes in inverted polymer solar cells with the structure ITO/ZnO/P3HT:PCBM/PEDOT:PSS/ Ag is investigated. Furthermore, the limitation of screen printing, the commonly......Evaporation is the most commonly used deposition method in the processing of back electrodes in polymer solar cells used in scientifi c studies. However, vacuum-based methods such as evaporation are uneconomical in the upscaling of polymer solar cells as they are throughput limiting steps...... employed method in the ambient processing of back electrode, is demonstrated and discussed. Both inkjet printing and screen printing of back electrodes are studied for their impact on the photovoltaic properties of the polymer solar cells measured under 1000 Wm−2 AM1.5. Each ambient processing technique...

  16. National Laboratory of Ionizing Radiation Metrology - Brazilian CNEN

    International Nuclear Information System (INIS)

    1992-01-01

    The activities of the Brazilian National Laboratory of Ionizing Radiations Metrology are described. They include research and development of metrological techniques and procedures, the calibration of area radiation monitors, clinical dosemeters and other instruments and the preparation and standardization of reference radioactive sources. 4 figs., 13 tabs

  17. Development of ITER in-vessel viewing and metrology systems

    Energy Technology Data Exchange (ETDEWEB)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-04-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation ({approx}30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  18. Development of ITER in-vessel viewing and metrology systems

    International Nuclear Information System (INIS)

    Obara, Kenjiro; Kakudate, Satoshi; Nakahira, Masataka; Ito, Akira

    1998-01-01

    The ITER in-vessel viewing system is vital for detecting and locating damage to in-vessel components such as the blankets and divertors and in monitoring and assisting in-vessel maintenance. This system must be able to operate at high temperature (200degC) under intense gamma radiation (∼30 kGy/h) in a high vacuum or 1 bar inert gas. A periscope viewing system was chosen as a reference due to its clear, wide view and a fiberscope viewing system chosen as a backup for viewing in narrow confines. According to the ITER R and D program, both systems and a metrology system are being developed through the joint efforts of Japan, the U.S., and RF Home Teams. This paper outlines design and technology development mainly on periscope in-vessel viewing and laser metrology contributed by the Japan Home Team. (author)

  19. Thermally Dried Ink-Jet Process for 6,13-Bis(triisopropylsilylethynyl)-Pentacene for High Mobility and High Uniformity on a Large Area Substrate

    Science.gov (United States)

    Ryu, Gi Seong; Lee, Myung Won; Jeong, Seung Hyeon; Song, Chung Kun

    2012-05-01

    In this study we developed a simple ink-jet process for 6,13-bis(triisopropylsilylethynyl)-pentacene (TIPS-pentacene), which is known as a high-mobility soluble organic semiconductor, to achieve relatively high-mobility and high-uniformity performance for large-area applications. We analyzed the behavior of fluorescent particles in droplets and applied the results to determining a method of controlling the behavior of TIPS-pentacene molecules. The grain morphology of TIPS-pentacene varied depending on the temperature applied to the droplets during drying. We were able to obtain large and uniform grains at 46 °C without any “coffee stain”. The process was applied to a large-size organic thin-film transistor (OTFT) backplane for an electrophoretic display panel containing 192×150 pixels on a 6-in.-sized substrate. The average of mobilities of 36 OTFTs, which were taken from different locations of the backplane, was 0.44±0.08 cm2·V-1·s-1, with a small deviation of 20%, over a 6-in.-size area comprising 28,800 OTFTs. This process providing high mobility and high uniformity can be achieved by simply maintaining the whole area of the substrate at a specific temperature (46 °C in this case) during drying of the droplets.

  20. Thermally dried ink-jet process for 6,13-bis(triisopropylsilylethynyl)-pentacene for high mobility and high uniformity on a large area substrate

    Science.gov (United States)

    Ryu, Gi Seong; Lee, Myung Won; Jeong, Seung Hyeon; Song, Chung Kun

    2012-01-01

    In this study we developed a simple ink-jet process for 6,13-bis(triisopropylsilylethynyl)-pentacene (TIPS-pentacene), which is known as a high-mobility soluble organic semiconductor, to achieve relatively high-mobility and high-uniformity performance for large-area applications. We analyzed the behavior of fluorescent particles in droplets and applied the results to determining a method of controlling the behavior of TIPS-pentacene molecules. The grain morphology of TIPS-pentacene varied depending on the temperature applied to the droplets during drying. We were able to obtain large and uniform grains at 46 degrees C without any "coffee stain". The process was applied to a large-size organic thin-film transistor (OTFT) backplane for an electrophoretic display panel containing 192 x 150 pixels on a 6-in.-sized substrate. The average of mobilities of 36 OTFTs, which were taken from different locations of the backplane, was 0.44 +/- 0.08 cm2.V-1.s-1, with a small deviation of 20%, over a 6-in.-size area comprising 28,800 OTFTs. This process providing high mobility and high uniformity can be achieved by simply maintaining the whole area of the substrate at a specific temperature (46 degrees C in this case) during drying of the droplets.

  1. An investigation of highly accurate and precise robotic hole measurements using non-contact devices

    Directory of Open Access Journals (Sweden)

    Usman Zahid

    2016-01-01

    Full Text Available Industrial robots arms are widely used in manufacturing industry because of their support for automation. However, in metrology, robots have had limited application due to their insufficient accuracy. Even using error compensation and calibration methods, robots are not effective for micrometre (μm level metrology. Non-contact measurement devices can potentially enable the use of robots for highly accurate metrology. However, the use of such devices on robots has not been investigated. The research work reported in this paper explores the use of different non-contact measurement devices on an industrial robot. The aim is to experimentally investigate the effects of robot movements on the accuracy and precision of measurements. The focus has been on assessing the ability to accurately measure various geometric and surface parameters of holes despite the inherent inaccuracies of industrial robot. This involves the measurement of diameter, roundness and surface roughness. The study also includes scanning of holes for measuring internal features such as start and end point of a taper. Two different non-contact measurement devices based on different technologies are investigated. Furthermore, effects of eccentricity, vibrations and thermal variations are also assessed. The research contributes towards the use of robots for highly accurate and precise robotic metrology.

  2. An inkjet-printed buoyant 3-D lagrangian sensor for real-time flood monitoring

    KAUST Repository

    Farooqui, Muhammad Fahad

    2014-06-01

    A 3-D (cube-shaped) Lagrangian sensor, inkjet printed on a paper substrate, is presented for the first time. The sensor comprises a transmitter chip with a microcontroller completely embedded in the cube, along with a $1.5 \\\\lambda 0 dipole that is uniquely implemented on all the faces of the cube to achieve a near isotropic radiation pattern. The sensor has been designed to operate both in the air as well as water (half immersed) for real-time flood monitoring. The sensor weighs 1.8 gm and measures 13 mm$\\\\,\\\\times\\\\,$ 13 mm$\\\\,\\\\times\\\\,$ 13 mm, and each side of the cube corresponds to only $0.1 \\\\lambda 0 (at 2.4 GHz). The printed circuit board is also inkjet-printed on paper substrate to make the sensor light weight and buoyant. Issues related to the bending of inkjet-printed tracks and integration of the transmitter chip in the cube are discussed. The Lagrangian sensor is designed to operate in a wireless sensor network and field tests have confirmed that it can communicate up to a distance of 100 m while in the air and up to 50 m while half immersed in water. © 1963-2012 IEEE.

  3. Combining inkjet printing and sol-gel chemistry for making pH-sensitive surfaces.

    Science.gov (United States)

    Orsi, Gianni; De Maria, Carmelo; Montemurro, Francesca; Chauhan, Veeren M; Aylott, Jonathan W; Vozzi, Giovanni

    2015-01-01

    Today biomedical sciences are experiencing the importance of imaging biological parameters with luminescence methods. Studying 2D pH distribution with those methods allows building knowledge about complex cellular processes. Immobilizing pH sensitive nanoparticles inside hydrogel matrixes, in order to guarantee a proper SNR, could easily make stable and biocompatible 2D sensors. Inkjet printing is also well known as tool for printing images onto porous surfaces. Recently it has been used as a free-form fabrication method for building three-dimensional parts, and now is being explored as a way of printing electrical and optical devices. Inkjet printing was used either as a rapid prototyping method for custom biosensors. Sol-gel method is naturally bound with inkjet, because the picoliter-sized ink droplets evaporate quickly, thus allowing quick sol-gel transitions on the printed surface. In this work will be shown how to merge those technologies, in order to make a nanoparticles doped printable hydrogel, which could be used for making 2D/3D smart scaffolds able to monitor cell activities. An automated image analysis system was developed in order to quickly have the pH measurements from pH nanosensors fluorescence images.

  4. Air Entrapment and Drop Formation in Piezo Inkjet Printing

    NARCIS (Netherlands)

    van der Bos, J.A.

    2011-01-01

    Piezo drop-on-demand (DOD) inkjet printers are used in an increasing number of applications for their reliable deposition of droplets onto a substrate. Droplets of a few picoliters are ejected from an ink jet nozzle at a frequency of up to 50 kHz. However, entrapment of an air microbubble into the

  5. Numerical simulation of the drying of inkjet-printed droplets

    NARCIS (Netherlands)

    Siregar, D.P.; Kuerten, J.G.M.; Geld, van der C.W.M.

    2013-01-01

    In this paper we study the behavior of an inkjet-printed droplet of a solute dissolved in a solvent on a solid horizontal surface by numerical simulation. An extended model for drying of a droplet and the final distribution of the solute on an impermeable substrate is proposed. The model extends the

  6. PREFACE: Fundamental Constants in Physics and Metrology

    Science.gov (United States)

    Klose, Volkmar; Kramer, Bernhard

    1986-01-01

    This volume contains the papers presented at the 70th PTB Seminar which, the second on the subject "Fundamental Constants in Physics and Metrology", was held at the Physikalisch-Technische Bundesanstalt in Braunschweig from October 21 to 22, 1985. About 100 participants from the universities and various research institutes of the Federal Republic of Germany participated in the meeting. Besides a number of review lectures on various broader subjects there was a poster session which contained a variety of topical contributed papers ranging from the theory of the quantum Hall effect to reports on the status of the metrological experiments at the PTB. In addition, the participants were also offered the possibility to visit the PTB laboratories during the course of the seminar. During the preparation of the meeting we noticed that even most of the general subjects which were going to be discussed in the lectures are of great importance in connection with metrological experiments and should be made accessible to the scientific community. This eventually resulted in the idea of the publication of the papers in a regular journal. We are grateful to the editor of Metrologia for providing this opportunity. We have included quite a number of papers from basic physical research. For example, certain aspects of high-energy physics and quantum optics, as well as the many-faceted role of Sommerfeld's fine-structure constant, are covered. We think that questions such as "What are the intrinsic fundamental parameters of nature?" or "What are we doing when we perform an experiment?" can shed new light on the art of metrology, and do, potentially, lead to new ideas. This appears to be especially necessary when we notice the increasing importance of the role of the fundamental constants and macroscopic quantum effects for the definition and the realization of the physical units. In some cases we have reached a point where the limitations of our knowledge of a fundamental constant and

  7. Metrology at Philip Morris Europe

    Directory of Open Access Journals (Sweden)

    Gualandris R

    2014-12-01

    Full Text Available The importance of the metrology function at Philip Morris Europe (PME, a multinational organisation producing at over 40 sites in the European, Middle Eastern and African Regions is presented. Standardisation of test methods and equipment as well as the traceability of calibration gauges to the same reference gauge are essential in order to obtain comparable results among the various production centers. The metrology function as well as the qualification of instruments and the drafting of test and calibration operating procedures for this region are conducted or co-ordinated by the Research and Development Department in Neuchatel, Switzerland. In this paper the metrology function within PME is presented based on the measurement of the resistance to draw for which the PME R&D laboratory is accredited (ISO/CEI 17025, as both a calibration and a testing laboratory. The following topics are addressed in this paper: traceability of calibration standards to national standards; comparison of results among manufacturing centres; the choice, the budget as well as the computation of uncertainties. Furthermore, some practical aspects related to the calibration and use of the glass multicapillary gauges are discussed.

  8. Metrology and quality control handbook

    International Nuclear Information System (INIS)

    Hofmann, D.

    1983-01-01

    This book tries to present the fundamentals of metrology and quality control in brief surveys. Compromises had to be made in order to reduce the material available to a sensible volume for the sake of clarity. This becomes evident by the following two restrictions which had to made: First, in dealing with the theoretical principles of metrology and quality control, mere reference had to be made in many cases to the great variety of special literature without discussing it to explain further details. Second, in dealing with the application of metrology and quality control techniques in practice, only the basic qantities of the International System of Units (SI) could be taken into account as a rule. Some readers will note that many special measuring methods and equipment known to them are not included in this book. I do hope, however, that this short-coming will show to have a positive effect, too. This book will show the reader how to find the basic quantities and units from the derived quantities and units, and the steps that are necessary to solve any kind of measuring task. (orig./RW) [de

  9. Flexible resources for quantum metrology

    Science.gov (United States)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J.; Dür, Wolfgang

    2017-06-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement.

  10. Flexible resources for quantum metrology

    International Nuclear Information System (INIS)

    Friis, Nicolai; Orsucci, Davide; Skotiniotis, Michalis; Sekatski, Pavel; Dunjko, Vedran; Briegel, Hans J; Dür, Wolfgang

    2017-01-01

    Quantum metrology offers a quadratic advantage over classical approaches to parameter estimation problems by utilising entanglement and nonclassicality. However, the hurdle of actually implementing the necessary quantum probe states and measurements, which vary drastically for different metrological scenarios, is usually not taken into account. We show that for a wide range of tasks in metrology, 2D cluster states (a particular family of states useful for measurement-based quantum computation) can serve as flexible resources that allow one to efficiently prepare any required state for sensing, and perform appropriate (entangled) measurements using only single qubit operations. Crucially, the overhead in the number of qubits is less than quadratic, thus preserving the quantum scaling advantage. This is ensured by using a compression to a logarithmically sized space that contains all relevant information for sensing. We specifically demonstrate how our method can be used to obtain optimal scaling for phase and frequency estimation in local estimation problems, as well as for the Bayesian equivalents with Gaussian priors of varying widths. Furthermore, we show that in the paradigmatic case of local phase estimation 1D cluster states are sufficient for optimal state preparation and measurement. (paper)

  11. Metrology in electricity and magnetism: EURAMET activities today and tomorrow

    Science.gov (United States)

    Piquemal, F.; Jeckelmann, B.; Callegaro, L.; Hällström, J.; Janssen, T. J. B. M.; Melcher, J.; Rietveld, G.; Siegner, U.; Wright, P.; Zeier, M.

    2017-10-01

    Metrology dedicated to electricity and magnetism has changed considerably in recent years. It encompasses almost all modern scientific, industrial, and societal challenges, e.g. the revision of the International System of Units, the profound transformation of industry, changes in energy use and generation, health, and environment, as well as nanotechnologies (including graphene and 2D materials) and quantum engineering. Over the same period, driven by the globalization of worldwide trade, the Mutual Recognition Arrangement (referred to as the CIPM MRA) was set up. As a result, the regional metrology organizations (RMOs) of national metrology institutes have grown in significance. EURAMET is the European RMO and has been very prominent in developing a strategic research agenda (SRA) and has established a comprehensive research programme. This paper reviews the highlights of EURAMET in electrical metrology within the European Metrology Research Programme and its main contributions to the CIPM MRA. In 2012 EURAMET undertook an extensive roadmapping exercise for proposed activities for the next decade which will also be discussed in this paper. This work has resulted in a new SRA of the second largest European funding programme: European Metrology Programme for Innovation and Research.

  12. Optical vortex metrology for non-destructive testing

    DEFF Research Database (Denmark)

    Wang, W.; Hanson, Steen Grüner

    2009-01-01

    Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis.......Based on the phase singularities in optical fields, we introduce a new technique, referred to as Optical Vortex Metrology, and demonstrate its application to nano- displacement, flow measurements and biological kinematic analysis....

  13. Metrological Reliability of Medical Devices

    Science.gov (United States)

    Costa Monteiro, E.; Leon, L. F.

    2015-02-01

    The prominent development of health technologies of the 20th century triggered demands for metrological reliability of physiological measurements comprising physical, chemical and biological quantities, essential to ensure accurate and comparable results of clinical measurements. In the present work, aspects concerning metrological reliability in premarket and postmarket assessments of medical devices are discussed, pointing out challenges to be overcome. In addition, considering the social relevance of the biomeasurements results, Biometrological Principles to be pursued by research and innovation aimed at biomedical applications are proposed, along with the analysis of their contributions to guarantee the innovative health technologies compliance with the main ethical pillars of Bioethics.

  14. A metrology system for a high resolution cavity beam position monitor system

    Science.gov (United States)

    Walston, Sean; Boogert, Stewart; Chung, Carl; Fitsos, Pete; Frisch, Joe; Gronberg, Jeff; Hayano, Hitoshi; Hinton, Shantell; Honda, Yosuke; Khainovski, Oleg; Kolomensky, Yury; Loscutoff, Peter; Lyapin, Alexey; Malton, Stephen; May, Justin; McCormick, Douglas; Meller, Robert; Miller, David; Orimoto, Toyoko; Ross, Marc; Slater, Mark; Smith, Steve; Smith, Tonee; Terunuma, Nobuhiro; Thomson, Mark; Urakawa, Junji; Vogel, Vladimir; Ward, David; White, Glen

    2013-11-01

    International Linear Collider (ILC) interaction region beam sizes and component position stability requirements will likely be as small as a few nanometers. It is important to the ILC design effort to demonstrate that these tolerances can be achieved-ideally using a beam-based stability measurement. We developed a high resolution RF cavity Beam Position Monitor (BPM) system. A triplet of these BPMs, installed in the extraction line of the KEK Accelerator Test Facility (ATF) and tested with its ultra-low emittance beam, achieved a position measurement resolution of 15 nm. A metrology system for the three BPMs was subsequently installed. This system employed optical encoders to measure each BPM's position and orientation relative to a zero-coefficient of thermal expansion carbon fiber frame. We have demonstrated that the three BPMs behave as a rigid-body at the level of less than 5 nm.

  15. Inkjet printed large-area flexible circuits: a simple methodology for optimizing the printing quality

    Science.gov (United States)

    Cheng, Tao; Wu, Youwei; Shen, Xiaoqin; Lai, Wenyong; Huang, Wei

    2018-01-01

    In this work, a simple methodology was developed to enhance the patterning resolution of inkjet printing, involving process optimization as well as substrate modification and treatment. The line width of the inkjet-printed silver lines was successfully reduced to 1/3 of the original value using this methodology. Large-area flexible circuits with delicate patterns and good morphology were thus fabricated. The resultant flexible circuits showed excellent electrical conductivity as low as 4.5 Ω/□ and strong tolerance to mechanical bending. The simple methodology is also applicable to substrates with various wettability, which suggests a general strategy to enhance the printing quality of inkjet printing for manufacturing high-performance large-area flexible electronics. Project supported by the National Key Basic Research Program of China (Nos. 2014CB648300, 2017YFB0404501), the National Natural Science Foundation of China (Nos. 21422402, 21674050), the Natural Science Foundation of Jiangsu Province (Nos. BK20140060, BK20130037, BK20140865, BM2012010), the Program for Jiangsu Specially-Appointed Professors (No. RK030STP15001), the Program for New Century Excellent Talents in University (No. NCET-13-0872), the NUPT "1311 Project" and Scientific Foundation (Nos. NY213119, NY213169), the Synergetic Innovation Center for Organic Electronics and Information Displays, the Priority Academic Program Development of Jiangsu Higher Education Institutions (PAPD), the Leading Talent of Technological Innovation of National Ten-Thousands Talents Program of China, the Excellent Scientific and Technological Innovative Teams of Jiangsu Higher Education Institutions (No. TJ217038), the Program for Graduate Students Research and Innovation of Jiangsu Province (No. KYZZ16-0253), and the 333 Project of Jiangsu Province (Nos. BRA2017402, BRA2015374).

  16. FOREWORD: The 4th CCM International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa)

    Science.gov (United States)

    Legras, Jean-Claude; Jousten, Karl; Severn, Ian

    2005-12-01

    The fourth CCM (Consultative Committee for Mass and related quantities) International Conference on Pressure Metrology from Ultra-High Vacuum to Very High Pressures (10-9 Pa to 109 Pa) was held at the Institute of Physics in London from 19-21 April 2005. The event, which was organized by the Low, Medium and High Pressure working groups of the CCM, was attended by in excess of one hundred participants with representatives from five continents and every regional metrology organization. The purpose of this conference is to review all the work that is devoted to the highest quality of pressure measurement by primary standards as well as the dissemination of the pressure scale. A total of 52 papers were presented orally, and 26 as posters, in sessions that covered the following topics: Latest scientific advances in pressure and vacuum metrology Innovative transfer standards, advanced sensors and new instrument development Primary (top-level) measurement standards International and regional key comparisons New approaches to calibration It is interesting the note that since the third conference in 1999 the pressure range covered has increased by two orders of magnitude to 109 Pa, to take into account more exacting scientific and industrial demands for traceable vacuum measurement. A further feature of the conference was the increased range of instrumentation and techniques used in the realization and potential realization of pressure standards. Seton Bennett, Director of International Metrology at the National Physical Laboratory, opened the conference and Andrew Wallard, Director of the Bureau International des Poids et Mesures (BIPM), gave the keynote address which described the implementation of the mutual recognition arrangement and the resulting removal of metrological barriers to international trade. Many experts have contributed significant amounts of their time to organize the event and to review the submitted papers. Thanks are due to all of these people

  17. Two-step flash light sintering process for crack-free inkjet-printed Ag films

    International Nuclear Information System (INIS)

    Park, Sung-Hyeon; Kim, Hak-Sung; Jang, Shin; Lee, Dong-Jun; Oh, Jehoon

    2013-01-01

    In this paper, a two-step flash light sintering process for inkjet-printed Ag films is investigated with the aim of improving the quality of sintered Ag films. The flash light sintering process is divided into two steps: a preheating step and a main sintering step. The preheating step is used to remove the organic binder without abrupt vaporization. The main sintering step is used to complete the necking connections among the silver nanoparticles and achieve high electrical conductivity. The process minimizes the damage on the polymer substrate and the interface between the sintered Ag film and polymer substrate. The electrical conductivity is calculated by measuring the resistance and cross-sectional area with an LCR meter and 3D optical profiler, respectively. It is found that the resistivity of the optimal flash light-sintered Ag films (36.32 nΩ m), which is 228.86% of that of bulk silver, is lower than that of thermally sintered ones (40.84 nΩ m). Additionally, the polyimide film used as the substrate is preserved with the inkjet-printed pattern shape during the flash light sintering process without delamination or defects. (paper)

  18. Integrating integrated circuit chips on paper substrates using inkjet printed electronics

    CSIR Research Space (South Africa)

    Bezuidenhout, Petrone H

    2016-11-01

    Full Text Available This paper investigates the integration of silicon and paper substrates using rapid prototyping inkjet printed electronics. Various Dimatix DMP-2831 material printer settings and adhesives are investigated. The aim is to robustly and effectively...

  19. Enabling CD SEM metrology for 5nm technology node and beyond

    Science.gov (United States)

    Lorusso, Gian Francesco; Ohashi, Takeyoshi; Yamaguchi, Astuko; Inoue, Osamu; Sutani, Takumichi; Horiguchi, Naoto; Bömmels, Jürgen; Wilson, Christopher J.; Briggs, Basoene; Tan, Chi Lim; Raymaekers, Tom; Delhougne, Romain; Van den Bosch, Geert; Di Piazza, Luca; Kar, Gouri Sankar; Furnémont, Arnaud; Fantini, Andrea; Donadio, Gabriele Luca; Souriau, Laurent; Crotti, Davide; Yasin, Farrukh; Appeltans, Raf; Rao, Siddharth; De Simone, Danilo; Rincon Delgadillo, Paulina; Leray, Philippe; Charley, Anne-Laure; Zhou, Daisy; Veloso, Anabela; Collaert, Nadine; Hasumi, Kazuhisa; Koshihara, Shunsuke; Ikota, Masami; Okagawa, Yutaka; Ishimoto, Toru

    2017-03-01

    The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI Fin

  20. Inkjet printed electronics using copper nanoparticle ink

    OpenAIRE

    Kang, Jin Sung; Kim, Hak Sung; Ryu, Jongeun; Thomas Hahn, H.; Jang, Seonhee; Joung, Jae Woo

    2010-01-01

    Inkjet printing of electrode using copper nanoparticle ink is presented. Electrode was printed on a flexible glass epoxy composite substrate using drop on demand piezoelectric dispenser and was sintered at 200 °C of low temperature in N2 gas condition. The printed electrodes were made with various widths and thickness. In order to control the thickness of the printed electrode, number of printing was varied. Resistivity of printed electrode was calculated from the cross-sectional area measure...

  1. Optimized circuit design for flexible 8-bit RFID transponders with active layer of ink-jet printed small molecule semiconductors

    NARCIS (Netherlands)

    Kjellander, B.K.C.; Smaal, W.T.T.; Myny, K.; Genoe, J.; Dehaene, W.; Heremans, P.; Gelinck, G.H.

    2013-01-01

    We ink-jet print a blend of 6,13-bis(triisopropyl-silylethynyl)pentacene and polystyrene as the active layer for flexible circuits. The discrete ink-jet printed transistors exhibit a saturation mobility of 0.5 cm2 V -1 s-1. The relative spread in transistor characteristics can be very large. This

  2. Inkjet printed ambipolar transistors and inverters based on carbon nanotube/zinc tin oxide heterostructures

    International Nuclear Information System (INIS)

    Kim, Bongjun; Jang, Seonpil; Dodabalapur, Ananth; Geier, Michael L.; Prabhumirashi, Pradyumna L.; Hersam, Mark C.

    2014-01-01

    We report ambipolar field-effect transistors (FETs) consisting of inkjet printed semiconductor bilayer heterostructures utilizing semiconducting single-walled carbon nanotubes (SWCNTs) and amorphous zinc tin oxide (ZTO). The bilayer structure allows for electron transport to occur principally in the amorphous oxide layer and hole transport to occur exclusively in the SWCNT layer. This results in balanced electron and hole mobilities exceeding 2 cm 2 V −1 s −1 at low operating voltages ( 10). This work provides a pathway for realizing solution processable, inkjet printable, large area electronic devices, and systems based on SWCNT-amorphous oxide heterostructures

  3. Radionuclide metrology: traceability and response to a radiological accident

    Energy Technology Data Exchange (ETDEWEB)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A., E-mail: palcruz@ird.gov.br [Instituto de Radioproteção e Dosimetria (LNMRI/IRD/CNEN-RJ), Rio de Janeiro, RJ (Brazil). Lab. Nacional de Metrologia das Radiações Ionizantes

    2017-07-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for {sup 137}Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  4. Radionuclide metrology: traceability and response to a radiological accident

    International Nuclear Information System (INIS)

    Tauhata, L.; Cruz, P.A.L. da; Silva, C.J. da; Delgado, J.U.; Oliveira, A.E. de; Oliveira, E.M. de; Poledna, R.; Loureiro, J. dos S.; Ferreira Filho, A.L.; Silva, R.L. da; Filho, O. L.T.; Santos, A.R.L. dos; Veras, E.V. de; Rangel, J. de A.; Quadros, A.L.L.; Araújo, M.T.F. de; Souza, P.S. de; Ruzzarim, A.; Conceição, D.A. da; Iwahara, A.

    2017-01-01

    In the case of a radiological accident, there are characteristic phases: discovery and initial assistance with first aid; the triage and monitoring of the affected population; the release of the affected people; forward the victims to medical care; as well as the preparation of the report on the accident. In addition, studies and associated researches performed in the later period. Monitors, dosimeters and measuring systems should be calibrated by contaminating radionuclide standards. The radioactive sources used must be metrologically reliable. In Brazil, this function is performed by LNMRI/IRD/CNEN, designated by INMETRO, which Radionuclide Metrology Laboratory is responsible for the standardization and supply of radioactive sources in diverse geometries and matrices. This laboratory has a stock of radionuclide solutions with controlled environmental variables for the preparation of sources, which are calibrated and standardized by mean of primary and secondary systems. It is also responsible for the dissemination of standards and, in order to establish the metrological traceability of national standards, participates in international key-comparisons promoted by BIPM and regional metrology organizations. Internally, it promotes the National Comparison Programs for laboratories for the analysis of environmental samples and the traceability for producing centers of radiopharmaceuticals and Nuclear Medicine Services in the country. The paper presents the demand for 137 Cs related to the radioactive accident in Goiania/Brazil and the significant results for the main radionuclides standardized by the Radionuclide Metrology Laboratory for international key-comparisons and national comparisons to provide metrological traceability. With the obtained results, the LNMRI of Brazil integrates the international metrology BIPM network and fulfills its function of supplying, with about a hundred of radioactive standards, the country's needs in different applications

  5. High-accuracy alignment based on atmospherical dispersion - technological approaches and solutions for the dual-wavelength transmitter

    International Nuclear Information System (INIS)

    Burkhard, Boeckem

    1999-01-01

    In the course of the progressive developments of sophisticated geodetic systems utilizing electromagnetic waves in the visible or near IR-range a more detailed knowledge of the propagation medium and coevally solutions of atmospherically induced limitations will become important. An alignment system based on atmospherical dispersion, called a dispersometer, is a metrological solution to the atmospherically induced limitations, in optical alignment and direction observations of high accuracy. In the dispersometer we are using the dual-wavelength method for dispersive air to obtain refraction compensated angle measurements, the detrimental impact of atmospheric turbulence notwithstanding. The principle of the dual-wavelength method utilizes atmospherical dispersion, i.e. the wavelength dependence of the refractive index. The difference angle between two light beams of different wavelengths, which is called the dispersion angle Δβ, is to first approximation proportional to the refraction angle: β IR ν(β blue - β IR ) = ν Δβ, this equation implies that the dispersion angle has to be measured at least 42 times more accurate than the desired accuracy of the refraction angle for the wavelengths used in the present dispersometer. This required accuracy constitutes one major difficulty for the instrumental performance in applying the dispersion effect. However, the dual-wavelength method can only be successfully used in an optimized transmitter-receiver combination. Beyond the above mentioned resolution requirement for the detector, major difficulties in instrumental realization arise in the availability of a suitable dual-wavelength laser light source, laser light modulation with a very high extinction ratio and coaxial emittance of mono-mode radiation at both wavelengths. Therefore, this paper focuses on the solutions of the dual-wavelength transmitter introducing a new hardware approach and a complete re-design of the in [1] proposed conception of the dual

  6. Assessment of the Metrological Performance of Seismic Tables for a QMS Recognition

    International Nuclear Information System (INIS)

    Ribeiro, A Silva; Costa, A Campos; Candeias, P; Martins, L Lages; Martins, A C Freitas; Ferreira, A C; Sousa, J Alves e

    2016-01-01

    Seismic testing and analysis using large infrastructures, such as shaking tables and reaction walls, is performed worldwide requiring the use of complex instrumentation systems. To assure the accuracy of these systems, conformity assessment is needed to verify the compliance with standards and applications, and the Quality Management Systems (QMS) is being increasingly applied to domains where risk analysis is critical as a way to provide a formal recognition. This paper describes an approach to the assessment of the metrological performance of seismic shake tables as part of a QMS recognition, with the analysis of a case study of LNEC Seismic shake table. (paper)

  7. Assessment of the Metrological Performance of Seismic Tables for a QMS Recognition

    Science.gov (United States)

    Silva Ribeiro, A.; Campos Costa, A.; Candeias, P.; Sousa, J. Alves e.; Lages Martins, L.; Freitas Martins, A. C.; Ferreira, A. C.

    2016-11-01

    Seismic testing and analysis using large infrastructures, such as shaking tables and reaction walls, is performed worldwide requiring the use of complex instrumentation systems. To assure the accuracy of these systems, conformity assessment is needed to verify the compliance with standards and applications, and the Quality Management Systems (QMS) is being increasingly applied to domains where risk analysis is critical as a way to provide a formal recognition. This paper describes an approach to the assessment of the metrological performance of seismic shake tables as part of a QMS recognition, with the analysis of a case study of LNEC Seismic shake table.

  8. Fabrication and electrical characterization of partially metallized vias fabricated by inkjet

    International Nuclear Information System (INIS)

    Khorramdel, B; Mäntysalo, M

    2016-01-01

    Through silicon vias (TSVs), acting as vertical interconnections, play an important role in micro-electro-mechanical systems (MEMS) 3D wafer level packaging. Today, taking advantage of nanoparticle inks, inkjet technologies as local filling methods could be used to plate the inside the vias with a conductive material, rather than using a current method, such as chemical vapor deposition or electrolytic growth. This could decrease the processing time, cost and waste material produced. In this work, we have fabricated and demonstrated electrical characterization of TSVs with a top diameter of 85 μm, and partially metallized on their inside walls using silver nanoparticle ink and drop-on-demand inkjet printing. Electrical measurement showed that the resistance of a single via with a void free coverage from top to bottom could be less than 4 Ω, which is still acceptable for MEMS applications. (paper)

  9. Fabrication and electrical characterization of partially metallized vias fabricated by inkjet

    Science.gov (United States)

    Khorramdel, B.; Mäntysalo, M.

    2016-04-01

    Through silicon vias (TSVs), acting as vertical interconnections, play an important role in micro-electro-mechanical systems (MEMS) 3D wafer level packaging. Today, taking advantage of nanoparticle inks, inkjet technologies as local filling methods could be used to plate the inside the vias with a conductive material, rather than using a current method, such as chemical vapor deposition or electrolytic growth. This could decrease the processing time, cost and waste material produced. In this work, we have fabricated and demonstrated electrical characterization of TSVs with a top diameter of 85 μm, and partially metallized on their inside walls using silver nanoparticle ink and drop-on-demand inkjet printing. Electrical measurement showed that the resistance of a single via with a void free coverage from top to bottom could be less than 4 Ω, which is still acceptable for MEMS applications.

  10. Visualization and Non-Destructive Quantification of Inkjet-Printed Pharmaceuticals on Different Substrates Using Raman Spectroscopy and Raman Chemical Imaging

    DEFF Research Database (Denmark)

    Edinger, Magnus; Bar-Shalom, Daniel; Rantanen, Jukka

    2017-01-01

    and ethanol was developed. Inkjet printing technology was used to apply haloperidol ink onto three different substrates. Custom-made inorganic compacts and dry foam, as well as marketed paracetamol tablets were used as the substrates. RESULTS: Therapeutic personalized doses were printed by using one to ten...... printing rounds on the substrates. The haloperidol content in the finished dosage forms were determined by high-performance liquid chromatography (HPLC). The distribution of the haloperidol on the dosage forms were visualized using Raman chemical imaging combined with principal components analysis (PCA...... prediction was observed for the paracetamol tablets. It was not possible to quantify haloperidol on the dry foam due to the low and varying density of the substrate. CONCLUSIONS: Raman spectroscopy is a useful tool for visualization and quality control of inkjet printed personalized medicine....

  11. Experimental Demonstration of Higher Precision Weak-Value-Based Metrology Using Power Recycling

    Science.gov (United States)

    Wang, Yi-Tao; Tang, Jian-Shun; Hu, Gang; Wang, Jian; Yu, Shang; Zhou, Zong-Quan; Cheng, Ze-Di; Xu, Jin-Shi; Fang, Sen-Zhi; Wu, Qing-Lin; Li, Chuan-Feng; Guo, Guang-Can

    2016-12-01

    The weak-value-based metrology is very promising and has attracted a lot of attention in recent years because of its remarkable ability in signal amplification. However, it is suggested that the upper limit of the precision of this metrology cannot exceed that of classical metrology because of the low sample size caused by the probe loss during postselection. Nevertheless, a recent proposal shows that this probe loss can be reduced by the power-recycling technique, and thus enhance the precision of weak-value-based metrology. Here we experimentally realize the power-recycled interferometric weak-value-based beam-deflection measurement and obtain the amplitude of the detected signal and white noise by discrete Fourier transform. Our results show that the detected signal can be strengthened by power recycling, and the power-recycled weak-value-based signal-to-noise ratio can surpass the upper limit of the classical scheme, corresponding to the shot-noise limit. This work sheds light on higher precision metrology and explores the real advantage of the weak-value-based metrology over classical metrology.

  12. Engineered diamond nanopillars as mobile probes for high sensitivity metrology in fluid

    Science.gov (United States)

    Andrich, P.; de Las Casas, C. F.; Heremans, F. J.; Awschalom, D. D.; Aleman, B. J.; Ohno, K.; Lee, J. C.; Hu, E. L.

    2015-03-01

    The nitrogen-vacancy (NV) center`s optical addressability and exceptional spin coherence properties at room temperature, along with diamond`s biocompatibility, has put this defect at the frontier of metrology applications in biological environments. To push the spatial resolution to the nanoscale, extensive research efforts focus on using NV centers embedded in nanodiamonds (NDs). However, this approach has been hindered by degraded spin coherence properties in NDs and the lack of a platform for spatial control of the nanoparticles in fluid. In this work, we combine the use of high quality diamond membranes with a top-down patterning technique to fabricate diamond nanoparticles with engineered and highly reproducible shape, size, and NV center density. We obtain NDs, easily releasable from the substrate into a water suspension, which contain single NV centers exhibiting consistently long spin coherence times (up to 700 μs). Additionally, we demonstrate highly stable, three-dimensional optical trapping of the nanoparticles within a microfluidic circuit. This level of control enables a bulk-like DC magnetic sensitivity and gives access to dynamical decoupling techniques on contactless, miniaturized diamond probes. This work was supported by DARPA, AFOSR, and the DIAMANT program.

  13. Ligament flow during drop-on-demand inkjet printing of bioink containing living cells

    Science.gov (United States)

    Zhang, Mengyun; Krishnamoorthy, Srikumar; Song, Hongtao; Zhang, Zhengyi; Xu, Changxue

    2017-03-01

    Organ printing utilizes tissue spheroids or filaments as building blocks to fabricate three-dimensional (3D) functional tissues and organs based on a layer-by-layer manufacturing mechanism. These fabricated tissues and organs are envisioned as alternatives to replace the damaged human tissues and organs, which is emerging as a promising solution to solve the organ donor shortage problem being faced all over the world. Inkjetting, one of the key technologies in organ printing, has been widely developed because of its moderate fabrication cost, good process controllability, and scale-up potentials. There are several key steps towards inkjet-based organ printing: generation of droplets from bioink, fabrication of 3D cellular structures, and post-printing tissue fusion and maturation. The droplet formation process is the first step, affecting the overall feasibility of the envisioned organ printing technology. This paper focuses on the ligament flow of the droplet formation process during inkjet printing of bioink containing living cells and its corresponding effect on post-printing cell viability and cell distribution. It is found that (1) two types of ligament flow are observed: at 30 V (Type I), the ligament flow has two different directions at the locations near the nozzle orifice and the forming droplet; at 60 V (Type II), the ligament flow directions are the same at both locations; (2) compared to Type II, fewer cells are ejected into the primary droplets in Type I, because some cells move back into the nozzle driven by the ligament flow in the positive z direction; and (3) cell viability in both Type I and Type II is around 90% without a significant difference. The resulting knowledge will benefit precise control of printing dynamics during inkjet printing of viscoelastic bioink for 3D biofabrication applications.

  14. Mirror surface metrology and polishing for AXAF/TMA

    International Nuclear Information System (INIS)

    Slomba, A.; Babish, R.; Glenn, P.

    1985-01-01

    The achievement of the derived goals for mirror surface quality on the Advanced X-ray Astrophysics Facility (AXAF), Technology Mirror Assembly (TMA) required a combination of state-of-the-art metrology and polishing techniques. In this paper, the authors summarize the derived goals and cover the main facets of the various metrology instruments employed, as well as the philosophy and technique used in the polishing work. In addition, they show how progress was measured against the goals, using the detailed error budget for surface errors and a mathematical model for performance prediction. The metrology instruments represented a considerable advance on the state-of-the-art and fully satisfied the error budget goals for the various surface errors. They were capable of measuring the surface errors over a large range of spatial periods, from low-frequency figure errors to microroughness. The polishing was accomplished with a computer-controlled process, guided by the combined data from various metrology instruments. This process was also tailored to reduce the surface errors over the full range of spatial periods

  15. EMRP JRP MetNH3: Towards a Consistent Metrological Infrastructure for Ammonia Measurements in Ambient Air

    Science.gov (United States)

    Leuenberger, Daiana; Balslev-Harder, David; Braban, Christine F.; Ebert, Volker; Ferracci, Valerio; Gieseking, Bjoern; Hieta, Tuomas; Martin, Nicholas A.; Pascale, Céline; Pogány, Andrea; Tiebe, Carlo; Twigg, Marsailidh M.; Vaittinen, Olavi; van Wijk, Janneke; Wirtz, Klaus; Niederhauser, Bernhard

    2016-04-01

    aimed uncertainty is develop and characterise laser based optical spectrometric standards Evaluation and characterisation of the applicability of a newly developed open-path as well as of existing extractive measurement techniques as optical transfer standards according to metrological standards. To establish the transfer from high-accuracy standards to field applicable methods Employment of characterised exposure chambers as well as field sites for validation and comparison experiments to test and evaluate the performance of different instruments and measurement methods at ammonia amount fractions of the ambient air. The active exchange in workshops and inter-comparisons, publications in technical journals as well as presentations at relevant conferences and standardisation bodies will transfer the knowledge to stakeholders and end-users. The work has been carried out in the framework of the EMRP. The EMRP is jointly funded by the EMRP participating countries within EURAMET and the European Union.

  16. Joint Research on Scatterometry and AFM Wafer Metrology

    Science.gov (United States)

    Bodermann, Bernd; Buhr, Egbert; Danzebrink, Hans-Ulrich; Bär, Markus; Scholze, Frank; Krumrey, Michael; Wurm, Matthias; Klapetek, Petr; Hansen, Poul-Erik; Korpelainen, Virpi; van Veghel, Marijn; Yacoot, Andrew; Siitonen, Samuli; El Gawhary, Omar; Burger, Sven; Saastamoinen, Toni

    2011-11-01

    Supported by the European Commission and EURAMET, a consortium of 10 participants from national metrology institutes, universities and companies has started a joint research project with the aim of overcoming current challenges in optical scatterometry for traceable linewidth metrology. Both experimental and modelling methods will be enhanced and different methods will be compared with each other and with specially adapted atomic force microscopy (AFM) and scanning electron microscopy (SEM) measurement systems in measurement comparisons. Additionally novel methods for sophisticated data analysis will be developed and investigated to reach significant reductions of the measurement uncertainties in critical dimension (CD) metrology. One final goal will be the realisation of a wafer based reference standard material for calibration of scatterometers.

  17. European research project 'Metrology for radioactive waste management'

    International Nuclear Information System (INIS)

    Suran, J.

    2014-01-01

    The three-year European research project M etrology for Radioactive Waste Management' was launched in October 2011 under the EMRP (European Metrology Research Programme). It involves 13 European national metrology institutes and a total budget exceeds four million Euros. The project is coordinated by the Czech Metrology Institute and is divided into five working groups. This poster presents impact, excellence, relevance to EMPR objectives, and implementation and management of this project.(author)

  18. Micromachining technology for thermal ink-jet products

    Science.gov (United States)

    Verdonckt-Vandebroek, Sophie

    1997-09-01

    This paper reviews recent trends and evolutions in the low- end color printing market which is currently dominated by thermal inkjet (TIJ) based products. Micro electromechanical systems technology has been an enabler for the unprecedented cost/performance ratio of these printing products. The generic TIJ operating principles are based on an intimate blend of thermodynamics, fluid dynamics and LSI electronics. The key principles and design issues are outlined and the fabrication of TIJ printheads illustrated with an implementation by the Xerox Corporation.

  19. Inkjet Printing of Drug-Loaded Mesoporous Silica Nanoparticles—A Platform for Drug Development

    Directory of Open Access Journals (Sweden)

    Henrika Wickström

    2017-11-01

    Full Text Available Mesoporous silica nanoparticles (MSNs have shown great potential in improving drug delivery of poorly water soluble (BCS class II, IV and poorly permeable (BCS class III, IV drugs, as well as facilitating successful delivery of unstable compounds. The nanoparticle technology would allow improved treatment by reducing adverse reactions of currently approved drugs and possibly reintroducing previously discarded compounds from the drug development pipeline. This study aims to highlight important aspects in mesoporous silica nanoparticle (MSN ink formulation development for digital inkjet printing technology and to advice on choosing a method (2D/3D for nanoparticle print deposit characterization. The results show that both unfunctionalized and polyethyeleneimine (PEI surface functionalized MSNs, as well as drug-free and drug-loaded MSN–PEI suspensions, can be successfully inkjet-printed. Furthermore, the model BCS class IV drug remained incorporated in the MSNs and the suspension remained physically stable during the processing time and steps. This proof-of-concept study suggests that inkjet printing technology would be a flexible deposition method of pharmaceutical MSN suspensions to generate patterns according to predefined designs. The concept could be utilized as a versatile drug screening platform in the future due to the possibility of accurately depositing controlled volumes of MSN suspensions on various materials.

  20. Inkjet Printing of Drug-Loaded Mesoporous Silica Nanoparticles-A Platform for Drug Development.

    Science.gov (United States)

    Wickström, Henrika; Hilgert, Ellen; Nyman, Johan O; Desai, Diti; Şen Karaman, Didem; de Beer, Thomas; Sandler, Niklas; Rosenholm, Jessica M

    2017-11-21

    Mesoporous silica nanoparticles (MSNs) have shown great potential in improving drug delivery of poorly water soluble (BCS class II, IV) and poorly permeable (BCS class III, IV) drugs, as well as facilitating successful delivery of unstable compounds. The nanoparticle technology would allow improved treatment by reducing adverse reactions of currently approved drugs and possibly reintroducing previously discarded compounds from the drug development pipeline. This study aims to highlight important aspects in mesoporous silica nanoparticle (MSN) ink formulation development for digital inkjet printing technology and to advice on choosing a method (2D/3D) for nanoparticle print deposit characterization. The results show that both unfunctionalized and polyethyeleneimine (PEI) surface functionalized MSNs, as well as drug-free and drug-loaded MSN-PEI suspensions, can be successfully inkjet-printed. Furthermore, the model BCS class IV drug remained incorporated in the MSNs and the suspension remained physically stable during the processing time and steps. This proof-of-concept study suggests that inkjet printing technology would be a flexible deposition method of pharmaceutical MSN suspensions to generate patterns according to predefined designs. The concept could be utilized as a versatile drug screening platform in the future due to the possibility of accurately depositing controlled volumes of MSN suspensions on various materials.

  1. A metrology system for a high resolution cavity beam position monitor system

    Energy Technology Data Exchange (ETDEWEB)

    Walston, Sean, E-mail: walston2@llnl.gov [Lawrence Livermore National Laboratory, 7000 East Ave., L-181, Livermore, CA 94550 (United States); Boogert, Stewart [Royal Holloway, University of London, Egham (United Kingdom); Chung, Carl; Fitsos, Pete [Lawrence Livermore National Laboratory, 7000 East Ave., L-181, Livermore, CA 94550 (United States); Frisch, Joe [Stanford Linear Accelerator Center, Menlo Park, CA (United States); Gronberg, Jeff [Lawrence Livermore National Laboratory, 7000 East Ave., L-181, Livermore, CA 94550 (United States); Hayano, Hitoshi [High Energy Accelerator Research Organization (KEK), Tsukuba-shi, Ibaraki-ken (Japan); Hinton, Shantell [Stanford Linear Accelerator Center, Menlo Park, CA (United States); Honda, Yosuke [High Energy Accelerator Research Organization (KEK), Tsukuba-shi, Ibaraki-ken (Japan); Khainovski, Oleg; Kolomensky, Yury; Loscutoff, Peter [University of California and Lawrence Berkeley National Laboratory, Berkeley, CA (United States); Lyapin, Alexey; Malton, Stephen [University College London, London (United Kingdom); May, Justin; McCormick, Douglas [Stanford Linear Accelerator Center, Menlo Park, CA (United States); Meller, Robert [Cornell University, Ithaca, NY (United States); Miller, David [University College London, London (United Kingdom); Orimoto, Toyoko [University of California and Lawrence Berkeley National Laboratory, Berkeley, CA (United States); California Institute of Technology, Pasadena, CA (United States); Ross, Marc [Stanford Linear Accelerator Center, Menlo Park, CA (United States); Fermi National Accelerator Laboratory, Batavia, IL (United States); and others

    2013-11-11

    International Linear Collider (ILC) interaction region beam sizes and component position stability requirements will likely be as small as a few nanometers. It is important to the ILC design effort to demonstrate that these tolerances can be achieved–ideally using a beam-based stability measurement. We developed a high resolution RF cavity Beam Position Monitor (BPM) system. A triplet of these BPMs, installed in the extraction line of the KEK Accelerator Test Facility (ATF) and tested with its ultra-low emittance beam, achieved a position measurement resolution of 15 nm. A metrology system for the three BPMs was subsequently installed. This system employed optical encoders to measure each BPM's position and orientation relative to a zero-coefficient of thermal expansion carbon fiber frame. We have demonstrated that the three BPMs behave as a rigid-body at the level of less than 5 nm.

  2. Water Based Inkjet Material Deposition Of Donor-Acceptor Nanoparticles For Usage In Organic Photovoltaics

    Science.gov (United States)

    Penmetcha, Anirudh Raju

    Significant efficiency increases are being made for bulk heterojunction organic photovoltaic prototype devices with world records at 11%. However the chlorinated solvents most frequently used in prototype manufacture would cause local health and safety concerns or large scale environmental pollution upon expansion of these techniques for commercialization. Moreover, research to bridge prototype and large-scale production of these solar cells is still in its infancy. Most prototype devices are made in inert glove box environments using spin-coating. There is a need to develop a non-toxic ink and incorporate it into a material deposition system that can be used in mass production. In this thesis, P3HT:PCBM organic photovoltaic devices were fabricated with the help of inkjet printing. P3HT:PCBM blends were dissolved in organic solvent systems, and this solution was used as the ink for the printer. The "coffee-ring effect" as well as the effect of inkjet printing parameters on film formation were highlighted - thus the inkjet printing method was validated as a stepping stone between lab-scale production of OPVs and large-scale roll-to-roll manufacturing. To address the need of a non-toxic ink, P3HT:PCBM blends were then dispersed in water, using the miniemulsion method. The nanoparticles were characterized for their size, as well as the blending between the P3HT and PCBM within the nanoparticle. These dispersions were then converted into inks. Finally, these nanoparticle inks were inkjet-printed to fabricate OPV devices. Based on the results obtained here, tentative "next steps" have been outlined in order to improve upon this research work, in the future.

  3. Optics for Processes, Products and Metrology

    Science.gov (United States)

    Mather, George

    1999-04-01

    Optical physics has a variety of applications in industry, including process inspection, coatings development, vision instrumentation, spectroscopy, and many others. Optics has been used extensively in the design of solar energy collection systems and coatings, for example. Also, with the availability of good CCD cameras and fast computers, it has become possible to develop real-time inspection and metrology devices that can accommodate the high throughputs encountered in modern production processes. More recently, developments in moiré interferometry show great promise for applications in the basic metals and electronics industries. The talk will illustrate applications of optics by discussing process inspection techniques for defect detection, part dimensioning, birefringence measurement, and the analysis of optical coatings in the automotive, glass, and optical disc industries. In particular, examples of optical techniques for the quality control of CD-R, MO, and CD-RW discs will be presented. In addition, the application of optical concepts to solar energy collector design and to metrology by moiré techniques will be discussed. Finally, some of the modern techniques and instruments used for qualitative and quantitative material analysis will be presented.

  4. Electrode configuration effects on the electrification and voltage variation in an electrostatic inkjet printing head

    International Nuclear Information System (INIS)

    Choi, Kyung Hyun; Ali, Adnan; Rahman, Ahsan; Malik Mohammad, Nauman; Rahman, Khalid; Khan, Arshad; Khan, Saleem; Kim, D S

    2010-01-01

    The electrode configuration of an electrostatic inkjet printing head is under study. This paper introduces the development of a new electrostatic inkjet head with an improved electrode configuration as compared to the conventional configuration. Two tungsten electrodes, connected in parallel, are inserted into the electrostatic print head at a certain angle from opposite sides. The aim of this double-side inserted angular electrodes (DSIAEs) head is to intensify the electrification of the fluid inside the head at minimum suitable exposure of the electrode, which results in maximizing surface charge density. The main advantage of the DSIAEs head is to get a very stable meniscus at low applied voltage for printing. This stable meniscus is transformed to a very stable jet by increasing the applied voltage. Therefore, printed patterns obtained with this DSIAEs head are more uniform because of a more stable meniscus and jet as compared to a conventional electrostatic vertically inserted single electrode head. Also, with this DSIAEs configuration, the life of the electrostatic inkjet printing head is increased.

  5. Advanced X-ray Optics Metrology for Nanofocusing and Coherence Preservation

    Energy Technology Data Exchange (ETDEWEB)

    Goldberg, Kenneth A.; Yashchuk, Valeriy

    2007-12-01

    What is the point of developing new high-brightness light sources if beamline optics won't be available to realize the goals of nano-focusing and coherence preservation? That was one of the central questions raised during a workshop at the 2007 Advanced Light Source Users Meeting. Titled, 'Advanced X-Ray Optics Metrology for Nano-focusing and Coherence Preservation', the workshop was organized by Kenneth Goldberg and Valeriy Yashchuk (both of Lawrence Berkeley National Laboratory, LBNL), and it brought together industry representatives and researchers from Japan, Europe, and the US to discuss the state of the art and to outline the optics requirements of new light sources. Many of the presentations are viewable on the workshop website http://goldberg.lbl.gov/MetrologyWorkshop07/. Many speakers shared the same view of one of the most significant challenges facing the development of new high-brightness third and fourth generation x-ray, soft x-ray, and EUV light sources: these sources place extremely high demands on the surface quality of beamline optics. In many cases, the 1-2-nm surface error specs that define the outer bounds of 'diffraction-limited' quality are beyond the reach of leading facilities and optics vendors. To focus light to 50-nm focal spots, or smaller, from reflective optics and to preserve the high coherent flux that new sources make possible, the optical surface quality and alignment tolerances must be measured in nano-meters and nano-radians. Without a significant, well-supported research effort, including the development of new metrology techniques for use both on and off the beamline, these goals will likely not be met. The scant attention this issue has garnered is evident in the stretched budgets and limited manpower currently dedicated to metrology. With many of the world's leading groups represented at the workshop, it became clear that Japan and Europe are several steps ahead of the US in this critical area

  6. An inkjet printed meandered dipole antenna for RF passive sensing applications

    KAUST Repository

    Quddious, Abdul; Khan, Munawar M.; Tahir, Farooq A.; Shamim, Atif; Cheema, Hammad M.

    2016-01-01

    In this paper, a low cost inkjet printed antenna envisioned for integration with printed and non-printed RF sensors is presented. The proposed meandered dipole dual-loop antenna is designed on a 0.25mm thick paper substrate. The antenna not only

  7. Microwave flash sintering of inkjet-printed silver tracks on polymer substrates

    NARCIS (Netherlands)

    Perelaer, J.; Klokkenburg, M.; Hendriks, C.E.; Schubert, U.S.

    2009-01-01

    Microwave flash sintering of inkjet printed colloidal silver dispersions on thin polymer substrates was studied as a function of the antenna area and initial resistance. The presence of conductive antennae promotes nanoparticle sintering in predried ink lines. For dried nanoparticle inks connected

  8. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    International Nuclear Information System (INIS)

    Wang, Xianghua; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen; Zhang, Guobing; Lu, Hongbo; Yuan, Miao; Qin, Mengzhi

    2015-01-01

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods

  9. Interfacial nucleation behavior of inkjet-printed 6,13 bis(tri-isopropylsilylethynyl) pentacene on dielectric surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Xianghua, E-mail: xhwang@hfut.edu.cn; Lv, Shenchen; Chen, Mengjie; Qiu, Longzhen, E-mail: lzhqiu@hfut.edu.cn; Zhang, Guobing; Lu, Hongbo [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); Yuan, Miao; Qin, Mengzhi [Key Lab of Special Display Technology, Ministry of Education, National Engineering Lab of Special Display Technology, National Key Lab of Advanced Display Technology, Academy of Opto-Electronic Technology, Hefei University of Technology, Hefei 230009 (China); School of Electronic Science and Applied Physics, Hefei University of Technology, Hefei 230009 (China)

    2015-01-14

    The performance of organic thin film transistors (OTFTs) is heavily dependent on the interface property between the organic semiconductor and the dielectric substrate. Device fabrication with bottom-gate architecture by depositing the semiconductors with a solution method is highly recommended for cost-effectiveness. Surface modification of the dielectric layer is employed as an effective approach to control film growth. Here, we perform surface modification via a self-assembled monolayer of silanes, a spin-coated polymer layer or UV-ozone cleaning, to prepare surfaces with different surface polarities and morphologies. The semiconductor is inkjet-printed on the surface-treated substrates as single-line films with overlapping drop assignment. Surface morphologies of the dielectric before film deposition and film morphologies of the inkjet-printed semiconductor are characterized with polarized microscopy and AFM. Electrical properties of the films are studied through organic thin-film transistors with bottom-gate/bottom-contact structure. With reduced surface polarity and nanoscale aggregation of silane molecules on the substrates, semiconductor nucleates from the interior interface between the ink solution and the substrate, which contributes to film growth with higher crystal coverage and better film quality at the interface. Surface treatment with hydrophobic silanes is a promising approach to fabrication of high performance OTFTs with nonpolar conjugated molecules via solution methods.

  10. Importance of education and competence maintenance in metrology field (measurement science)

    International Nuclear Information System (INIS)

    Dobiliene, J; Meskuotiene, A

    2015-01-01

    For certain tasks in metrology field trained employers might be necessary to fulfill specific requirements. It is important to pay attention that metrologists are responsible for fluent work of devices that belong to huge variety of vide spectrum of measurements. People who perform measurements (that are related to our safety, security or everyday life) with reliable measuring instruments must be sure for trueness of their results or conclusions. So with the purpose to reach the harmony between the ordinary man and his used means it is very important to ensure competence of specialists that are responsible for mentioned harmony implementation. Usually these specialists have a university degree and perform highly specified tasks in science, industry or laboratories. Their task is quite narrow. For example, type approval of measuring instrument or calibration and verification. Due to the fact that the number of such employers and their tasks is relatively small in the field of legal metrology, this paper focuses on the significance of training and qualification of legal metrology officers

  11. Color and appearance metrology facility

    Data.gov (United States)

    Federal Laboratory Consortium — The NIST Physical Measurement Laboratory has established the color and appearance metrology facility to support calibration services for 0°/45° colored samples, 20°,...

  12. Reference metrology in a research fab: the NIST clean calibrations thrust

    Science.gov (United States)

    Dixson, Ronald; Fu, Joe; Orji, Ndubuisi; Renegar, Thomas; Zheng, Alan; Vorburger, Theodore; Hilton, Al; Cangemi, Marc; Chen, Lei; Hernandez, Mike; Hajdaj, Russell; Bishop, Michael; Cordes, Aaron

    2009-03-01

    In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST. Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here. Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy (SEM), and atomic force microscopy (AFM). Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set consisting of a stylus profiler, an SEM, and an AFM. Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the Class 100 environment available in the NanoFab and offering our customers calibration options that do not require exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are generally consistent with our measurement goals.

  13. Chemical metrology, strategic job for the Chilean Nuclear Energy Commission

    International Nuclear Information System (INIS)

    Gras, Nuri; Munoz, Luis; Cortes, Eduardo

    2001-01-01

    The National Standardization Institute's (INN) Metrology unit prepared a study in 1996 to evaluate the impact of metrological activity in Chile. This study was based on a survey of the supply and demand of metrological services and on studies of the behavior of the production system and technological services in Chile during the period 1990-1996. With the information obtained in this study the economic impact resulting from the lack of a national metrology system could be evaluated. This impact was estimated to be a 5% loss in gross national product equal to 125-500 million dollars because of direct product rejection in the mining, fisheries, agricultural and manufacturing sectors. Chemical measurements are responsible for 50% of these losses. In response to this need and coordinated by the INN, a metrological network of reference laboratories began to operate in 1997 for the principal physical magnitudes (mass, temperature, longitude and force) and a CORFO-FDI project began in 2001 that includes the chemical magnitudes. The Chilean Nuclear Energy Commission, aware of the problem's importance and the amount of economic damage that the country may suffer, as a result of these deficiencies, has formed a Chemical Metrology Unit to provide technical support. It aims to raise the standards of local analytical laboratories by providing international recognition to the export sector. Nuclear analytical techniques are used as reference methods. This work describes the laboratories that are included in this Chemical Metrology Unit and the historical contribution to the development of local analytical chemistry. The national and international projects are described together with the publications they have generated. The quality assurance program applied to the laboratories is described as well, which has led to the accreditation of the analytical chemical assays. The procedures used for validation and calculation of uncertain nuclear methodologies are described together with

  14. Utilization of the research and measurement reactor Braunschweig for neutron metrology

    International Nuclear Information System (INIS)

    Alberts, W.G.

    1982-01-01

    The objectives of the Physikalisch-Technische Bundesanstalt (PTB) with regard to neutron metrology are briefly described. The use of the PTB's Research and Measuring Reactor as neutron source for metrological purposes is discussed. Reference neutron beams are described which serve as irradiation facilities for the calibration of detectors for radiation protection purposes in the frame of the legal metrology work in the PTB. (orig.) [de

  15. Inkjet-printed p-type nickel oxide thin-film transistor

    Science.gov (United States)

    Hu, Hailong; Zhu, Jingguang; Chen, Maosheng; Guo, Tailiang; Li, Fushan

    2018-05-01

    High-performance inkjet-printed nickel oxide thin-film transistors (TFTs) with Al2O3 high-k dielectric have been fabricated using a sol-gel precursor ink. The "coffee ring" effect during the printing process was facilely restrained by modifying the viscosity of the ink to control the outward capillary flow. The impacts on the device performance was studied in detail in consideration of annealing temperature of the nickel oxide film and the properties of dielectric layer. The optimized switching ability of the device were achieved at an annealing temperature of 280 °C on a 50-nm-thick Al2O3 dielectric layer, with a hole mobility of 0.78 cm2/V·s, threshold voltage of -0.6 V and on/off current ratio of 5.3 × 104. The as-printed p-type oxide TFTs show potential application in low-cost, large-area complementary electronic devices.

  16. Argon plasma sintering of inkjet printed silver tracks on polymer substrates

    NARCIS (Netherlands)

    Reinhold, I.; Hendriks, C.E.; Eckardt, R.; Kranenburg, J.M.; Perelaer, J.; Baumann, R.; Schubert, U.S.

    2009-01-01

    An alternative and selective sintering method for the fabrication of conductive silver tracks on common polymer substrates is presented, by exposure to low-pressure argon plasma. Inkjet printing has been used to pattern a silver nanoparticle ink. This resulted in conductive features with a

  17. One-step inkjet printing of tungsten oxide-poly(3,4-ethylenedioxythiophene):polystyrene sulphonate hybrid film and its applications in electrochromic devices

    Energy Technology Data Exchange (ETDEWEB)

    Nguyen, Thi-Thuy-Nga, E-mail: thuysnga@gmail.com; Chan, Chih-Yu; He, Ju-Liang

    2016-03-31

    Hybrid film comprised tungsten oxide and poly (3,4-ethylenedioxythiophene):polystyrene sulphonate (WO{sub 3}–PEDOT:PSS) was developed by applying one-step inkjet printing from an office inkjet printer. The WO{sub 3} nanoparticles were synthesized from commercial crystalline WO{sub 3} powder through a wet ball-milling process, which is a simple, environmentally friendly, and cost-effective method of using water as a green solvent and low-energy milling. The WO{sub 3}–PEDOT:PSS inkjet ink was prepared by dispersing the as-milled WO{sub 3} and PEDOT:PSS in n-propanol and deionized water. The inkjet-printed WO{sub 3}–PEDOT:PSS thin films show marked improvements of cathodic electrochromism over WO{sub 3} films: the transmittance change of 20% at 550 nm (visible region) and 35% at 900 nm (infrared region) along with the response time of 5.67/0.30 s in their colored/bleached state, and the electrochromic coloration efficiency of 27.86 cm{sup 2}/C at 550 nm and 69.64 cm{sup 2}/C at 900 nm. - Highlights: • WO{sub 3} nanoparticles were synthesized by milling commercial crystalline WO{sub 3}. • Wet ball-milling was carried out by using water as a green solvent and low energy. • WO{sub 3}–PEDOT:PSS hybrid ink was simply prepared by adding n-propanol and DI water. • WO{sub 3}–PEDOT:PSS hybrid films were inkjet-printed via an office inkjet printer. • WO{sub 3}–PEDOT:PSS films show better electrochromic performances than WO{sub 3} films.

  18. Fully inkjet printed wide band cantor fractal antenna for RF energy harvesting application

    KAUST Repository

    Bakytbekov, Azamat

    2017-06-07

    Energy harvesting from ambient RF signals is feasible, particularly from the GSM bands such as 900MHz, 1800MHz and the 3G band at 2.1GHz. This requires a wideband receive antenna which can cover all these bands with decent gain performance and an omnidirectional radiation pattern. In this work, a novel Cantor fractal antenna has been designed which fulfills the above mentioned performance requirements. Antenna has been realized through a combination of 3D inkjet printing of plastic substrate and 2D inkjet printing of metallic nanoparticles based ink. The stable impedance and radiation performance of the antenna over a bandwidth of 0.8GHz to 2.2GHz (93 %) shows the feasibility of its employment in wide band energy harvesting applications.

  19. Slovak Institute of Metrology. Annual Report 2001

    International Nuclear Information System (INIS)

    Bily, M.

    2002-03-01

    A brief account of activities carried out by the Slovak Institute of Metrology (SMU) in 2001 is presented. These activities are reported under the headings: (1) Organisation identification; (2) Mission and medium-term perspectives; (3) Contract with Slovak Office of Standards, Metrology and Testing of the Slovak Republic; (4) SMU activities ; (5) Economic results; (6) Personnel management; (7) Aims and results of their fulfilment; (8) Evaluation and analysis of SMU development in 2001; (9) Main group of outputs users; (10) Conclusion

  20. Objectives and functions of ionizing radiation metrology

    International Nuclear Information System (INIS)

    Rothe, H.

    1981-01-01

    Proceeding from the fundamental objectives of ionizing radiation metrology, the main tasks of metrological research and assurances of accurate measurements in dosimetry and activity determination are summarized. With a view to the technical performance of these tasks the state-of-the-art and the trends in reproduction and dissemination of dosimetric and activity units are outlined. Problems are derived that should be solved within the framework of the CMEA Standing Commissions on Standardization and on the Peaceful Uses of Atomic Energy. (author)