WorldWideScience

Sample records for in-line inspection technology

  1. Research on UAV Intelligent Obstacle Avoidance Technology During Inspection of Transmission Line

    Science.gov (United States)

    Wei, Chuanhu; Zhang, Fei; Yin, Chaoyuan; Liu, Yue; Liu, Liang; Li, Zongyu; Wang, Wanguo

    Autonomous obstacle avoidance of unmanned aerial vehicle (hereinafter referred to as UAV) in electric power line inspection process has important significance for operation safety and economy for UAV intelligent inspection system of transmission line as main content of UAV intelligent inspection system on transmission line. In the paper, principles of UAV inspection obstacle avoidance technology of transmission line are introduced. UAV inspection obstacle avoidance technology based on particle swarm global optimization algorithm is proposed after common obstacle avoidance technologies are studied. Stimulation comparison is implemented with traditional UAV inspection obstacle avoidance technology which adopts artificial potential field method. Results show that UAV inspection strategy of particle swarm optimization algorithm, adopted in the paper, is prominently better than UAV inspection strategy of artificial potential field method in the aspects of obstacle avoidance effect and the ability of returning to preset inspection track after passing through the obstacle. An effective method is provided for UAV inspection obstacle avoidance of transmission line.

  2. Applying ultrasonic in-line inspection technology in a deep water environment: exploring the challenges

    Energy Technology Data Exchange (ETDEWEB)

    Thielager, N.; Nadler, M.; Pieske, M.; Beller, M. [NDT Systems and Services AG, Stutensee (Germany)

    2009-12-19

    The demand for higher inspection accuracies of in-line inspection tools (ILI tools) is permanently growing. As integrity assessment procedures are being refined, detection performances, sizing accuracies and confidence levels regarding detection and sizing play an ever increasing role. ILI tools utilizing conventional ultrasound technology are at the forefront of technology and fulfill the market requirements regarding sizing accuracies and the ability to provide quantitative measurements of wall thickness as well as crack inspection capabilities. Data from ultrasonic tools is ideally suited for advanced integrity assessment applications and run comparisons. Making this technology available for a deep-water environment of heavy wall, high pressures and temperatures comes with a wide range of challenges which have to be addressed. This paper will introduce developments recently made in order to adapt and modify ultrasonic in-line inspection tools for the application in a heavy wall, high pressure and high temperature environment as encountered in deep offshore pipelines. The paper will describe necessary design modifications and new conceptual approaches especially regarding tool electronics, cables, connectors and the sensor carrier. A tool capable of deep-water inspection with a pressure bearing capability of 275 bar will be introduced and data from inspection runs will be presented. As an outlook, the paper will also discuss future inspection requirements for offshore pipelines with maximum pressure values of up to 500 bar. (author)

  3. Literature Review: Theory and Application of In-Line Inspection Technologies for Oil and Gas Pipeline Girth Weld Defection

    Science.gov (United States)

    Feng, Qingshan; Li, Rui; Nie, Baohua; Liu, Shucong; Zhao, Lianyu; Zhang, Hong

    2016-01-01

    Girth weld cracking is one of the main failure modes in oil and gas pipelines; girth weld cracking inspection has great economic and social significance for the intrinsic safety of pipelines. This paper introduces the typical girth weld defects of oil and gas pipelines and the common nondestructive testing methods, and systematically generalizes the progress in the studies on technical principles, signal analysis, defect sizing method and inspection reliability, etc., of magnetic flux leakage (MFL) inspection, liquid ultrasonic inspection, electromagnetic acoustic transducer (EMAT) inspection and remote field eddy current (RFDC) inspection for oil and gas pipeline girth weld defects. Additionally, it introduces the new technologies for composite ultrasonic, laser ultrasonic, and magnetostriction inspection, and provides reference for development and application of oil and gas pipeline girth weld defect in-line inspection technology. PMID:28036016

  4. In line inspection of multi-diameter and high-pressure pipelines in Brazil using combined technologies: magnetic flux leakage and ultrasonic testing

    Energy Technology Data Exchange (ETDEWEB)

    Ginten, Markus; Brockhaus, Stephan; Bouaoua, Nourreddine; Klein, Stefan [ROSEN Technology and Research Center, Lingen (Germany); Bruening, Franz [ROSEN Brazil, Rio de Janeiro, RJ (Brazil)

    2009-07-01

    The simultaneous use of the magnetic flux leakage (MFL) method and the ultrasonic testing (UT) method on a single in line inspection (ILI) tool has been identified as a versatile and accurate solution for liquid pipelines. The combination of the two methods is complementary to the restrictions of each other. Also, the overall scope of the inspection is enlarged. General wall thinning and largely corroded areas are accurately and reliably scanned with the UT unit, while very detailed information about pitting corrosion is obtained from the MFL measurement. Blind spots of echo loss, as occasionally observed for the UT channels is compensated by the more robust measurement from the MFL sensors. Consequently, this technology has been the method of choice in an in line inspection project of an onshore long distance pipeline in Brazil, facing a variety of corrosion threats. The pipeline consists of several multi-diameter sections of 18/20 inches and 20/22 inches. Furthermore, the high gravity of product in combination with a height profile, an altitude of 1152 m MSL (Mean Sea Level) had to be crossed, leads to a maximum pressure of 220 bar. These boundary conditions had to be considered during the design of the ILI-tool. The paper discusses the experience made so far with the combined technology MFL and UT. The effective use of the inspection tool for the above mentioned pipeline as well as field results from a previous inspection are described. (author)

  5. Inspection technology for high pressure pipes

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae H.; Lee, Jae C.; Eum, Heung S.; Choi, Yu R.; Moon, Soon S.; Jang, Jong H

    2000-02-01

    Various kinds of defects are likely to be occurred in the welds of high pressure pipes in nuclear power plants. Considering the recent accident of Zuruga nuclear power plant in Japan, reasonable policy is strongly requested for the high pressure pipe integrity. In this study, we developed the technologies to inspect pipe welds automatically. After development of scanning robot prototype in the first research year, we developed and implemented the algorithm of automatic tracking of the scanning robot along the weld line of the pipes. We use laser slit beam on weld area and capture the image using digital camera. Through processing of the captures image, we finally determine the weld line automatically. In addition, we investigated a new technology on micro systems for developing micro scanning robotic inspection of the pipe welds. The technology developed in this study is being transferred to the industry. (author)

  6. 241-AY-102 Leak Detection Pit Drain Line Inspection Report

    International Nuclear Information System (INIS)

    Boomer, Kayle D.; Engeman, Jason K.; Gunter, Jason R.; Joslyn, Cameron C.; Vazquez, Brandon J.; Venetz, Theodore J.; Garfield, John S.

    2014-01-01

    This document provides a description of the design components, operational approach, and results from the Tank AY-102 leak detection pit drain piping visual inspection. To perform this inspection a custom robotic crawler with a deployment device was designed, built, and operated by IHI Southwest Technologies, Inc. for WRPS to inspect the 6-inch leak detection pit drain line

  7. Conceptual design for transmission line inspection robot

    International Nuclear Information System (INIS)

    Jalal, M F Abdul; Sahari, K S Mohamed; Anuar, A; Arshad, A D Mohd; Idris, M S

    2013-01-01

    Power transmission line is used for power distribution purposes due to their cost effective measure compared to underlying cable. However, prolonged exposure to natural weather may cause fatigue stress to the lines as well as induce material failure. Therefore, periodical line inspection is considered uttermost important as a preventive measure to avoid power outage. However, transmission line inspection has always been a high risk and expensive work. Hazardous works that may harm operator as well as routine that requires precise handling can be performed by robots. Various types of robots have been designed and developed for line inspection but only perform well on a straight and continuous line. As these robots encounter an obstacle during the inspection, then the real problem in terms of robot stability and smooth operation arises. In this paper, conceptual design and evaluation for transmission line inspection robot is presented. The inspection robot mobile robot must be able to bypass or avoid obstacles as it travels along the power transmission line.

  8. Latest developments in on- and off-line inspection of bank notes during production

    Science.gov (United States)

    Brown, Stephen C.

    2004-06-01

    The inspection of bank notes is a highly labour intensive process where traditionally every note on every sheet is inspected manually. However with the advent of more and more sophisticated security features, both visible and invisible, and the requirement of cost reduction in the printing process, it is clear that automation is required. Machines for the automatic inspection of bank notes have been on the market for the past 10 to 12 years, but recent developments in technology have enabled a new generation of detectors and machines to be developed. This paper focuses on the latest developments in both the off-line and on-line inspection of bank notes covering not only the visible spectrum but also a new range of detectors for inspection some of the more common invisible features used as covert features in today's bank notes.

  9. Development of the Inspection and Diagnosis Technology for the NSSS Components Integrity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae Hee; Eom, Heung Soup; Lee, Jae Cheol and others

    2005-02-15

    This project aims at the development of new technologies for a monitoring, inspection, diagnosis and evaluation of the safety related components in nuclear power plants. These technologies are required to detect the defects in the components of nuclear power plants and to prepare thoroughly against accidents. We performed the 1st stage of the study on the four issues recently focused. Thus we developed an analysis model of dynamic characteristics on the reactor internals, an on-line monitoring technology using an ultrasonic guided wave, a network based remote inspection system and an inspection robot for a control rod guide tube support pin. We also performed a lifetime estimation and degradation analysis of the NPP cables through accelerated degradation tests. The technologies developed in this project are applied to the components of nuclear power plants. The applications include a localization of the NSSS integrity monitoring system, replacement of an in-service inspection by on-line monitoring, remote inspection of the major components of the plants, lifetime estimation of the degraded plant cables, and so on. Elemental technologies obtained through the project can have great ripple effects in general industry, and can be applied to the inspection and diagnosis of the components in the other industries.

  10. Development of the Inspection and Diagnosis Technology for the NSSS Components Integrity

    International Nuclear Information System (INIS)

    Kim, Jae Hee; Eom, Heung Soup; Lee, Jae Cheol and others

    2005-02-01

    This project aims at the development of new technologies for a monitoring, inspection, diagnosis and evaluation of the safety related components in nuclear power plants. These technologies are required to detect the defects in the components of nuclear power plants and to prepare thoroughly against accidents. We performed the 1st stage of the study on the four issues recently focused. Thus we developed an analysis model of dynamic characteristics on the reactor internals, an on-line monitoring technology using an ultrasonic guided wave, a network based remote inspection system and an inspection robot for a control rod guide tube support pin. We also performed a lifetime estimation and degradation analysis of the NPP cables through accelerated degradation tests. The technologies developed in this project are applied to the components of nuclear power plants. The applications include a localization of the NSSS integrity monitoring system, replacement of an in-service inspection by on-line monitoring, remote inspection of the major components of the plants, lifetime estimation of the degraded plant cables, and so on. Elemental technologies obtained through the project can have great ripple effects in general industry, and can be applied to the inspection and diagnosis of the components in the other industries

  11. Application and study of advanced network technology in large container inspection system

    International Nuclear Information System (INIS)

    Li Zheng; Kang Kejun; Gao Wenhuan; Wang Jingjin

    1996-01-01

    Large Container Inspection System (LCIS) based on radiation imaging technology is a powerful tool for the customs to check the contents inside a large container without opening it. An image distributed network system is composed of center manager station, image acquisition station, environment control station, inspection processing station, check-in station, check-out station, database station by using advanced network technology. Mass data, such as container image data, container general information, manifest scanning data, commands and status, must be on-line transferred between different stations. Advanced network technology and software programming technique are presented

  12. Development of the Inspection and Diagnosis Technology for the NSSS Components Integrity

    International Nuclear Information System (INIS)

    Kim, Jae Hee; Eom, Heung Soup; Lee, Jae Cheol

    2007-02-01

    This project is to develop and demonstrate new technologies for a monitoring, inspection, diagnosis and evaluation of the safety related components in nuclear power plants. These technologies are required to detect the defects in the components of nuclear power plants and to prepare thoroughly against accidents. We studied on the four issues recently focused. Thus we developed an impact analysis model of the reactor and steam generator, and diagnosis software of the reactor internals. As an on-line monitoring technology using an ultrasonic guided wave, we developed a new method enhancing the S/N ratio of the weak signal based on time reversal technique. A network based remote inspection system and an inspection robot for reactor vessel head penetration was developed. We also performed a lifetime estimation and degradation analysis of the NPP cables through accelerated degradation tests. The technologies developed in this project are applied to the components of nuclear power plants. The applications include a localization of the NSSS integrity monitoring system, replacement of an in-service inspection by on-line monitoring, remote inspection of the major components of the plants, lifetime estimation of the degraded plant cables, and so on

  13. Development of the Inspection and Diagnosis Technology for the NSSS Components Integrity

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Jae Hee; Eom, Heung Soup; Lee, Jae Cheol (and others)

    2007-02-15

    This project is to develop and demonstrate new technologies for a monitoring, inspection, diagnosis and evaluation of the safety related components in nuclear power plants. These technologies are required to detect the defects in the components of nuclear power plants and to prepare thoroughly against accidents. We studied on the four issues recently focused. Thus we developed an impact analysis model of the reactor and steam generator, and diagnosis software of the reactor internals. As an on-line monitoring technology using an ultrasonic guided wave, we developed a new method enhancing the S/N ratio of the weak signal based on time reversal technique. A network based remote inspection system and an inspection robot for reactor vessel head penetration was developed. We also performed a lifetime estimation and degradation analysis of the NPP cables through accelerated degradation tests. The technologies developed in this project are applied to the components of nuclear power plants. The applications include a localization of the NSSS integrity monitoring system, replacement of an in-service inspection by on-line monitoring, remote inspection of the major components of the plants, lifetime estimation of the degraded plant cables, and so on.

  14. UAV Low Altitude Photogrammetry for Power Line Inspection

    Directory of Open Access Journals (Sweden)

    Yong Zhang

    2017-01-01

    Full Text Available When the distance between an obstacle and a power line is less than the discharge distance, a discharge arc can be generated, resulting in the interruption of power supplies. Therefore, regular safety inspections are necessary to ensure the safe operation of power grids. Tall vegetation and buildings are the key factors threatening the safe operation of extra high voltage transmission lines within a power line corridor. Manual or laser intensity direction and ranging (LiDAR based inspections are time consuming and expensive. To make safety inspections more efficient and flexible, a low-altitude unmanned aerial vehicle (UAV remote-sensing platform, equipped with an optical digital camera, was used to inspect power line corridors. We propose a semi-patch matching algorithm based on epipolar constraints, using both the correlation coefficient (CC and the shape of its curve to extract three dimensional (3D point clouds for a power line corridor. We use a stereo image pair from inter-strip to improve power line measurement accuracy by transforming the power line direction to an approximately perpendicular to epipolar line. The distance between the power lines and the 3D point cloud is taken as a criterion for locating obstacles within the power line corridor automatically. Experimental results show that our proposed method is a reliable, cost effective, and applicable way for practical power line inspection and can locate obstacles within the power line corridor with accuracy better than ±0.5 m.

  15. A Novel Method of Autonomous Inspection for Transmission Line based on Cable Inspection Robot LiDAR Data

    Directory of Open Access Journals (Sweden)

    Xinyan Qin

    2018-02-01

    Full Text Available With the growth of the national economy, there is increasing demand for electricity, which forces transmission line corridors to become structurally complicated and extend to complex environments (e.g., mountains, forests. It is a great challenge to inspect transmission line in these regions. To address these difficulties, a novel method of autonomous inspection for transmission line is proposed based on cable inspection robot (CIR LiDAR data, which mainly includes two steps: preliminary inspection and autonomous inspection. In preliminary inspection, the position and orientation system (POS data is used for original point cloud dividing, ground point filtering, and structured partition. A hierarchical classification strategy is established to identify the classes and positions of the abnormal points. In autonomous inspection, CIR can autonomously reach the specified points through inspection planning. These inspection targets are imaged with PTZ (pan, tilt, zoom cameras by coordinate transformation. The feasibility and effectiveness of the proposed method are verified by test site experiments and actual line experiments, respectively. The proposed method greatly reduces manpower and improves inspection accuracy, providing a theoretical basis for intelligent inspection of transmission lines in the future.

  16. An advanced dispatching technology for large container inspection system

    International Nuclear Information System (INIS)

    Chen Zhiqiang; Zhang Li; Kang Kejun; Gao Wenhuan

    2001-01-01

    The author describes the transmitting and dispatching technology of large container inspection system. It introduces the structure of the double buffer graded pipe lining used in the system. Strategies of queue mechanism and waiting dispatch policy are illustrated

  17. Pipeline mapping and strain assessment using ILI (In-line Inspection) tolls

    Energy Technology Data Exchange (ETDEWEB)

    Purvis, Brian [GE PII Pipeline Solutions, Rio de Janeiro, RJ (Brazil); Huewener, Thomas [E.ON Ruhrgas AG, Essen (Germany)

    2009-07-01

    GE PII IMU Mapping inspection system measures pipeline location coordinates (x, y, z) and provides data for determining pipeline curvature and consequential pipeline bending strain. The changes in strain can be used in the application of structural analyses and integrity evaluation of pipeline systems. This paper reviews the Inertia Measuring Unit (IMU) system and field investigation works performed on a high-pressure gas pipeline for E.ON Ruhrgas AG. The Inertial Measuring Unit of the pipeline inspection tool provides continuous measurement of the pipeline centreline coordinates. More than one inspection run was performed which allowed a more accurate strain comparison to be made. Repeatability is important to establish the reasons for increasing strain values detected at specific pipeline sections through in-line inspection surveys conducted in regular intervals over many years. Moreover, the flexibility resulting from a combination of different sensor technologies, makes it possible to provide a more complete picture of the overall situation. This paper reviews the work involved in detecting, locating and determining the magnitude and type of strain corresponding to the pipeline movement in field. (author)

  18. Mini-Uav LIDAR for Power Line Inspection

    Science.gov (United States)

    Teng, G. E.; Zhou, M.; Li, C. R.; Wu, H. H.; Li, W.; Meng, F. R.; Zhou, C. C.; Ma, L.

    2017-09-01

    Light detection and ranging (LIDAR) system based on unmanned aerial vehicles (UAVs) recently are in rapid advancement, meanwhile portable and flexible mini-UAV-borne laser scanners have been a hot research field, especially for the complex terrain survey in the mountains and other areas. This study proposes a power line inspection system solution based on mini-UAV-borne LIDAR system-AOEagle, developed by Academy of Opto-Electronics, Chinese Academy of Sciences, which mounted on a Multi-rotor unmanned aerial vehicle for complex terrain survey according to real test. Furthermore, the point cloud data was explored to validate its applicability for power line inspection, in terms of corridor and line laser point clouds; deformation detection of power towers, etc. The feasibility and advantages of AOEagle have been demonstrated by the promising results based on the real-measured data in the field of power line inspection.

  19. Assessing and preparing a pipeline for in line inspection

    Energy Technology Data Exchange (ETDEWEB)

    Payne, Larry [T.D. Williamson Inc., Tulsa, OK (United States)

    2003-07-01

    In today's pipeline environment, operators around the world face new and emerging state and federal regulations requiring validation of their pipelines' integrity. In line inspection, or smart pigging, is generally the preferred methodology used to investigate metal loss and corrosion in pipelines. Although many pipelines can accommodate smart pigging, there are many pipelines that cannot, for various reasons. Those reasons can vary from not having pig launchers and receivers installed on the line to impassable bends or restrictions and general cleanliness of the pipeline itself. Pipeline cleanliness, more times than not, is one of the main reasons for inaccurate in line inspection data gathering or failed smart pig runs. (author)

  20. Robotic inspection technology-process an toolbox

    Energy Technology Data Exchange (ETDEWEB)

    Hermes, Markus [ROSEN Group (United States). R and D Dept.

    2005-07-01

    Pipeline deterioration grows progressively with ultimate aging of pipeline systems (on-plot and cross country). This includes both, very localized corrosion as well as increasing failure probability due to fatigue cracking. Limiting regular inspecting activities to the 'scrapable' part of the pipelines only, will ultimately result into a pipeline system with questionable integrity. The confidence level in the integrity of these systems will drop below acceptance levels. Inspection of presently un-inspectable sections of the pipeline system becomes a must. This paper provides information on ROSEN's progress on the 'robotic inspection technology' project. The robotic inspection concept developed by ROSEN is based on a modular toolbox principle. This is mandatory. A universal 'all purpose' robot would not be reliable and efficient in resolving the postulated inspection task. A preparatory Quality Function Deployment (QFD) analysis is performed prior to the decision about the adequate robotic solution. This enhances the serviceability and efficiency of the provided technology. The word 'robotic' can be understood in its full meaning of Recognition - Strategy - Motion - Control. Cooperation of different individual systems with an established communication, e.g. utilizing Bluetooth technology, support the robustness of the ROSEN robotic inspection approach. Beside the navigation strategy, the inspection strategy is also part of the QFD process. Multiple inspection technologies combined on a single carrier or distributed across interacting container must be selected with a clear vision of the particular goal. (author)

  1. Advances in technologies for feeder pipe inspections

    Energy Technology Data Exchange (ETDEWEB)

    Ten Grotenhuis, R.; Verma, Y.; Hitchcox, T.; Sakuta, A. [Ontario Power Generation, Inspection and Maintenance Div., Toronto, Ontario (Canada)

    2014-07-15

    The successful development of the Matrix Inspection Technique (MIT) for feeder weld area applications has been followed up with a project to leverage the technology to address other aspects of feeder inspection. The goal of the project is to adapt the technology to provide full circumferential inspection of feeder pipes for FAC thinning and, potentially, for axial cracking. The project necessitated evolving a new generation of high speed, high element count data acquisition instruments. It also required the development of custom inspection arrays, innovative approaches to sealing the water column, use of inertial motion sensors to synthesize encoder inputs, real-time visual feedback for the operator, and enhanced automated analysis software capable of plotting the inspected configuration in 3D. The individual components of the system are currently being integrated into a whole. The results obtained to date demonstrate the approach to be fundamentally sound. (author)

  2. MODULAR RESEARCH EQUIPMENT FOR ON-LINE INSPECTION IN ADVANCED MANUFACTURING SYSTEMS

    Directory of Open Access Journals (Sweden)

    Davrajh, S.

    2012-11-01

    Full Text Available The significance of inspection processes increases when producing parts with high levels of customer input. These processes must adapt to variations in significant product characteristics. Mass customisation and reconfigurable manufacturing are currently being researched as ways to respond to high levels of customer input. This paper presents the research and development of modular inspection equipment that was designed to meet the on-line quality requirements of mass customisation and reconfigurable manufacturing environments. Simulated results were analysed for application in an industrial environment. The implementation of the equipment in South Africa is briefly discussed. The research indicates that manufacturers need only invest in the required equipment configurations when they are needed for on-line inspection.

  3. In-Space Inspection Technologies Vision

    Science.gov (United States)

    Studor, George

    2012-01-01

    Purpose: Assess In-Space NDE technologies and needs - current & future spacecraft. Discover & build on needs, R&D & NDE products in other industries and agencies. Stimulate partnerships in & outside NASA to move technologies forward cooperatively. Facilitate group discussion on challenges and opportunities of mutual benefit. Focus Areas: Miniaturized 3D Penetrating Imagers Controllable Snake-arm Inspection systems Miniature Free-flying Micro-satellite Inspectors

  4. Advances based on digital technology named TEDDY+ for inspection of eddy current

    International Nuclear Information System (INIS)

    Barcenilla, V.; Hernandez, J.; Ribes, B.

    2007-01-01

    As a result of the recent developing line of eddy current data acquisition system a new product based on digital technology named TEDDY+, comes to the market. With only 4 kg of weight constitutes a great advance with regards to other equipment's available in the market. These advances, have enabled, among others, to increase considerably the signal to noise (S/N) ratio, avoid typical saturation problems in the eddy current signal, increase the inspection speed, eliminate the reference probe, detect the air/tube signal in real time, and the possibility to integrate the tester inside a push puller thanks to its reduced size and to have integrated as part of the ET equipment the mechanical systems control board. Two product lines have been developed, one oriented to any market and application (nuclear market, industrial and aeronautical, chemical and petrochemical, etc) and other specially dedicated to the massive inspection of tubes, named TEDDY+SP (Suitcase Pusher). Both lines are prepared to use eddy current arrays which clearly constitute the future of the eddy current inspection systems. The new TEDDY+ line of products presented in this paper constitutes one of the most powerful and reliable existing in the market. These improvements and novelties place the new ET inspection system from Tecnatom as one of the most powerful and reliable in the market. (Author)

  5. On-line monitoring and inservice inspection in codes

    International Nuclear Information System (INIS)

    Bartonicek, J.; Zaiss, W.; Bath, H.R.

    1999-01-01

    The relevant regulatory codes determine the ISI tasks and the time intervals for recurrent components testing for evaluation of operation-induced damaging or ageing in order to ensure component integrity on the basis of the last available quality data. In-service quality monitoring is carried out through on-line monitoring and recurrent testing. The requirements defined by the engineering codes elaborated by various institutions are comparable, with the KTA nuclear engineering and safety codes being the most complete provisions for quality evaluation and assurance after different, defined service periods. German conventional codes for assuring component integrity provide exclusively for recurrent inspection regimes (mainly pressure tests and optical testing). The requirements defined in the KTA codes however always demanded more specific inspections relying on recurrent testing as well as on-line monitoring. Foreign codes for ensuring component integrity concentrate on NDE tasks at regular time intervals, with time intervals scope of testing activities being defined on the basis of the ASME code, section XI. (orig./CB) [de

  6. Contribution to the study of an on line inspection system for pulsed Nd:YAG laser welding operations

    International Nuclear Information System (INIS)

    Charton, Stephane

    1999-01-01

    This thesis deals with the study of a on line inspection system for pulsed Nd 3+ :YAG laser welding operations. During a welding operation, laser-material interaction results in the emission of signals (optical, acoustical, electrical, thermal), characteristic of its behavior. On line inspection is based on the hypothesis that the signals evolutions, measured by sensors such as photodiodes, microphones.., may be correlated with the welding defects. Laser weld quality inspection can be done by the machine qualification (before and during welding), and by on line monitoring of the welding operation. The similarity of the signals produced by pulsed lasers (machine or interaction) has led us to develop a specific data acquisition and processing software. Signal processing tools utilization (Fourier and wavelets transforms) in conjunction with classification techniques (stress polytopes), introduces an innovating on line inspection approach. Discriminant parameters determination (signals/defect correlation) becomes thus automatic and non subjective. The developed prototype is not dedicated to the detection of a particular defect because the classification operator is a supervised one and needs a learning phase. lt has been validated on welding defects which are easy to deal with, and then applied to a precise production control at the Nuclear Fabrications and Technology Division of the Valduc Center of the French Atomic Energy Agency. (author) [fr

  7. Inspection Robot Based Mobile Sensing and Power Line Tracking for Smart Grid.

    Science.gov (United States)

    Byambasuren, Bat-Erdene; Kim, Donghan; Oyun-Erdene, Mandakh; Bold, Chinguun; Yura, Jargalbaatar

    2016-02-19

    Smart sensing and power line tracking is very important in a smart grid system. Illegal electricity usage can be detected by remote current measurement on overhead power lines using an inspection robot. There is a need for accurate detection methods of illegal electricity usage. Stable and correct power line tracking is a very prominent issue. In order to correctly track and make accurate measurements, the swing path of a power line should be previously fitted and predicted by a mathematical function using an inspection robot. After this, the remote inspection robot can follow the power line and measure the current. This paper presents a new power line tracking method using parabolic and circle fitting algorithms for illegal electricity detection. We demonstrate the effectiveness of the proposed tracking method by simulation and experimental results.

  8. Enabling inspection solutions for future mask technologies through the development of massively parallel E-Beam inspection

    Science.gov (United States)

    Malloy, Matt; Thiel, Brad; Bunday, Benjamin D.; Wurm, Stefan; Jindal, Vibhu; Mukhtar, Maseeh; Quoi, Kathy; Kemen, Thomas; Zeidler, Dirk; Eberle, Anna Lena; Garbowski, Tomasz; Dellemann, Gregor; Peters, Jan Hendrik

    2015-09-01

    The new device architectures and materials being introduced for sub-10nm manufacturing, combined with the complexity of multiple patterning and the need for improved hotspot detection strategies, have pushed current wafer inspection technologies to their limits. In parallel, gaps in mask inspection capability are growing as new generations of mask technologies are developed to support these sub-10nm wafer manufacturing requirements. In particular, the challenges associated with nanoimprint and extreme ultraviolet (EUV) mask inspection require new strategies that enable fast inspection at high sensitivity. The tradeoffs between sensitivity and throughput for optical and e-beam inspection are well understood. Optical inspection offers the highest throughput and is the current workhorse of the industry for both wafer and mask inspection. E-beam inspection offers the highest sensitivity but has historically lacked the throughput required for widespread adoption in the manufacturing environment. It is unlikely that continued incremental improvements to either technology will meet tomorrow's requirements, and therefore a new inspection technology approach is required; one that combines the high-throughput performance of optical with the high-sensitivity capabilities of e-beam inspection. To support the industry in meeting these challenges SUNY Poly SEMATECH has evaluated disruptive technologies that can meet the requirements for high volume manufacturing (HVM), for both the wafer fab [1] and the mask shop. Highspeed massively parallel e-beam defect inspection has been identified as the leading candidate for addressing the key gaps limiting today's patterned defect inspection techniques. As of late 2014 SUNY Poly SEMATECH completed a review, system analysis, and proof of concept evaluation of multiple e-beam technologies for defect inspection. A champion approach has been identified based on a multibeam technology from Carl Zeiss. This paper includes a discussion on the

  9. The convertible client/server technology in large container inspection system

    International Nuclear Information System (INIS)

    Chen Zhiqiang; Zhang Li; Gao Wenhuan; Kang Kejun

    2001-01-01

    The author presents a new convertible client/server technology in distributed networking environment of a large container inspection system. The characteristic and advantage of this technology is introduced. The authors illustrate the policy of the technology to develop the networking program, and provide one example about how to program the software in large container inspection system using the new technology

  10. Application of wireless LAN technology to remote monitoring for inspection equipment

    International Nuclear Information System (INIS)

    Ishiyama, Koichi; Kimura, Takashi; Miura, Yasushi; Yamaguchi, Katsuhiro; Kabuki, Toshihide

    2011-01-01

    To support inspections under an Integrated Safeguards regime into Tokai Reprocessing Plant (TRP), the IAEA suggested making use of Remote Monitoring (RM) capabilities to the inspection equipment (surveillance camera and NDA systems) installed in the spent fuel storage area at TRP. Since TRP had no pre-prepared cabling infrastructure for data transmission in the spent fuel storage area, the option of wireless LAN was chosen over the telephone line due to its lower installation costs. Feasibility studies and tests were performed by TRP on communication and particularly on long-term continuous communication using wireless LAN equipment composed of APs (AP: Access Point) and the external antennas for introducing wireless LAN technology to RM. As a result it was recognized that wireless LAN has enough ability to communicate for long periods of time and consequently the IAEA installed the AP and the external antenna to each inspection equipment and the wireless LAN technology was applied for RM. In this paper, the summary of each test and the results are reported. (author)

  11. Inspection Robot Based Mobile Sensing and Power Line Tracking for Smart Grid

    Directory of Open Access Journals (Sweden)

    Bat-erdene Byambasuren

    2016-02-01

    Full Text Available Smart sensing and power line tracking is very important in a smart grid system. Illegal electricity usage can be detected by remote current measurement on overhead power lines using an inspection robot. There is a need for accurate detection methods of illegal electricity usage. Stable and correct power line tracking is a very prominent issue. In order to correctly track and make accurate measurements, the swing path of a power line should be previously fitted and predicted by a mathematical function using an inspection robot. After this, the remote inspection robot can follow the power line and measure the current. This paper presents a new power line tracking method using parabolic and circle fitting algorithms for illegal electricity detection. We demonstrate the effectiveness of the proposed tracking method by simulation and experimental results.

  12. Scanning technology with multi-slice helical CT in security inspection domain

    International Nuclear Information System (INIS)

    Wang Jue; Wang Fuquan; Jiang Zenghui

    2008-01-01

    The paper analyzes the technology conditions of security inspection in home and abroad, and expatiates technology of spiral CT and how to define CT value etc, with studying on the key technology of spiral CT scanning way (X-RAY, detector, technology of pulley etc) and mutual relation. By comparing the present products of security inspection, the conclusion was drawn that it is inevitable to develop the tendency of security inspection area with the checking and discerning the substance by using the technology of multi-layer spiral CT. (authors)

  13. Heterodyne polarimetry technology for inspection of critical dimensions

    Directory of Open Access Journals (Sweden)

    Protopopov V.

    2010-06-01

    Full Text Available Heterodyne polarimetry is based on the analysis of phases and polarization states of two frequency shifted cross-polarized waves, generated by Zeeman lasers and their analogs [1]. In semiconductor industry, manufacturing of memory chips depends on the width and aspect ratio of a great number of identical parallel vertical and horizontal word and bit address lines. Such a structure may be considered as a wire grid polarizer for visible optics, and it is reasonable to expect that polarimetry techniques may be efficient for detecting tiny variations in this type of structures on masks and wafers. Currently, both imaging and non-imaging modalities are considered as complementary inspection technologies. The talk will focus on instrumentation, theory, and experimental results of two different inspection tools: scanning polarimeters for mapping variations of critical dimensions over lithography masks and semiconductor wafers, and polarization-controlled dual-channel heterodyne microscope with super-resolution capabilities.

  14. Automated inspection of gaps on the free-form shape parts by laser scanning technologies

    Science.gov (United States)

    Zhou, Sen; Xu, Jian; Tao, Lei; An, Lu; Yu, Yan

    2018-01-01

    In industrial manufacturing processes, the dimensional inspection of the gaps on the free-form shape parts is critical and challenging, and is directly associated with subsequent assembly and terminal product quality. In this paper, a fast measuring method for automated gap inspection based on laser scanning technologies is presented. The proposed measuring method consists of three steps: firstly, the relative position is determined according to the geometric feature of measuring gap, which considers constraints existing in a laser scanning operation. Secondly, in order to acquire a complete gap profile, a fast and effective scanning path is designed. Finally, the range dimension of the gaps on the free-form shape parts including width, depth and flush, correspondingly, is described in a virtual environment. In the future, an appliance machine based on the proposed method will be developed for the on-line dimensional inspection of gaps on the automobile or aerospace production line.

  15. Project inspection using mobile technology - phase II : assessing the impacts of mobile technology on project inspection.

    Science.gov (United States)

    2015-01-01

    As mobile technology becomes widely available and affordable, transportation agencies can use this : technology to streamline operations involved within project inspection. This research, conducted in two : phases, identified opportunities for proces...

  16. Advancement of safeguards inspection technology for CANDU nuclear power plants

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae Sung; Park, W S; Cha, H R; Ham, Y S; Lee, Y G; Kim, K P; Hong, Y D

    1999-04-01

    The objectives of this project are to develop both inspection technology and safeguards instruments, related to CANDU safeguards inspection, through international cooperation, so that those outcomes are to be applied in field inspections of national safeguards. Furthermore, those could contribute to the improvement of verification correctness of IAEA inspections. Considering the level of national inspection technology, it looked not possible to perform national inspections without the joint use of containment and surveillance equipment conjunction with the IAEA. In this connection, basic studies for the successful implementation of national inspections was performed, optimal structure of safeguards inspection was attained, and advancement of safeguards inspection technology was forwarded. The successful implementation of this project contributed to both the improvement of inspection technology on CANDU reactors and the implementation of national inspection to be performed according to the legal framework. In addition, it would be an opportunity to improve the ability of negotiating in equal shares in relation to the IAEA on the occasion of discussing or negotiating the safeguards issues concerned. Now that the national safeguards technology for CANDU reactors was developed, the safeguards criteria, procedure and instruments as to the other item facilities and fabrication facilities should be developed for the perfection of national inspections. It would be desirable that the recommendations proposed and concreted in this study, so as to both cope with the strengthened international safeguards and detect the undeclared nuclear activities, could be applied to national safeguards scheme. (author)

  17. Line-scan inspection of conifer seedlings

    Science.gov (United States)

    Rigney, Michael P.; Kranzler, Glenn A.

    1993-05-01

    Almost two billion conifer seedlings are produced in the U.S. each year to support reforestation efforts. Seedlings are graded manually to improve viability after transplanting. Manual grading is labor-intensive and subject to human variability. Our previous research demonstrated the feasibility of automated tree seedling inspection with machine vision. Here we describe a system based on line-scan imaging, providing a three-fold increase in resolution and inspection rate. A key aspect of the system is automatic recognition of the seedling root collar. Root collar diameter, shoot height, and projected shoot and root areas are measured. Sturdiness ratio and shoot/root ratio are computed. Grade is determined by comparing measured features with pre-defined set points. Seedlings are automatically sorted. The precision of machine vision and manual measurements was determined in tests at a commercial forest nursery. Manual measurements of stem diameter, shoot height, and sturdiness ratio had standard deviations three times those of machine vision measurements. Projected shoot area was highly correlated (r2 equals 0.90) with shoot volume. Projected root area had good correlation (r2 equals 0.80) with root volume. Seedlings were inspected at rates as high as ten per second.

  18. Modeling of a remote inspection system for NSSS components

    International Nuclear Information System (INIS)

    Choi, Yoo Rark; Kim, Jae Hee; Lee, Jae Cheol

    2003-03-01

    Safety inspection for safety-critical unit of nuclear power plant has been processed using off-line technology. Thus we can not access safety inspection system and inspection data via network such as internet. We are making an on-line control and data access system based on WWW and JAVA technologies which can be used during plant operation to overcome these problems. Users can access inspection systems and inspection data only using web-browser. This report discusses about analysis of the existing remote system and essential techniques such as Web, JAVA, client/server model, and multi-tier model. This report also discusses about a system modeling that we have been developed using these techniques and provides solutions for developing an on-line control and data access system

  19. Overview of steam generator tube-inspection technology

    International Nuclear Information System (INIS)

    Obrutsky, L.; Renaud, J.; Lakhan, R.

    2014-01-01

    Degradation of steam generator (SG) tubing due to both mechanical and corrosion modes has resulted in extensive repairs and replacement of SGs around the world. The variety of degradation modes challenges the integrity of SG tubing and, therefore, the stations' reliability. Inspection and monitoring aimed at timely detection and characterization of the degradation is a key element for ensuring tube integrity. Up to the early-70's, the in-service inspection of SG tubing was carried out using single-frequency eddy current testing (ET) bobbin coils, which were adequate for the detection of volumetric degradation. By the mid-80's, additional modes of degradation such as pitting, intergranular attack, and axial and circumferential inside or outside diameter stress corrosion cracking had to be addressed. The need for timely, fast detection and characterization of these diverse modes of degradation motivated the development in the 90's of inspection systems based on advanced probe technology coupled with versatile instruments operated by fast computers and remote communication systems. SG inspection systems have progressed in the new millennium to a much higher level of automation, efficiency and reliability. Also, the role of Non Destructive Evaluation (NDE) has evolved from simple detection tools to diagnostic tools that provide input into integrity assessment decisions, fitness-far-service and operational assessments. This new role was motivated by tighter regulatory requirements to assure the safety of the public and the environment, better SG life management strategies and often self-imposed regulations. It led to the development of advanced probe technologies, more reliable and versatile instruments and robotics, better training and qualification of personnel and better data management and analysis systems. This paper provides a brief historical perspective regarding the evolution of SG inspections and analyzes the motivations behind that evolution. It presents an

  20. Cargo container inspection test program at ARPA's Nonintrusive Inspection Technology Testbed

    Science.gov (United States)

    Volberding, Roy W.; Khan, Siraj M.

    1994-10-01

    An x-ray-based cargo inspection system test program is being conducted at the Advanced Research Project Agency (ARPA)-sponsored Nonintrusive Inspection Technology Testbed (NITT) located in the Port of Tacoma, Washington. The test program seeks to determine the performance that can be expected from a dual, high-energy x-ray cargo inspection system when inspecting ISO cargo containers. This paper describes an intensive, three-month, system test involving two independent test groups, one representing the criminal smuggling element and the other representing the law enforcement community. The first group, the `Red Team', prepares ISO containers for inspection at an off-site facility. An algorithm randomly selects and indicates the positions and preparation of cargoes within a container. The prepared container is dispatched to the NITT for inspection by the `Blue Team'. After in-gate processing, it is queued for examination. The Blue Team inspects the container and decides whether or not to pass the container. The shipment undergoes out-gate processing and returns to the Red Team. The results of the inspection are recorded for subsequent analysis. The test process, including its governing protocol, the cargoes, container preparation, the examination and results available at the time of submission are presented.

  1. Inspection logistics planning for multi-stage production systems with applications to semiconductor fabrication lines

    Science.gov (United States)

    Chen, Kyle Dakai

    Since the market for semiconductor products has become more lucrative and competitive, research into improving yields for semiconductor fabrication lines has lately received a tremendous amount of attention. One of the most critical tasks in achieving such yield improvements is to plan the in-line inspection sampling efficiently so that any potential yield problems can be detected early and eliminated quickly. We formulate a multi-stage inspection planning model based on configurations in actual semiconductor fabrication lines, specifically taking into account both the capacity constraint and the congestion effects at the inspection station. We propose a new mixed First-Come-First-Serve (FCFS) and Last-Come-First-Serve (LCFS) discipline for serving the inspection samples to expedite the detection of potential yield problems. Employing this mixed FCFS and LCFS discipline, we derive approximate expressions for the queueing delays in yield problem detection time and develop near-optimal algorithms to obtain the inspection logistics planning policies. We also investigate the queueing performance with this mixed type of service discipline under different assumptions and configurations. In addition, we conduct numerical tests and generate managerial insights based on input data from actual semiconductor fabrication lines. To the best of our knowledge, this research is novel in developing, for the first time in the literature, near-optimal results for inspection logistics planning in multi-stage production systems with congestion effects explicitly considered.

  2. Surface electromagnetic technology for the external inspection of oil and gas pipelines

    Energy Technology Data Exchange (ETDEWEB)

    Mousatov, A.; Nakamura, E.; Delgado, O.; Flores, A. [Mexican Petroleum Institute, Mexico City (Mexico); Nakamura, E. [Moscow State University, Moscow (Russian Federation); Shevnin, V. [Moscow State University (Russian Federation)

    2009-07-01

    In this paper we present a surface electromagnetic technology for the non-destructive pipeline coating inspection (SEMPI). This technology allows: determination of the depth and plane position of pipelines, quantitative evaluation of the insulation resistance and delimitation of zones with coating damages, estimation of the performance of the cathodic protection system (CPS) and detection of its connections to out-of-service pipes and other grounded constructions, and assessment of the soil aggressively. The SEMPI technology is based on the approximation of pipelines by heterogeneous transmission lines with variable leakage conductance and pipe impedance to represent insulation coating and wall thickness damages. Based on the result of simulations, we have optimized the field measurements and developed the interpretation procedure of experimental data. The field operations include surface measurements of magnetic field, voltage on the control posts of the CPS and soil resistivity. In zones with coating damages the detailed measurements can be performed using magnetic or electric field to increase the resolution in localizing and evaluating the insulation damages. The SEMPI technology has been applied for inspections of pipelines with different technical characteristics in complicated environmental conditions. The examples presented in this work confirm the high efficiency of the developed technology for external integrity evaluation of pipelines. (author)

  3. High-radiance LDP source for mask inspection and beam line applications (Conference Presentation)

    Science.gov (United States)

    Teramoto, Yusuke; Santos, Bárbara; Mertens, Guido; Kops, Ralf; Kops, Margarete; von Wezyk, Alexander; Bergmann, Klaus; Yabuta, Hironobu; Nagano, Akihisa; Ashizawa, Noritaka; Taniguchi, Yuta; Yamatani, Daiki; Shirai, Takahiro; Kasama, Kunihiko

    2017-04-01

    High-throughput actinic mask inspection tools are needed as EUVL begins to enter into volume production phase. One of the key technologies to realize such inspection tools is a high-radiance EUV source of which radiance is supposed to be as high as 100 W/mm2/sr. Ushio is developing laser-assisted discharge-produced plasma (LDP) sources. Ushio's LDP source is able to provide sufficient radiance as well as cleanliness, stability and reliability. Radiance behind the debris mitigation system was confirmed to be 120 W/mm2/sr at 9 kHz and peak radiance at the plasma was increased to over 200 W/mm2/sr in the recent development which supports high-throughput, high-precision mask inspection in the current and future technology nodes. One of the unique features of Ushio's LDP source is cleanliness. Cleanliness evaluation using both grazing-incidence Ru mirrors and normal-incidence Mo/Si mirrors showed no considerable damage to the mirrors other than smooth sputtering of the surface at the pace of a few nm per Gpulse. In order to prove the system reliability, several long-term tests were performed. Data recorded during the tests was analyzed to assess two-dimensional radiance stability. In addition, several operating parameters were monitored to figure out which contributes to the radiance stability. The latest model that features a large opening angle was recently developed so that the tool can utilize a large number of debris-free photons behind the debris shield. The model was designed both for beam line application and high-throughput mask inspection application. At the time of publication, the first product is supposed to be in use at the customer site.

  4. Overview of steam generator tube-inspection technology

    Energy Technology Data Exchange (ETDEWEB)

    Obrutsky, L.; Renaud, J.; Lakhan, R., E-mail: obrutskl@aecl.ca, E-mail: renaudj@aecl.ca, E-mail: lakhanr@aecl.ca [Atomic Energy of Canada Limited, Chalk River, Ontario (Canada)

    2014-03-15

    Degradation of steam generator (SG) tubing due to both mechanical and corrosion modes has resulted in extensive repairs and replacement of SGs around the world. The variety of degradation modes challenges the integrity of SG tubing and, therefore, the stations' reliability. Inspection and monitoring aimed at timely detection and characterization of the degradation is a key element for ensuring tube integrity. Up to the early-70's, the in-service inspection of SG tubing was carried out using single-frequency eddy current testing (ET) bobbin coils, which were adequate for the detection of volumetric degradation. By the mid-80's, additional modes of degradation such as pitting, intergranular attack, and axial and circumferential inside or outside diameter stress corrosion cracking had to be addressed. The need for timely, fast detection and characterization of these diverse modes of degradation motivated the development in the 90's of inspection systems based on advanced probe technology coupled with versatile instruments operated by fast computers and remote communication systems. SG inspection systems have progressed in the new millennium to a much higher level of automation, efficiency and reliability. Also, the role of Non Destructive Evaluation (NDE) has evolved from simple detection tools to diagnostic tools that provide input into integrity assessment decisions, fitness-far-service and operational assessments. This new role was motivated by tighter regulatory requirements to assure the safety of the public and the environment, better SG life management strategies and often self-imposed regulations. It led to the development of advanced probe technologies, more reliable and versatile instruments and robotics, better training and qualification of personnel and better data management and analysis systems. This paper provides a brief historical perspective regarding the evolution of SG inspections and analyzes the motivations behind that

  5. Active, Non-Intrusive Inspection Technologies for Homeland Defense

    International Nuclear Information System (INIS)

    James L. Jones

    2003-01-01

    Active, non-intrusive inspection or interrogation technologies have been used for 100 years - with the primary focus being radiographic imaging. During the last 50 years, various active interrogation systems have been investigated and most have revealed many unique and interesting capabilities and advantages that have already benefited the general public. Unfortunately, except for medical and specific industrial applications, these unique capabilities have not been widely adopted, largely due to the complexity of the technology, the overconfident reliance on passive detection systems to handle most challenges, and the unrealistic public concerns regarding radiation safety issues for a given active inspection deployment. The unique homeland security challenges facing the United States today are inviting more ''out-of-the-box'' solutions and are demanding the effective technological solutions that only active interrogation systems can provide. While revolutionary new solutions are always desired, these technology advancements are rare, and when found, usually take a long time to fully understand and implement for a given application. What's becoming more evident is that focusing on under-developed, but well-understood, active inspection technologies can provide many of the needed ''out-of-the-box'' solutions. This paper presents a brief historical overview of active interrogation. It identifies some of the major homeland defense challenges being confronted and the commercial and research technologies presently available and being pursued. Finally, the paper addresses the role of the Idaho National Engineering and Environmental Laboratory and its partner, the Idaho Accelerator Center at Idaho State University, in promoting and developing active inspection technologies for homeland defense

  6. Predictive maintenance and inspection through airborne ultrasound technology

    Energy Technology Data Exchange (ETDEWEB)

    Bandes, A [UE Systems, Inc., Elmsford, NY (United States)

    1998-12-31

    Airborne ultrasound can be considered an ideal integrating technology in that these instruments can stand alone to detect a variety of potential problems or they can be used to support vibration and infrared inspection programs. Usually portable, these instruments detect leaks in both pressurized gas systems or vacuum systems and related equipment such as tanks, pipes, heat exchangers, valves and steam traps. Additional applications include inspection of high voltage apparatus for corona, arcing and tracking. They are used to trend bearing failure as well as to detect conditions such as lack of lubrication and rubbing. A brief overview of the technology, its applications and suggested inspection techniques are explained. (orig.) 2 refs.

  7. Predictive maintenance and inspection through airborne ultrasound technology

    Energy Technology Data Exchange (ETDEWEB)

    Bandes, A. [UE Systems, Inc., Elmsford, NY (United States)

    1997-12-31

    Airborne ultrasound can be considered an ideal integrating technology in that these instruments can stand alone to detect a variety of potential problems or they can be used to support vibration and infrared inspection programs. Usually portable, these instruments detect leaks in both pressurized gas systems or vacuum systems and related equipment such as tanks, pipes, heat exchangers, valves and steam traps. Additional applications include inspection of high voltage apparatus for corona, arcing and tracking. They are used to trend bearing failure as well as to detect conditions such as lack of lubrication and rubbing. A brief overview of the technology, its applications and suggested inspection techniques are explained. (orig.) 2 refs.

  8. Basic performance metrics of in-line inspection tools

    Energy Technology Data Exchange (ETDEWEB)

    Timashev, Sviatoslav A. [Russian Academy of Sciences (Russian Federation). Ural Branch. Science and Engineering Center

    2003-07-01

    The paper discusses current possibilities and drawbacks of in-line inspection (ILI) in detecting, identifying, locating and sizing of all types of defects in oil and gas pipelines. A full set of consistent and universal ILI tool performance metrics is constructed. A holistic methodology that extracts maximum value from the ILI measurements in defect detecting, locating, identifying, sizing and verifying the results of ILI is presented. The outlined approach is being implemented as a software component of a multi-purpose HR MFL ILI tool and is proposed for the new API 1163 ILI Qualification Standard. (author)

  9. 3D optical measuring technologies for dimensional inspection

    International Nuclear Information System (INIS)

    Chugui, Yu V

    2005-01-01

    The results of the R and D activity of TDI SIE SB RAS in the field of the 3D optical measuring technologies and systems for noncontact 3D optical dimensional inspection applied to atomic and railway industry safety problems are presented. This activity includes investigations of diffraction phenomena on some 3D objects, using the original constructive calculation method, development of hole inspection method on the base of diffractive optical elements. Ensuring the safety of nuclear reactors and running trains as well as their high exploitation reliability takes a noncontact inspection of geometrical parameters of their components. For this tasks we have developed methods and produced the technical vision measuring systems LMM, CONTROL, PROFILE, and technologies for non-contact 3D dimensional inspection of grid spacers and fuel elements for the nuclear reactor VVER-1000 and VVER-440, as well as automatic laser diagnostic system COMPLEX for noncontact inspection of geometrical parameters of running freight car wheel pairs. The performances of these systems and the results of the industrial testing at atomic and railway companies are presented

  10. A review of digital radiography technology for valve inspection

    International Nuclear Information System (INIS)

    Stoev, K.; Guerout, F.M.; Horn, D.

    2008-01-01

    There are thousands of valves in a nuclear power plant (NPP) used for control, safety and checks in various plant systems, so there is a well-identified need for fast and reliable inspection and diagnostics of valves. Digital radiography can provide considerable improvements to the inspection and testing procedures for valves in comparison to classical film radiography. These improvements can lead to significant financial advantages by providing real-time inspection results, significantly reduced inspection and decision-making time, and reduced operational cost. Digital image processing, including digital image enhancement, digital archiving, and digital communication of the images and the results, is also a considerable advantage over classical film radiography technology. Another advantage of digital radiography technology is the improved safety and the reduced environmental impact due to reduced exposure/test times, use of smaller exclusion zones, elimination of chemical processing, and absence of disposable materials. This paper reviews the existing technology and evaluates the potential of digital radiography for inspection and diagnostics of valves. Station needs and requirements are assessed, and the safety, environmental and economical constraints of digital radiography techniques estimated. The advantages and disadvantages of different digital radiography equipment are compared, and their limitations and characteristics studied. (author)

  11. X-ray inspection in the aerospace industry - state of the art, challenges, and emerging technologies

    International Nuclear Information System (INIS)

    Mohr, G.A.; Fock, T.

    2004-01-01

    The desire to non-destructively determine the quality and integrity of materials and structures has a long history in the aerospace industry. Through the entire life cycle of aircraft products and components, X-ray inspection technologies play a major role with continuously increasing demand. The requirements for X-ray inspections are continuing to be driven by the need of lower cost methods and solutions with greater reliability, sensitivity, user friendliness and high operation speed as well as applicability of new materials and structures. The presentation will summarize the status of radiographic and radioscopic X-ray inspection technologies in the aerospace industry while showing how X-ray inspection solutions respond to these requirements. Furthermore emerging inspection challenges will be identified and emerging X-ray inspection technologies will be reviewed. (author)

  12. State of technology, system and solution supporting on-line maintenance - company's activities and products

    International Nuclear Information System (INIS)

    Nishitani, Junichi; Shimizu, Shunichi; Higasa, Hisakazu

    2010-01-01

    The new inspection system based on operator's maintenance and monitoring program of nuclear power plants was introduced in Japan more than one year ago and recommended on-line maintenance (maintenance during operation) will be carried out to increase capacity factor with safe and reliable operation of the plant. In this feature article, nine experts described the state of technology, system and solution supporting on-line maintenance - company's activities and products. These were titled as 'MHI's technology supporting on-line maintenance'. 'Technology supporting on-line maintenance - Toshiba's activities to upgrade monitoring and diagnostic service and maintenance management', 'AsahiKASEI's activities of on-line maintenance', 'Importance of information sharing of on-line maintenance and its ideal method-function of impact plan of IBM Maximo Asset Management for Nuclear', 'US's on-line maintenance and information systems', 'SmartProcedures realizing safe operation of nuclear power plant - proposal of computerized procedures', 'Ultrasonic leak detection system SDT170', 'Application of infrared thermography for equipment maintenance in nuclear power plant' and 'On-line condition monitoring system - condition eye'. (T. Tanaka)

  13. Visual inspection technology in the hard disc drive industry

    CERN Document Server

    Muneesawang, Paisarn

    2015-01-01

    A presentation of the use of computer vision systems to control manufacturing processes and product quality in the hard disk drive industry. Visual Inspection Technology in the Hard Disk Drive Industry is an application-oriented book borne out of collaborative research with the world's leading hard disk drive companies. It covers the latest developments and important topics in computer vision technology in hard disk drive manufacturing, as well as offering a glimpse of future technologies.

  14. PWR fuel inspection and repair technology development in the Republic of Korea

    International Nuclear Information System (INIS)

    Park, J.Y.

    1998-01-01

    As of September 1997, 10 PWRs and 2 PHWRs generate 10,320MW electricity in Korea. And another 8 PWRs and 2 PHWRs will be constructed by 2006. These will need about 400 MTU of PWR fuels and 400 MTU of PHWR fuels. To improve average burnup, thermal power, fuel usability and plant safety, better poolside fuel service technologies are strongly recommended as well as the fuel design and fabrication technology improvements. During the last twenty years of nuclear power plant operation in Korea, more than 4,000 fuel assemblies has been used. At the site, continuous coolant activity measurement, pool-side visual inspection and ultrasonic tests have been performed. Some of the fuels are damaged or failed for various reasons. Some of the defected fuels were examined in hot cell to investigate the cause of failure. Even though 30 PWR fuel assemblies were repaired by foreign engineers, fuel inspection and repair technologies are not established yet. Various kind of design for the fuel make the inspection, repair and reconstitution equipment more complex. As a result, recently, a plant to obtain overall technology for poolside fuel inspection, failed fuel repair and reconstitution through R and D activities are set forth. (author)

  15. Structural assessment procedure of corroding submarine gas pipelines using on-line inspection data

    International Nuclear Information System (INIS)

    Nordin Yahaya

    2000-01-01

    This paper presents 'the alternative approach of overall procedure in the assessment of corroded pipelines using data gathered by the on-line inspection device. The methodology adopts a generalised approach of analysing pipeline inspection data and a prediction of the structural reliability due to the deteriorating corrosion environment. The whole assessment methodology is divided into four separate stages; 1 to IV. Stages 1 and 11 are the initial procedure prior to the actual analysis of the inspection data. The scope of this paper is concerted into the procedure to be taken in Stage 111 where the stage is sub-divided into 3 major steps; Part A, B and C. These procedures are Part A (statistical and probabilistic analysis of the inspection data) and Part B (the application of extreme value statistics) and C (reliability assessment). Stage IV (risk assessment) is the final step in the procedure where the consequences of failure are evaluated. The proposed risk-based assessment procedure is more systematic and reliable to account for a huge amount of collected data usually obtained in an on-line inspection using the intelligent devices. The outcomes of this risk-based methodology can be very useful in the decision-making process by the operation management. This in turn will produce an efficient inspection, repair and maintenance program and enhanced the optimised return in investment. (author)

  16. AUTOMATED INSPECTION OF POWER LINE CORRIDORS TO MEASURE VEGETATION UNDERCUT USING UAV-BASED IMAGES

    Directory of Open Access Journals (Sweden)

    M. Maurer

    2017-08-01

    Full Text Available Power line corridor inspection is a time consuming task that is performed mostly manually. As the development of UAVs made huge progress in recent years, and photogrammetric computer vision systems became well established, it is time to further automate inspection tasks. In this paper we present an automated processing pipeline to inspect vegetation undercuts of power line corridors. For this, the area of inspection is reconstructed, geo-referenced, semantically segmented and inter class distance measurements are calculated. The presented pipeline performs an automated selection of the proper 3D reconstruction method for on the one hand wiry (power line, and on the other hand solid objects (surrounding. The automated selection is realized by performing pixel-wise semantic segmentation of the input images using a Fully Convolutional Neural Network. Due to the geo-referenced semantic 3D reconstructions a documentation of areas where maintenance work has to be performed is inherently included in the distance measurements and can be extracted easily. We evaluate the influence of the semantic segmentation according to the 3D reconstruction and show that the automated semantic separation in wiry and dense objects of the 3D reconstruction routine improves the quality of the vegetation undercut inspection. We show the generalization of the semantic segmentation to datasets acquired using different acquisition routines and to varied seasons in time.

  17. Automated Inspection of Power Line Corridors to Measure Vegetation Undercut Using Uav-Based Images

    Science.gov (United States)

    Maurer, M.; Hofer, M.; Fraundorfer, F.; Bischof, H.

    2017-08-01

    Power line corridor inspection is a time consuming task that is performed mostly manually. As the development of UAVs made huge progress in recent years, and photogrammetric computer vision systems became well established, it is time to further automate inspection tasks. In this paper we present an automated processing pipeline to inspect vegetation undercuts of power line corridors. For this, the area of inspection is reconstructed, geo-referenced, semantically segmented and inter class distance measurements are calculated. The presented pipeline performs an automated selection of the proper 3D reconstruction method for on the one hand wiry (power line), and on the other hand solid objects (surrounding). The automated selection is realized by performing pixel-wise semantic segmentation of the input images using a Fully Convolutional Neural Network. Due to the geo-referenced semantic 3D reconstructions a documentation of areas where maintenance work has to be performed is inherently included in the distance measurements and can be extracted easily. We evaluate the influence of the semantic segmentation according to the 3D reconstruction and show that the automated semantic separation in wiry and dense objects of the 3D reconstruction routine improves the quality of the vegetation undercut inspection. We show the generalization of the semantic segmentation to datasets acquired using different acquisition routines and to varied seasons in time.

  18. Technological progress, safety, and the guardian role of inspection

    Energy Technology Data Exchange (ETDEWEB)

    Critchley, O H

    1981-08-01

    Technological innovation is accompanied by unforeseen human consequences as well as benefits, and progress has produced a public awareness of the potential for hazards that has led to efficient safety-inspection procedures. Because no safety procedure is foolproof, the public learns to tolerate certain levels of risk from technology if it concludes that the benefits are worthwhile. The perception of values often transcends simple cost/benefit analysis. Safety technology and regulation developed during the past 50 years has benefited from earlier disastrous accidents enough to give the nuclear power industry an unprecedented safety record. Efforts to understand and anticipate human error have refined the role of inspection without achieving absolute reliability. Well-directed inspections that accept human fallibility will achieve more than design and organizational improvements. 42 references. (DCK)

  19. Study on In-Service Inspection Program and Inspection Technologies for Commercialized Sodium-Cooled Fast Reactor

    International Nuclear Information System (INIS)

    Masato Ando; Shigenobu Kubo; Yoshio Kamishima; Toru Iitsuka

    2006-01-01

    The objective of in-service inspection of a nuclear power plant is to confirm integrity of function of components necessary to safety, and satisfy the needs to protect plant investment and to achieve high plant ability. The sodium-cooled fast reactor, which is designed in the feasibility study on commercialized fast reactor cycle systems in Japan, has two characteristics related to in-service inspection. The first is that all sodium coolant boundary structures have double-wall system. Continuous monitoring of the sodium coolant boundary structures are adopted for inspection. The second characteristic is the steam generator with double-wall-tubes. Volumetric testing is adopted to make sure that one of the tubes can maintain the boundary function in case of the other tube failure. A rational in-service inspection concept was developed taking these features into account. The inspection technologies were developed to implement in-service inspection plan. The under-sodium viewing system consisted of multi ultrasonic scanning transducers, which was used for imaging under-sodium structures. The under-sodium viewing system was mounted on the under-sodium vehicle and delivered to core internals. The prototype of under-sodium viewing system and vehicle were fabricated and performance tests were carried out under water. The laboratory experiments of volumetric testing for double-wall-tubes of steam generator, such as ultrasonic testing and remote-field eddy current testing, were performed and technical feasibility was assessed. (authors)

  20. NDE Technology Development Program for Non-Visual Volumetric Inspection Technology; Sensor Effectiveness Testing Report

    Energy Technology Data Exchange (ETDEWEB)

    Moran, Traci L. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Larche, Michael R. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Denslow, Kayte M. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Glass, Samuel W. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States)

    2017-08-31

    The Pacific Northwest National Laboratory (PNNL) located in Richland, Washington, hosted and administered Sensor Effectiveness Testing that allowed four different participants to demonstrate the NDE volumetric inspection technologies that were previously demonstrated during the Technology Screening session. This document provides a Sensor Effectiveness Testing report for the final part of Phase I of a three-phase NDE Technology Development Program designed to identify and mature a system or set of non-visual volumetric NDE technologies for Hanford DST primary liner bottom inspection. Phase I of the program will baseline the performance of current or emerging non-visual volumetric NDE technologies for their ability to detect and characterize primary liner bottom flaws, and identify candidate technologies for adaptation and maturation for Phase II of the program.

  1. The use of x-ray imaging technology in cargo inspection

    International Nuclear Information System (INIS)

    Palgan, Renato D.

    2009-01-01

    The aftermath of the September 11,2001 terrorist attack in the United States has brought a significant change in the conduct of trade and commerce among nations. The introduction on non-intrusive cargo inspection equipment, most x-ray and gamma ray scanners, among customs administrations worldwide has been widely employed and incorporated in their respective cargo examination procedures as part of their security initiative to deter terrorist threats. In compliance with our international commitment and agreement passed by the World Customs Organization which required the use of modern technology in cargo inspection, the Bureau of Customs had acquired 30 units of container x-ray scanners in 2006 from China that became operational in 2007 and the acquisition of which was guided by the following objectives: maximize collection of government revenues,facilitate trade and commerce, prevent smuggling, and safeguard the public and environment against the entry of hazardous and harmful substance. In this light, this paper discusses the brief background of the non-intrusive container inspection system project of the Bureau of Customs, an overview of the technical features of x-ray imaging technology acquired, the procedures in the application of x-ray inspection in containerized cargo, the radiation safety infrastructures and considerations, and a review of some major accomplishments after its two years of existence. (author)

  2. A development of an automated ultrasonic TOFD inspection system using an welding line tracing robot

    International Nuclear Information System (INIS)

    Cho, Hyun; Song, Sung Jin; Lee, Kang Won; Kim, Young Jin; Woo, Jong Sik

    2006-01-01

    Large scaled ships, manufactured inside of the country, should be passed welding inspection and painting film inspection. Normally, these kind of inspections are conducted by human inspectors manually, although it cause industrial disasters such as falling accidents and diving accidents frequently. In addition, Ship makers are not to give a full trust to shipowners because manual inspections cannot be conducted all over the welding parts. So, in this study we developed an automated ultrasonic TOFD inspection system using an welding line tracing robot. This system, controlled by an inspector at a remote field, can inspect welding parts of ship outer panel both under water and in air. In this paper we present the developed robot and ultrasonic TOFD inspection system and the inspection result.

  3. A development of an automated ultrasonic TOFD inspection system using an welding line tracing robot

    International Nuclear Information System (INIS)

    Cho, Hyun; Song, Sung Jin; Lee, Kang Won; Kim, Young Jin; Woo, Jong Sik

    2006-01-01

    Large scaled ships, manufactured inside of the country, should be passed welding inspection and painting film inspection. Normally, these kind of inspections are conducted by human inspectors manually, although it cause industrial disasters such as falling accidents and diving accidents frequently. In addition, Ship makers are not to give a full trust to ship owners because manual inspections cannot be conducted all over the welding parts. So, in this study we developed an automated ultrasonic TOFD inspection system using an welding line tracing robot. This system, controlled by an inspector at a remote field, can inspect welding parts of ship outer panel both under water and in air. In this paper we present the developed robot and ultrasonic TOFD inspection system and the inspection result.

  4. Inspection of austenitic welds with ultrasonic phased array technology

    Energy Technology Data Exchange (ETDEWEB)

    Garcia, A.; Fernandez, F. [Tecnatom (Spain); Dutruc, R.; Ferriere, R. [Metalscan (France)

    2011-07-01

    This series of slides presents the use of ultrasonic phased array technology in the inspection of austenitic welds. The inspection from outside surface (the inspection is performed in contact using wedges to couple the probe to the outer surface of the component) shows that longitudinal wave is the most adequate for perpendicular scans and transversal ultrasonic wave is the most adequate for parallel scans. Detection and length sizing are performed optimally in perpendicular scans. The inspection from inside surface shows: -) Good results in the detection of defects (Sizing has met the requirements imposed by the Authority of the Russian Federation); -) The new design of the mechanical equipment and of the numerous ultrasonic beams refracted by the array probes has increased the volume inspected. The design of the mechanical equipment has also allowed new areas to be inspected (example a piping weld that was not accessible from the outer surface; -) The ultrasonic procedure and Inspection System developed have been validated by the Authority of the Russian Federation. Phase array technique supplies solutions to solve accessibility concerns and improve the ultrasonic inspections of nuclear components

  5. Real-time line-width measurements: a new feature for reticle inspection systems

    Science.gov (United States)

    Eran, Yair; Greenberg, Gad; Joseph, Amnon; Lustig, Cornel; Mizrahi, Eyal

    1997-07-01

    The significance of line width control in mask production has become greater with the lessening of defect size. There are two conventional methods used for controlling line widths dimensions which employed in the manufacturing of masks for sub micron devices. These two methods are the critical dimensions (CD) measurement and the detection of edge defects. Achieving reliable and accurate control of line width errors is one of the most challenging tasks in mask production. Neither of the two methods cited above (namely CD measurement and the detection of edge defects) guarantees the detection of line width errors with good sensitivity over the whole mask area. This stems from the fact that CD measurement provides only statistical data on the mask features whereas applying edge defect detection method checks defects on each edge by itself, and does not supply information on the combined result of error detection on two adjacent edges. For example, a combination of a small edge defect together with a CD non- uniformity which are both within the allowed tolerance, may yield a significant line width error, which will not be detected using the conventional methods (see figure 1). A new approach for the detection of line width errors which overcomes this difficulty is presented. Based on this approach, a new sensitive line width error detector was developed and added to Orbot's RT-8000 die-to-database reticle inspection system. This innovative detector operates continuously during the mask inspection process and scans (inspects) the entire area of the reticle for line width errors. The detection is based on a comparison of measured line width that are taken on both the design database and the scanned image of the reticle. In section 2, the motivation for developing this new detector is presented. The section covers an analysis of various defect types, which are difficult to detect using conventional edge detection methods or, alternatively, CD measurements. In section 3

  6. Development of inspection safety evaluation technology

    Energy Technology Data Exchange (ETDEWEB)

    Yoon, Seok Chul; Yoon, Yeo Chang; Kim, Jong Soo; Lee, Tae Young; Kim, Chang Ryol; Lee, Hyung Sub; Kim, Jong Soo

    1995-12-01

    The purpose of this project is to protection nation inspector`s over exposure from radiation that can be occurred by inspection activity at nuclear facilities and its environment, and to ensure the safety of inspection activity at the nuclear facilities. To effectively carry out the domestic inspection task to be enforced from 1996, the evaluation for special radiation exposure rate of nuclear facilities, air and surface contamination level, and measurement and monitoring of water contamination level were made to determine whether these measured values exceeded permissible limitations, and to protect the inspector`s over exposure from radiation at domestic nuclear facilities. Management of inspector`s exposure was carried out under assistance of the Department of Health Physics. Performance tests of two gamma detectors, one neutron detector, alpha and beta detector, and gamma spectroscopy analyzer were carried out to control dose on extremity, the characteristic test for extremity dosimeter was carried out and the theoretical calculation of gamma dose conversion factors based on ANSI N13.32 standard was performed. Under the 93+2 program, IAEA began to recognize the necessity of environmental observation technology development of air-borne particulates travelled from long distance location. Associated with the necessity of this technology development, a proposal of international joint research for development of the special radiation measurement and analysis has been prepared. (author). 21 tabs., 24 figs., 20 refs.

  7. Present state of inspection robot technology in nuclear power facilities. Case of fast breeder reactors

    International Nuclear Information System (INIS)

    Ara, Kuniaki

    1995-01-01

    In the maintenance works in nuclear power facilities such as checkup, inspection and repair, for the main purpose of radiation protection, remote operation technology was introduced since relatively early stage, and at present, the robots that carry out the inspection works for confirming the soundness of main equipment have been developed and put to practical use. At the time of introducing these technologies, in addition to the research and development of robots proper, the coordination with the design of plant machinery and equipment facilities as the premise of introducing robots is an important requirement. In this report, the present state of the development of remote inspection technology for fast breeder reactors is introduced, and the matters to which attention is paid in the plant design for introducing robots are explained. First, fast breeder reactors are described. The needs of robotizing and adopting remote operation in nuclear power facilities are explained, using the examples of the inspection system for a reactor vessel and the inspection system for steam generator heat transfer tubes. (K.I.)

  8. APPLYING SIMPLE TECHNOLOGY ACCOMPLISHES VISUAL INSPECTION CHALLENGES

    International Nuclear Information System (INIS)

    Robinson, C

    2007-01-01

    This paper discusses the successful implementation of simple video technologies at the Savannah River Site (SRS) to perform complex visual inspection, monitoring, and surveillance tasks. Because SRS facilities are similar to those of an industrial plant, the environmental and accessibility considerations for remote viewing are the primary determining factors in the selection of technology. The constraints and challenges associated with remote viewing are discussed, and examples of applications are given

  9. Quality control and in-service inspection technology for hybrid-composite girder bridges.

    Science.gov (United States)

    2014-08-01

    This report describes efforts to develop quality control tools and in-service inspection technologies for the fabrication and construction of Hybrid Composite Beams (HCBs). HCBs are a new bridge technology currently being evaluated by the Missouri De...

  10. Surface inspection of flat products by means of texture analysis: on-line implementation using neural networks

    Science.gov (United States)

    Fernandez, Carlos; Platero, Carlos; Campoy, Pascual; Aracil, Rafael

    1994-11-01

    This paper describes some texture-based techniques that can be applied to quality assessment of flat products continuously produced (metal strips, wooden surfaces, cork, textile products, ...). Since the most difficult task is that of inspecting for product appearance, human-like inspection ability is required. A common feature to all these products is the presence of non- deterministic texture on their surfaces. Two main subjects are discussed: statistical techniques for both surface finishing determination and surface defect analysis as well as real-time implementation for on-line inspection in high-speed applications. For surface finishing determination a Gray Level Difference technique is presented to perform over low resolution images, that is, no-zoomed images. Defect analysis is performed by means of statistical texture analysis over defective portions of the surface. On-line implementation is accomplished by means of neural networks. When a defect arises, textural analysis is applied which result in a data-vector, acting as input of a neural net, previously trained in a supervised way. This approach tries to reach on-line performance in automated visual inspection applications when texture is presented in flat product surfaces.

  11. Pipelines inspection robots; Robos para inspecao de linhas de servico

    Energy Technology Data Exchange (ETDEWEB)

    Archila Diaz, John Faber; Dutra, Max Suell [Universidade Federal do Rio de Janeiro (UFRJ), RJ (Brazil). Coordenacao dos Programas de Pos-graduacao de Engenharia (COPPE). Lab. de Robotica

    2008-07-01

    One of the problems existing in the area of maintenance of systems for the transport of mass and / or energy is to examine the integrity of the lines of service in the basic infrastructure of cities and industries. For the development of maintenance, whether predictive, preventive or corrective is necessary to conduct the inspection of these lines. To carry out this task is necessary count on help of appropriate technological tools. The main tools for inspection of service lines come from the area of external inspection of pipelines and are also in development, the problem happens when we need to achieve internal or external failures in places of difficult access, and move the inspection equipment to places where it's going to fail. In these cases it is necessary to the use of mechatronic systems, more specifically robotic systems, which may be developed for inspection. This paper aims to present the main robotic systems used for inspection, especially for internal inspection of pipelines. These systems have been developed by the research groups in Brazil, Japan, and Belgium among others, giving up a classification of robots for inspection of pipelines and the main features necessary for its project. (author)

  12. Pipelines inspection robots; Robos para inspecao de linhas de servico

    Energy Technology Data Exchange (ETDEWEB)

    Archila Diaz, John Faber; Dutra, Max Suell [Universidade Federal do Rio de Janeiro (UFRJ), RJ (Brazil). Coordenacao dos Programas de Pos-graduacao de Engenharia (COPPE). Lab. de Robotica

    2008-07-01

    One of the problems existing in the area of maintenance of systems for the transport of mass and / or energy is to examine the integrity of the lines of service in the basic infrastructure of cities and industries. For the development of maintenance, whether predictive, preventive or corrective is necessary to conduct the inspection of these lines. To carry out this task is necessary count on help of appropriate technological tools. The main tools for inspection of service lines come from the area of external inspection of pipelines and are also in development, the problem happens when we need to achieve internal or external failures in places of difficult access, and move the inspection equipment to places where it's going to fail. In these cases it is necessary to the use of mechatronic systems, more specifically robotic systems, which may be developed for inspection. This paper aims to present the main robotic systems used for inspection, especially for internal inspection of pipelines. These systems have been developed by the research groups in Brazil, Japan, and Belgium among others, giving up a classification of robots for inspection of pipelines and the main features necessary for its project. (author)

  13. Periodic inspections of lightning protection systems in intermediate storage facilities of nuclear technological plants

    International Nuclear Information System (INIS)

    Witzel, Andre; Schulz, Olav

    2013-01-01

    Especially for nuclear technological plants, periodic inspections of lightning protection systems are of great importance. This article shows the sequence of maintenance programs using the examples of the intermediate storage facilities of the nuclear technological plants Grohnde and Unterweser as well as the central intermediate storage facility in Gorleben and gives a description of the extensive measures of inspecting the external and internal lightning protection and the global earth termination system.

  14. Technology Management within Product Lines in High Technology Markets

    Science.gov (United States)

    Sarangee, Kumar R.

    2009-01-01

    Understanding the nuances of product line management has been of great interest to business scholars and practitioners. This assumes greater significance for firms conducting business in technologically dynamic industries, where they face certain challenges regarding the management of multiple, overlapping technologies within their product lines.…

  15. Flight route Designing and mission planning Of power line inspecting system Based On multi-sensor UAV

    International Nuclear Information System (INIS)

    Xiaowei, Xie; Zhengjun, Liu; Zhiquan, Zuo

    2014-01-01

    In order to obtain various information of power facilities such as spatial location, geometry, images data and video information in the infrared and ultraviolet band and so on, Unmanned Aerial Vehicle (UAV) power line inspecting system needs to integrate a variety of sensors for data collection. Low altitude and side-looking imaging are required for UAV flight to ensure sensors to acquire high-quality data and device security. In this paper, UAV power line inspecting system is deferent from existing ones that used in Surveying and Mapping. According to characteristics of UAV for example equipped multiple sensor, side-looking imaging, working at low altitude, complex terrain conditions and corridor type flight, this paper puts forward a UAV power line inspecting scheme which comprehensively considered of the UAV performance, sensor parameters and task requirements. The scheme is finally tested in a region of Guangdong province, and the preliminary results show that the scheme is feasible

  16. Review of techniques for on-line monitoring and inspection of laser welding

    International Nuclear Information System (INIS)

    Shao, J; Yan, Y

    2005-01-01

    Laser welding has been applied to various industries, in particular, automotive, aerospace and microelectronics. However, traditional off-line testing of the welds is costly and inefficient. Therefore, on-line inspection systems with low cost have being developed to increase productivity and maintain high welding quality. This paper presents the applications of acoustic, optical, visual, thermal and ultrasonic techniques and latest development of laser welding monitoring. The advantages and limitations of these techniques are also discussed

  17. Advanced inspection technology for non intrusive inspection (NII) program

    International Nuclear Information System (INIS)

    Zamir Mohamed Daud

    2003-01-01

    In the current economic environment, plants and facilities are under pressure to introduced cost saving as well as profit maximising measures. Among the many changes in the way things are run is a move towards Risk Based Inspection (RBI), with an emphasis on longer operating periods between shutdowns as well as to utilise components to their maximum capability. Underpinning and RBI program requires good data from an effective online inspections program, which would not require the shutdown of critical components. One methodology of online inspection is known as Non Intrusive Inspection (NII), an inspection philosophy with the objective of replacing internal inspection of a vessel by doing Non Destructive Testing (NDT) and inspections externally. To this end, a variety of advanced NDT techniques are needed to provide accurate online measurements. (Author)

  18. Pipeline coating inspection in Mexico applying surface electromagnetic technology

    Energy Technology Data Exchange (ETDEWEB)

    Delgado, O.; Mousatov, A.; Nakamura, E.; Villarreal, J.M. [Instituto Mexicano del Petroleo (IMP), Mexico City (Mexico); Shevnin, V. [Moscow State University (Russian Federation); Cano, B. [Petroleos Mexicanos (PEMEX), Mexico City (Mexico)

    2009-07-01

    The main problems in the pipeline systems in Mexico include: extremely aggressive soil characterized by a high clay content and low resistivity, interconnection between several pipes, including electrical contacts of active pipelines with out of service pipes, and short distances between pipes in comparison with their depths which reduce the resolution of coating inspection. The results presented in this work show the efficiency of the Surface Electromagnetic Pipeline Inspection (SEMPI) technology to determine the technical condition of pipelines in situations before mentioned. The SEMPI technology includes two stages: regional and detailed measurements. The regional stage consists of magnetic field measurements along the pipeline using large distances (10 - 100 m) between observation points to delimit zones with damaged coating. For quantitative assessing the leakage and coating resistances along pipeline, additional measurements of voltage and soil resistivity measurements are performed. The second stage includes detailed measurements of the electric field on the pipe intervals with anomalous technical conditions identified in the regional stage. Based on the distribution of the coating electric resistance and the subsoil resistivity values, the delimitation of the zones with different grade of coating quality and soil aggressiveness are performed. (author)

  19. Eddy current technology for heat exchanger and steam generator tube inspection

    Energy Technology Data Exchange (ETDEWEB)

    Obrutsky, L.; Lepine, B.; Lu, J.; Cassidy, R.; Carter, J. [Atomic Energy of Canada Limited, Chalk River, Ontario (Canada)

    2004-07-01

    A variety of degradation modes can affect the integrity of both heat exchanger (HX) and balance of plant tubing, resulting in expensive repairs, tube plugging or replacement of tube bundles. One key component for ensuring tube integrity is inspection and monitoring for detection and characterization of the degradation. In-service inspection of HX and balance of plant tubing is usually carried out using eddy current (EC) bobbin coils, which are adequate for the detection of volumetric degradations. However, detection and quantification of additional modes of degradation such as pitting, intergranular attack (IGA), axial cracking and circumferential cracking require specialized probes. The need for timely, reliable detection and characterization of these modes of degradation is especially critical in Nuclear Generating Stations. Transmit-receive single-pass array probes, developed by AECL, offer high defect detectability in conjunction with fast and reliable inspection capabilities. They have strong directional properties, permitting probe optimization for circumferential or axial crack detection. Compared to impedance probes, they offer improved performance in the presence of variable lift-off. This EC technology can help resolve critical detection issues at susceptible areas, such as the rolled-joint transitions at the tubesheet, U-bends and tube-support intersections. This paper provides an overview of the operating principles and the capabilities of advanced ET inspection technology available for HX tube inspection. Examples of recent application of this technology in Nuclear Generating Stations (NGSs) are discussed. (author)

  20. Eddy current technology for heat exchanger and steam generator tube inspection

    International Nuclear Information System (INIS)

    Obrutsky, L.; Lepine, B.; Lu, J.; Cassidy, R.; Carter, J.

    2004-01-01

    A variety of degradation modes can affect the integrity of both heat exchanger (HX) and balance of plant tubing, resulting in expensive repairs, tube plugging or replacement of tube bundles. One key component for ensuring tube integrity is inspection and monitoring for detection and characterization of the degradation. In-service inspection of HX and balance of plant tubing is usually carried out using eddy current (EC) bobbin coils, which are adequate for the detection of volumetric degradations. However, detection and quantification of additional modes of degradation such as pitting, intergranular attack (IGA), axial cracking and circumferential cracking require specialized probes. The need for timely, reliable detection and characterization of these modes of degradation is especially critical in Nuclear Generating Stations. Transmit-receive single-pass array probes, developed by AECL, offer high defect detectability in conjunction with fast and reliable inspection capabilities. They have strong directional properties, permitting probe optimization for circumferential or axial crack detection. Compared to impedance probes, they offer improved performance in the presence of variable lift-off. This EC technology can help resolve critical detection issues at susceptible areas, such as the rolled-joint transitions at the tubesheet, U-bends and tube-support intersections. This paper provides an overview of the operating principles and the capabilities of advanced ET inspection technology available for HX tube inspection. Examples of recent application of this technology in Nuclear Generating Stations (NGSs) are discussed. (author)

  1. Applications of the energy differentiation type radiation line sensor to such as inspection for the plumbing corrosion

    International Nuclear Information System (INIS)

    Tomita, Yasuhiro; Shirayanagi, Yuji; Matsui, Shinjiro; Kamiya, Yosuke; Kobayashi, Akira

    2015-01-01

    The authors have engaged in development of the next-generation radiation detectors that can give information on energy such as X-rays and γ-rays, and commercialized an energy discrimination type radiation line sensor capable of discriminating the energy of photons using a CdTe radiation detector element. This paper introduces the structure and principles of this energy discrimination type radiation line sensor. As the basic application, it also introduces the material identification, energy discrimination type X-ray CT imaging, and the quantitative determination of iron plate thickness using X-ray. In addition, it introduces the radiation line sensor we have developed for inspecting the reduced amount of wall thickness of piping with insulation materials. This radiation line sensor for pipe thinning inspection combined with radiation sources (X-rays, γ-rays) and a transport system is capable of accurately and efficiently inspecting reduced wall thickness, while moving the censor on the covered pipe with heat insulating materials through remote control, without removing piping insulation materials and without stopping the use of piping. (A.O.)

  2. The Technology Trend of Japanese Patent for the Nuclear Fuel Assembly Inspection

    International Nuclear Information System (INIS)

    Cho, Jai Wan; Choi, Young Soo; Lee, Nam Ho; Jeong, Kyung Min; Suh, Yong Chil; Kim, Chang Hoi; Shin, Jung Cheol

    2008-06-01

    Japanese technology patents for the nuclear fuel assembly inspection unit, from the year 1993 to the year 2006, were investigated. The fuel rods which contain fissile material are grouped together in a closely-spaced array within the fuel assembly. Various kinds of reactor including the PWR reactor are being operated in Japan. There are many kinds of nuclear fuel assemblies in Japan, and the shape and the size of these nuclear fuel assemblies are various also. As the structure of these various fuel assemblies is a regular square as the same as the Korean one, the inspection method described in Japanese technology patent can be applied to the inspection of the nuclear fuel assembly of the Korea. This report focuses on advances in VIT(visual inspection test) of nuclear fuel assembly using the state-of-the-art CCD camera system

  3. The Technology Trend of Japanese Patent for the Nuclear Fuel Assembly Inspection

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Jai Wan; Choi, Young Soo; Lee, Nam Ho; Jeong, Kyung Min; Suh, Yong Chil; Kim, Chang Hoi; Shin, Jung Cheol

    2008-06-15

    Japanese technology patents for the nuclear fuel assembly inspection unit, from the year 1993 to the year 2006, were investigated. The fuel rods which contain fissile material are grouped together in a closely-spaced array within the fuel assembly. Various kinds of reactor including the PWR reactor are being operated in Japan. There are many kinds of nuclear fuel assemblies in Japan, and the shape and the size of these nuclear fuel assemblies are various also. As the structure of these various fuel assemblies is a regular square as the same as the Korean one, the inspection method described in Japanese technology patent can be applied to the inspection of the nuclear fuel assembly of the Korea. This report focuses on advances in VIT(visual inspection test) of nuclear fuel assembly using the state-of-the-art CCD camera system.

  4. A study of the long-range inspection method for on-line monitoring of pipes in nuclear power plants

    International Nuclear Information System (INIS)

    Eom, Heung Seop; Lim, Sa Hoe; Kim, Jae Hee; Kim, Young H.; Song, Sung Jin

    2005-01-01

    Deployment of an advanced on-line monitoring of the component integrity offers the prospect of an improved performance, enhanced safety, and reduced overall cost for nuclear power plants (NPPs). Also ultrasonic guided ultrasonic wave has been known as one of the promising techniques that could be utilized for on-line monitoring, because it enables us to undertake a long-range inspection of structures such as plates and pipes. The present work is aimed at developing a new method using ultrasonic guided waves for the on-line monitoring of pipes. For this purpose we fabricated the necessary hardware and carried out transmitter tuning, group velocity measurement, receiver tuning, and mode identification. Finally we carried out an experiment on a long-range inspection with the developed hardware and the techniques. In the experiment, we could detect the flaws at a distance of about 20M from the transmitter, and we could verify the possibility of using the developed hardware and techniques for on-line monitoring of pipes in NPPs

  5. Development of an automatic reactor inspection system

    International Nuclear Information System (INIS)

    Kim, Jae Hee; Eom, Heung Seop; Lee, Jae Cheol; Choi, Yoo Raek; Moon, Soon Seung

    2002-02-01

    Using recent technologies on a mobile robot computer science, we developed an automatic inspection system for weld lines of the reactor vessel. The ultrasonic inspection of the reactor pressure vessel is currently performed by commercialized robot manipulators. Since, however, the conventional fixed type robot manipulator is very huge, heavy and expensive, it needs long inspection time and is hard to handle and maintain. In order to resolve these problems, we developed a new automatic inspection system using a small mobile robot crawling on the vertical wall of the reactor vessel. According to our conceptual design, we developed the reactor inspection system including an underwater inspection robot, a laser position control subsystem, an ultrasonic data acquisition/analysis subsystem and a main control subsystem. We successfully carried out underwater experiments on the reactor vessel mockup, and real reactor ready for Ulchine nuclear power plant unit 6 at Dusan Heavy Industry in Korea. After this project, we have a plan to commercialize our inspection system. Using this system, we can expect much reduction of the inspection time, performance enhancement, automatic management of inspection history, etc. In the economic point of view, we can also expect import substitution more than 4 million dollars. The established essential technologies for intelligent control and automation are expected to be synthetically applied to the automation of similar systems in nuclear power plants

  6. Computed Radiography: An Innovative Inspection Technique

    International Nuclear Information System (INIS)

    Klein, William A.; Councill, Donald L.

    2002-01-01

    Florida Power and Light Company's (FPL) Nuclear Division combined two diverse technologies to create an innovative inspection technique, Computed Radiography, that improves personnel safety and unit reliability while reducing inspection costs. This technique was pioneered in the medical field and applied in the Nuclear Division initially to detect piping degradation due to flow-accelerated corrosion. Component degradation can be detected by this additional technique. This approach permits FPL to reduce inspection costs, perform on line examinations (no generation curtailment), and to maintain or improve both personnel safety and unit reliability. Computed Radiography is a very versatile tool capable of other uses: - improving the external corrosion program by permitting inspections underneath insulation, and - diagnosing system and component problems such as valve positions, without the need to shutdown or disassemble the component. (authors)

  7. Inspection technologies -Development of national safeguards technology-

    International Nuclear Information System (INIS)

    Hong, J. S.; Kim, B. K.; Kwack, E. H.

    1996-12-01

    17 facility regulations prepared by nuclear facilities according to the Ministerial Notices were evaluated. Safeguards inspection activities under Safeguards are described. Safeguards inspection equipments and operation manuals to be used for national inspection are also described. Safeguards report are produced and submitted to MOST by using the computerized nuclear material accounting system at state level. National inspection support system are developed to produce the on-site information for domestic inspection. Planning and establishment of policy for nuclear control of nuclear materials, international cooperation for nuclear control, CTBT, strengthening of international safeguards system, and the supply of PWRs to North Korea are also described. (author). 43 tabs., 39 figs

  8. Technology Assessment of the Inspection Readiness Plan in Chemical Weapons Convention Challenge Inspections

    National Research Council Canada - National Science Library

    Woodley, Anthony

    1998-01-01

    ...) Challenge Inspections. The CWC is an intrusive inspection. The Challenge Inspection allows for a team of international inspectors to inspect on very short notice a naval facility suspected of violating the CWC...

  9. EUV mask defect inspection and defect review strategies for EUV pilot line and high volume manufacturing

    Science.gov (United States)

    Chan, Y. David; Rastegar, Abbas; Yun, Henry; Putna, E. Steve; Wurm, Stefan

    2010-04-01

    Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure development requirements and tooling strategies to support high volume manufacturing.

  10. Assembly-line health check up in digitalized hospital.

    Science.gov (United States)

    Liu, Yalan; Yao, Bin; Zhang, Zigang

    2002-01-01

    The health check up flow of digital hospital can be consulted with the assembly line of industry factory. Because they have the following same features: highly specialized workstation, closeness and continuance, rhythm, balanced production, continuous production. The essential prerequisites are as the follows: The inspecting items and methods should be stable; advanced product mix and stable production design; standardized raw material, consumption, procedure, inspection method; there are lots of request for health inspection; the customers move at the least unit; the space arrangement should be reasonable; the time arrangement should be proportion. With the computer net, the digital inspection can achieves the raw material controlling accurately. The basis of check up line concerns about equipment, net and software, data collection, and personnel. The group technology is used in the health inspection flow design of the digital hospital in the field of items customers and zone redivided. The digital assembly linemic health inspect has the following stages: member registering, notice, check in, arrange order, time control, report, feedback and analysis. The assembly linemic has following advantages: increasing the productivity, the space utility, satisfaction of customer, fund returning, lowering the cost and ensuring the quality.

  11. Automatic inspection Pads second generation; Inspeccion automatica de pastillas de segunda generacion

    Energy Technology Data Exchange (ETDEWEB)

    Gallardo-Lancho gonzalez, J. F.

    2010-07-01

    In recent years, development has addressed Enusa a second generation robot for automatic inspection of tablets incorporating the following advances: more advanced systems that improve vision quality inspection equipment, conducting the inspection in line with the grinding operation, increased productivity of the inspection process to be unnecessary pills buildup in trays and lay-out of the most rational equipment allowing cleaning it easier and faster. This second generation machine is already part of the automatic inspection equipment developed by Enusa and is an example of the ongoing commitment to the development Enusa and innovation in nuclear technology.

  12. Design and application of radiation apparatus for sup 6 sup 0 Co cargo train on-line inspection system

    CERN Document Server

    Wu Zhi Fang; Zhang Yuai

    2002-01-01

    Based on the special requirement for radiation apparatus of sup 6 sup 0 Co cargo train on-line inspection system, a radiation apparatus including two-level shutter, working container, storing container and electromagnetism are designed. The makeup, working mode and functional realization of the radiation apparatus are introduced. The system is used in Manzhouli customs cargo train on-line inspection system. The practice shows that the radiation apparatus is reliable to work steadily and the operating speed of the main shutter can reach 0.1 s open and 0.15 s close

  13. An ultrasonic inspection tool for production tubulars

    Energy Technology Data Exchange (ETDEWEB)

    Newton, K; Martin, R; Ravenscroft, F [AEA Technology, Harwell (United Kingdom)

    1994-06-01

    Advances in ultrasonic technology, high temperature techniques and remote processing power are enabling a new generation of inspection tools to be developed. This paper describes a particular new ultrasonic caliper system, developed by AEA Technology, with the aim of providing improved information about the condition of production tubulars of oil and gas wells. The system is designed to provide enhanced surface area coverage compared to the current devices, which are typically mechanical 'finger' calipers. It also provides a non-contacting measure of corrosion and wear together with direct on-line output and automated data analysis. The new tool is designed to operate in oil and gas, vertical or deviated wells and has the potential for modification to inspect small diameter pipes in topside or other plant. (author)

  14. Two-Dimensional Impact Reconstruction Method for Rail Defect Inspection

    Directory of Open Access Journals (Sweden)

    Jie Zhao

    2014-01-01

    Full Text Available The safety of train operating is seriously menaced by the rail defects, so it is of great significance to inspect rail defects dynamically while the train is operating. This paper presents a two-dimensional impact reconstruction method to realize the on-line inspection of rail defects. The proposed method utilizes preprocessing technology to convert time domain vertical vibration signals acquired by wireless sensor network to space signals. The modern time-frequency analysis method is improved to reconstruct the obtained multisensor information. Then, the image fusion processing technology based on spectrum threshold processing and node color labeling is proposed to reduce the noise, and blank the periodic impact signal caused by rail joints and locomotive running gear. This method can convert the aperiodic impact signals caused by rail defects to partial periodic impact signals, and locate the rail defects. An application indicates that the two-dimensional impact reconstruction method could display the impact caused by rail defects obviously, and is an effective on-line rail defects inspection method.

  15. Pipe inspection using the BTX-II. Innovative technology summary report

    International Nuclear Information System (INIS)

    1999-05-01

    The US Department of Energy (DOE) continually seeks safer and more cost-effective remediation technologies for use in the decontamination and decommissioning (D and D) of nuclear facilities. In several of the buildings at the Fernald Site, there is piping that was used to transport process materials. As the demolition of these buildings occur, disposal of this piping has become a costly issue. Currently, all process piping is cut into ten-foot or less sections, the ends of the piping are wrapped and taped to prevent the release of any potential contaminants into the air, and the piping is placed in roll off boxes for eventual repackaging and shipment to the Nevada Test Site (NTS) for disposal. Alternatives that allow for the onsite disposal of process piping are greatly desired due to the potential for dramatic savings in current offsite disposal costs. No means is currently employed to allow for the adequate inspection of the interior of piping, and consequently, process piping has been assumed to be internally contaminated and thus routinely disposed of at NTS. The BTX-II system incorporates a high-resolution micro color camera with lightheads, cabling, a monitor, and a video recorder. The complete probe is capable of inspecting pipes with an internal diameter (ID) as small as 1.4 inches. By using readily interchangeable lightheads, the same system is capable of inspecting piping up to 24 inches in ID. The original development of the BTX system was for inspection of boiler tubes and small diameter pipes for build-up, pitting, and corrosion. However, the system is well suited for inspecting the interior of most types of piping and other small, confined areas. The report describes the technology, its performance, uses, cost, regulatory and policy issues, and lessons learned

  16. Pipe inspection using the pipe crawler. Innovative technology summary report

    International Nuclear Information System (INIS)

    1999-05-01

    The US Department of Energy (DOE) continually seeks safer and more cost-effective remediation technologies for use in the decontamination and decommissioning (D and D) of nuclear facilities. In several of the buildings at the Fernald Site, there is piping that was used to transport process materials. As the demolition of these buildings occur, disposal of this piping has become a costly issue. Currently, all process piping is cut into ten-foot or less sections, the ends of the piping are wrapped and taped to prevent the release of any potential contaminants into the air, and the piping is placed in roll off boxes for eventual repackaging and shipment to the Nevada Test Site (NTS) for disposal. Alternatives that allow for the onsite disposal of process piping are greatly desired due to the potential for dramatic savings in current offsite disposal costs. No means is currently employed to allow for the adequate inspection of the interior of piping, and consequently, process piping has been assumed to be internally contaminated and thus routinely disposed of at NTS. The BTX-II system incorporates a high-resolution micro color camera with lightheads, cabling, a monitor, and a video recorder. The complete probe is capable of inspecting pipes with an internal diameter (ID) as small as 1.4 inches. By using readily interchangeable lightheads, the same system is capable of inspecting piping up to 24 inches in ID. The original development of the BTX system was for inspection of boiler tubes and small diameter pipes for build-up, pitting, and corrosion. However, the system is well suited for inspecting the interior of most types of piping and other small, confined areas. The report describes the technology, its performance, uses, cost, regulatory and policy issues, and lessons learned

  17. Pipe inspection using the pipe crawler. Innovative technology summary report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-05-01

    The US Department of Energy (DOE) continually seeks safer and more cost-effective remediation technologies for use in the decontamination and decommissioning (D and D) of nuclear facilities. In several of the buildings at the Fernald Site, there is piping that was used to transport process materials. As the demolition of these buildings occur, disposal of this piping has become a costly issue. Currently, all process piping is cut into ten-foot or less sections, the ends of the piping are wrapped and taped to prevent the release of any potential contaminants into the air, and the piping is placed in roll off boxes for eventual repackaging and shipment to the Nevada Test Site (NTS) for disposal. Alternatives that allow for the onsite disposal of process piping are greatly desired due to the potential for dramatic savings in current offsite disposal costs. No means is currently employed to allow for the adequate inspection of the interior of piping, and consequently, process piping has been assumed to be internally contaminated and thus routinely disposed of at NTS. The BTX-II system incorporates a high-resolution micro color camera with lightheads, cabling, a monitor, and a video recorder. The complete probe is capable of inspecting pipes with an internal diameter (ID) as small as 1.4 inches. By using readily interchangeable lightheads, the same system is capable of inspecting piping up to 24 inches in ID. The original development of the BTX system was for inspection of boiler tubes and small diameter pipes for build-up, pitting, and corrosion. However, the system is well suited for inspecting the interior of most types of piping and other small, confined areas. The report describes the technology, its performance, uses, cost, regulatory and policy issues, and lessons learned.

  18. Pipe inspection using the BTX-II. Innovative technology summary report

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1999-05-01

    The US Department of Energy (DOE) continually seeks safer and more cost-effective remediation technologies for use in the decontamination and decommissioning (D and D) of nuclear facilities. In several of the buildings at the Fernald Site, there is piping that was used to transport process materials. As the demolition of these buildings occur, disposal of this piping has become a costly issue. Currently, all process piping is cut into ten-foot or less sections, the ends of the piping are wrapped and taped to prevent the release of any potential contaminants into the air, and the piping is placed in roll off boxes for eventual repackaging and shipment to the Nevada Test Site (NTS) for disposal. Alternatives that allow for the onsite disposal of process piping are greatly desired due to the potential for dramatic savings in current offsite disposal costs. No means is currently employed to allow for the adequate inspection of the interior of piping, and consequently, process piping has been assumed to be internally contaminated and thus routinely disposed of at NTS. The BTX-II system incorporates a high-resolution micro color camera with lightheads, cabling, a monitor, and a video recorder. The complete probe is capable of inspecting pipes with an internal diameter (ID) as small as 1.4 inches. By using readily interchangeable lightheads, the same system is capable of inspecting piping up to 24 inches in ID. The original development of the BTX system was for inspection of boiler tubes and small diameter pipes for build-up, pitting, and corrosion. However, the system is well suited for inspecting the interior of most types of piping and other small, confined areas. The report describes the technology, its performance, uses, cost, regulatory and policy issues, and lessons learned.

  19. The Impacts on Illegal Farmland Conversion of Adopting Remote Sensing Technology for Land Inspection in China

    Directory of Open Access Journals (Sweden)

    Taiyang Zhong

    2014-07-01

    Full Text Available While China’s central government has adopted remote sensing technology in land inspection since 2000, little empirical research has been done on its effect. This study aims to measure the effect of satellite imagery-based land inspection (SIBI on illegal farmland conversion. The data used in this study were collected for the period from 1997 to 2010 at the province-level. The econometrics approach for panel data model was used in this research. The results showed that SIBI has a deterrent effect of approximately 2.42 ha for every increase of 1% in proportion to the area of prefecture-level regions inspected in a province-level region. The results also indicate land inspections with RS (Remote Sensing technology saved approximately 11,880 ha farmland from illegal conversion during 2000–2010 with an estimated contribution of reducing illegal farmland conversion by nearly 11%. Furthermore, the governance structure change for land inspection has also contributed to deterring illegal farmland conversion. The deterrent effects due to land inspection by the Supervisor of State Land (SSL are about 7332 ha during 2008–2010 with an estimated contribution of reducing illegal farmland conversion by nearly 33%. In conclusion, although SIBI has strengthened China’s central capacity to uncover illegal farmland conversion and weakened local governments’ abilities to hide illegal farmland conversion, it has limited impact on illegal farmland conversion since it is just a technical tool. Improvements in the land inspection governance structure have also helped to deter illegal farmland conversion.

  20. Statistical analysis of real ILI (In-Line Inspection) data: implications, inferences and lessons learned

    Energy Technology Data Exchange (ETDEWEB)

    Timashev, Svyatoslav A.; Bushinskaya, Anna V. [Russian Academy of Sciences, Ekaterinburg (Russian Federation). Ural Branch. Sciences and Engineering Center ' Reliability and Safety of Large Systems and Machines'

    2009-07-01

    The paper discusses current possibilities and drawbacks of in-line inspection (ILI) in sizing defects in oil and gas pipelines. A methodology based on analysis of variances (ANOVA) is presented that extracts maximum possible information from the ILI measurements of defects and subsequent verification results. This full statistical analysis (FSA) methodology was extensively tested by using the Monte Carlo simulation method. It was then applied to analyze the content of sections 7, 9 and appendix E of the API 1163 RP Standard. (author)

  1. Application of non-destructive liner thickness measurement technique for manufacturing and inspection process of zirconium lined cladding tube

    International Nuclear Information System (INIS)

    Nakazawa, Norio; Fukuda, Akihiro; Fujii, Noritsugu; Inoue, Koichi

    1986-01-01

    Recently, in order to meet the difference of electric power demand owing to electric power situation, large scale load following operation has become necessary. Therefore, the development of the cladding tubes which withstand power variation has been carried out, as the result, zirconium-lined zircaloy 2 cladding tubes have been developed. In order to reduce the sensitivity to stress corrosion cracking, these zirconium-lined cladding tubes require uniform liner thickness over the whole surface and whole length. Kobe Steel Ltd. developed the nondestructive liner thickness measuring technique based on ultrasonic flaw detection technique and eddy current flaw detection technique. These equipments were applied to the manufacturing and inspection processes of the zirconium-lined cladding tubes, and have demonstrated superiority in the control and assurance of the liner thickness of products. Zirconium-lined cladding tubes, the development of the measuring technique for guaranteeing the uniform liner thickness and the liner thickness control in the manufacturing and inspection processes are described. (Kako, I.)

  2. Development and application of gamma scanning technology for on-line investigation of industrial process columns and vessels

    International Nuclear Information System (INIS)

    Jaafar Abdullah

    1999-01-01

    Plant Assessment Technology (PAT) group, in association with Intelligent System (IS) Group and Engineering Services Department of Malaysian Institute for Nuclear Technology Research (MINT) has developed gamma scanning facilities for on-line investigation of industrial process columns and vessels. The technology, based on the principle of gamma-ray absorption, has been successfully applied for troubleshooting of a number of distillation columns and process vessels in petroleum refineries, gas processing plants and chemical plants in the country and the region. This paper outlines basic characteristics of the system and describes the inspection procedures, and in addition, case studies are also presented. The case studies are purposely chosen to illustrate the versatility of the technology, and furthermore to demonstrate the economic benefits which can be realised from the application of this technology. (author)

  3. Inspection vehicle

    International Nuclear Information System (INIS)

    Takahashi, Masaki; Omote, Tatsuyuki; Yoneya, Yutaka; Tanaka, Keiji; Waki, Tetsuro; Yoshida, Tomiji; Kido, Tsuyoshi.

    1993-01-01

    An inspection vehicle comprises a small-sized battery directly connected with a power motor or a direct power source from trolly lines and a switching circuit operated by external signals. The switch judges advance or retreat by two kinds of signals and the inspection vehicle is recovered by self-running. In order to recover the abnormally stopped inspection vehicle to the targeted place, the inspection vehicle is made in a free-running state by using a clutch mechanism and is pushed by an other vehicle. (T.M.)

  4. Comparisons of NDT Methods to Inspect Cork and Cork filled Epoxy Bands

    Science.gov (United States)

    Lingbloom, Mike

    2007-01-01

    Sheet cork and cork filled epoxy provide external insulation for the Reusable Solid Rocket Motor (RSRM) on the Nation's Space Transportation System (STS). Interest in the reliability of the external insulation bonds has increased since the Columbia incident. A non-destructive test (NDT) method that will provide the best inspection for these bonds has been under evaluation. Electronic Shearography has been selected as the primary NDT method for inspection of these bond lines in the RSRM production flow. ATK Launch Systems Group has purchased an electronic shearography system that includes a vacuum chamber that is used for evaluation of test parts and custom vacuum windows for inspection of full-scale motors. Although the electronic shearography technology has been selected as the primary method for inspection of the external bonds, other technologies that exist continue to be investigated. The NASA/Marshall Space Flight Center (MSFC) NDT department has inspected several samples for comparison with electronic shearography with various inspections systems in their laboratory. The systems that were evaluated are X-ray backscatter, terahertz imaging, and microwave imaging. The samples tested have some programmed flaws as well as some flaws that occurred naturally during the sample making process. These samples provide sufficient flaw variation for the evaluation of the different inspection systems. This paper will describe and compare the basic functionality, test method and test results including dissection for each inspection technology.

  5. Benefits And Humanisation Of The Working Environment By Using Laser Inspection Systems In The Industry

    Science.gov (United States)

    Mueller, Peter; Pietzsch, Karl; Feige, Christian

    1989-02-01

    At a time of rapid development, introduction of new technologies, and increasing world-wide competition, the quality specifications for products and materials becoming even more demanding. This also applies with regard to the avoidance of defects in the surfaces of materials. Consequently there is a need for systems which allow 100% in-line testing of materials and surfaces during the production of, e.g. textiles, data storage media, papers, films and metals. Thanks to its optical and electronical precision, its unlimited applications - even under the most severe conditions-and its absolutely constant acuity, compared with visual inspection, the Sick-Scan-System is an excellent means for improving quality and profits in industrial manufacture, reducing rejects production and thus providing even more customer satisfaction. Here we describe briefly our laser scanner technology. It will set new standards in the area of automatic inspection, and the term laser tested will stablish itself as a mark of quality. In the last few years laser scanning inspection systems have been further developed in collaboration with a large number of materials manufacturers. These systems have been adopted in modern production lines and demonstrate their economy.

  6. Agile machining and inspection thrust area team-on-machine probing / compatibility assessment of Parametric Technology Corporation (PTC) pro/CMM DMIS with Zeiss DMISEngine.

    Energy Technology Data Exchange (ETDEWEB)

    Wade, James Rokwel; Tomlinson, Kurt; Bryce, Edwin Anthony

    2008-09-01

    The charter goal of the Agile Machining and Inspection Thrust Area Team is to identify technical requirements, within the nuclear weapons complex (NWC), for Agile Machining and Inspection capabilities. During FY 2008, the team identified Parametric Technology Corporation (PTC) Pro/CMM as a software tool for use in off-line programming of probing routines--used for measurement--for machining and turning centers. The probing routine would be used for in-process verification of part geometry. The same Pro/CMM program used on the machine tool could also be employed for program validation / part verification using a coordinate measuring machine (CMM). Funding was provided to determine the compatibility of the Pro/CMM probing program with CMM software (Zeiss DMISEngine).

  7. Project inspection using mobile technology - phase I : an investigation into existing business processes and areas for improvement using mobile technology.

    Science.gov (United States)

    2013-08-01

    As mobile technology becomes widely available and affordable, transportation agencies can use this technology to : streamline operations involved within project inspection. This research, conducted in two phases, identified : opportunities for proces...

  8. Pre-service inspection and in-service inspection in Japan

    International Nuclear Information System (INIS)

    Uebayashi, T.; Miyake, Y.

    1985-01-01

    To ensure the safety of nuclear power plant, pre-service inspection/in-service inspection (PSI/ISI) has an important role, and informations obtained from various inspections during plant shut-down period are contributing to establish effective preventive maintenance activities for plant facilities. It might be said that the high level of availability of Japanese light-water nuclear power plants in these two or three years has been achieved by those efforts. In case of Japan, inspections to be carried out during scheduled plant shut-down period are not limited to code requirements but include many other inspections which are mostly reflected from troubles experienced in both domestic and overseas plants. Usually, those additional inspections are performed by Ministry of Trade and Industries' (MITI's) regulator and/or tentative requirement and considered as ISI in broad meaning. To achieve high availability of plant, it is essential to avoid unscheduled shut-down and to shorten inspection period. The developments of new technology to perform effective ISI for operating plants are continued, but on the other hand it is also very important to pay a great consideration to inspectability of the plants at the stage of plant engineering. With the leadership of MITI, improvement and standardization of light-water nuclear power plant has been proceeded and newly constructed plants have great advantage from the point of view on ISI

  9. Spectroscopic imaging technologies for online food safety and sanitation inspection

    Science.gov (United States)

    The Environmental Microbial and Food Safety Laboratory, ARS, USDA is one of the leading groups for the development of optoelectronic sensing technologies and methodologies for food quality, safety, and sanitation inspection. High throughput hyperspectral and multispectral imaging techniques use Ram...

  10. Inspection in pipelines with tools of ultrasounds. How clean in clean

    Energy Technology Data Exchange (ETDEWEB)

    Tomasella, Marcelo; Martinez, Maria Pia [OLDELVAL S.A. Oleoductos del Valle, Rio Negro (Argentina). Pipeline Integrity Dept.

    2005-07-01

    Years of evaluation, study and the continuous effort overturned towards a phenomenon that worries to the industry as it is the SCC, is seen today reflected with the inspection with ultrasound tools, in our pipe line and for the first time in Argentina. Such decision, is to the effects to mitigate, to diminish the losses and ruptures that can cause this problem; as thus also to develop strategies for a better handling of the integrity of our pipelines. The accomplishment of the inspection based on ultrasound tools, is the culmination of years of evaluation and investigations supported by hundreds of excavations in the field. They have been made and they are continued making the effects to determine susceptibility of the used materials, so large critic of fissures, etc; like thus also of the atmosphere in which one is installed. All collected it in a great database that allows us to pursuit and to evaluate the management of the pipeline. Following close by the evolution of the new technologies, like thus also of the new techniques of evaluation for this kind of problems; it is important to emphasize questions associated to the operation of the intelligent tools. For the case of the ultrasound tools, it is from vital importance at the time of obtaining a successful passage by pipe lines of crude, the previous cleaning and the preparation of the lines; as well as the cost associated to the technological necessities of the tools. (author)

  11. A study on improvements of inspection efficiency with remote transmission of inspection data

    International Nuclear Information System (INIS)

    Kikuchi, Masahiro

    2010-01-01

    Current information networks technology brought secure and convenient condition of information transmission, so that inspectorates intend to apply such technology to optimize current inspection efforts. IAEA established the Remote Monitoring Project (RMP) in 1996 and started to draft safeguards concepts, and approaches to refer the implementation of remote monitoring technology and to compromise with relationship between current inspection activities and the remote monitoring technologies. Although communications costs and conditions of secured communication should be further investigated, the technologies would have a possibility to reduce current inspection efforts. We would face at the step to study on the several issues such as what measures could be candidate to use, how much cost we needs, what kind of technical risks would be concerned, further improvements could be achieved by comparison with current inspection costs and effectiveness. This paper reports on the expectation points and relevant technical attention points which are related to apply unattended inspection system with remote data transmission to the flows and inventory verification of item and bulk facility, respectively, in order to improve inspection efforts. (author)

  12. 15. Internal symposium on recent progress of nondestructive inspection and monitoring technologies for nuclear power plants

    International Nuclear Information System (INIS)

    1994-01-01

    At the symposium, lectures were given on the recent development of the nondestructive inspection technology for nuclear power plants, the trend regarding the nondestructive inspection in foreign countries (Japan-Germany atomic energy seminar), the present state and subjects of the monitoring technology in BWR plants, the present state and subjects of the monitoring technology in PWR plants, and the present state and the subjects for hereafter of the defect evaluation method in the equipment of light water reactors. The data on the ultrasonic flaw detection in aluminum alloy welded joints were obtained. The German inspection technology is similar to that in Japan and other countries. The research on the plant synthetic monitoring and diagnosis system is reported. The monitoring systems for abnormal state in operation, troubles and the secular change of equipment are reported. The evaluation of the flaws in nuclear piping is reported. The summaries of the lectures are collected in this book. (K.I.)

  13. Plant inspection and maintenance technology

    International Nuclear Information System (INIS)

    Miyahara, Masatoshi; Kanazawa, Masafumi

    1995-01-01

    The article reports on information systems and related tools that support routine inspection and preventive maintenance activities, which contribute to safer plant operation and increase plant operating duty. Tools include the Mitsubishi Electric Reliability and Availability Upgrade Program-Nuclear (MELRAP-N), which supports the general evolution of industry operating experiences, periodic component replacement management, management of discontinued and modified components, periodic inspection item management, and periodic inspection workplace management. The article also introduces a comprehensive preventive maintenance management system currently under development. (author)

  14. Surface Inspection Machine Infrared (SIMIR). Final CRADA report

    Energy Technology Data Exchange (ETDEWEB)

    Powell, G.L. [Lockheed Martin Energy Systems, Inc., Oak Ridge, TN (United States); Neu, J.T.; Beecroft, M. [Surface Optics Corp., San Diego, CA (United States)

    1997-02-28

    This Cooperative Research and Development Agreement was a one year effort to make the surface inspection machine based on diffuse reflectance infrared spectroscopy (Surface Inspection Machine-Infrared, SIMIR), being developed by Surface Optics Corporation, perform to its highest potential as a practical, portable surface inspection machine. The design function of the SIMIR is to inspect metal surfaces for cleanliness (stains). The system is also capable of evaluating graphite-resin systems for cure and heat damage, and for measuring the effects of moisture exposure on lithium hydride, corrosion on uranium metal, and the constituents of and contamination on wood, paper, and fabrics. Over the period of the CRADA, extensive experience with the use of the SIMIR for surface cleanliness measurements have been achieved through collaborations with NASA and the Army. The SIMIR was made available to the AMTEX CRADA for Finish on Yarn where it made a very significant contribution. The SIMIR was the foundation of a Forest Products CRADA that was developed over the time interval of this CRADA. Surface Optics Corporation and the SIMIR have been introduced to the chemical spectroscopy on-line analysis market and have made staffing additions and arrangements for international marketing of the SIMIR as an on-line surface inspection device. LMES has been introduced to a wide range of aerospace applications, the research and fabrication skills of Surface Optics Corporation, has gained extensive experience in the areas of surface cleanliness from collaborations with NASA and the Army, and an extensive introduction to the textile and forest products industries. The SIMIR, marketed as the SOC-400, has filled an important new technology need in the DOE-DP Enhanced Surveillance Program with instruments delivered to or on order by LMES, LANL, LLNL, and Pantex, where extensive collaborations are underway to implement and improve this technology.

  15. Surface Inspection Machine Infrared (SIMIR). Final CRADA report

    International Nuclear Information System (INIS)

    Powell, G.L.; Neu, J.T.; Beecroft, M.

    1997-01-01

    This Cooperative Research and Development Agreement was a one year effort to make the surface inspection machine based on diffuse reflectance infrared spectroscopy (Surface Inspection Machine-Infrared, SIMIR), being developed by Surface Optics Corporation, perform to its highest potential as a practical, portable surface inspection machine. The design function of the SIMIR is to inspect metal surfaces for cleanliness (stains). The system is also capable of evaluating graphite-resin systems for cure and heat damage, and for measuring the effects of moisture exposure on lithium hydride, corrosion on uranium metal, and the constituents of and contamination on wood, paper, and fabrics. Over the period of the CRADA, extensive experience with the use of the SIMIR for surface cleanliness measurements have been achieved through collaborations with NASA and the Army. The SIMIR was made available to the AMTEX CRADA for Finish on Yarn where it made a very significant contribution. The SIMIR was the foundation of a Forest Products CRADA that was developed over the time interval of this CRADA. Surface Optics Corporation and the SIMIR have been introduced to the chemical spectroscopy on-line analysis market and have made staffing additions and arrangements for international marketing of the SIMIR as an on-line surface inspection device. LMES has been introduced to a wide range of aerospace applications, the research and fabrication skills of Surface Optics Corporation, has gained extensive experience in the areas of surface cleanliness from collaborations with NASA and the Army, and an extensive introduction to the textile and forest products industries. The SIMIR, marketed as the SOC-400, has filled an important new technology need in the DOE-DP Enhanced Surveillance Program with instruments delivered to or on order by LMES, LANL, LLNL, and Pantex, where extensive collaborations are underway to implement and improve this technology

  16. Development of dual field magnetic flux leakage (MFL) inspection technology to detect mechanical damage.

    Science.gov (United States)

    2013-03-01

    This report details the development and testing of a dual magnetization in-line inspection (ILI) : tool for detecting mechanical damage in operating pipelines, including the first field trials of a : fully operational dual-field magnetic flux leakage...

  17. Periodic and in-service inspection programs

    International Nuclear Information System (INIS)

    Dinu, M.

    2000-01-01

    Periodic and in-service inspection programs for Cernavoda NPP consists of periodic inspections of CANDU NPP components CSAN N-285.4 and CSAN N-285.4, in-service inspections and repair and modifications general inspection. Periodic inspection program document (PIPD) determines the systems and components subject to inspection, the category of the inspection, techniques, areas and other details.The current status of the inspection programs is presented, including containment , erosion/corrosion, pressure vessel support and snubbers, main steam lines inspection programs. Qualification program in Cernavoda NPP involves equipment qualification in the on-site laboratory, yearly certification, special equipment qualification in the National Institute of Metrology. All procedures are approved by the ISCIR (regulatory body for pressure vessel and lifting equipment) and CNCAN (National Commission on Nuclear Activities Control). Qualification of the personnel is performed according to the ISCIR Technical prescription CR 11/82 for up to 3 year period. Final qualification and licensing is performed by CNCAN

  18. Application of vacuum technology during nuclear fuel fabrication, inspection and characterization

    International Nuclear Information System (INIS)

    Majumdar, S.

    2003-01-01

    Full text: Vacuum technology plays very important role during various stages of fabrication, inspection and characterization of U, Pu based nuclear fuels. Controlled vacuum is needed for melting and casting of U, Pu based alloys, picture framing of the fuel meat for plate type fuel fabrication, carbothermic reduction for synthesis of (U-Pu) mixed carbide powder, dewaxing of green ceramic fuel pellets, degassing of sintered pellets and encapsulation of fuel pellets inside clad tube. Application of vacuum technology is also important during inspection and characterization of fuel materials and fuel pins by way of XRF and XRD analysis, Mass spectrometer Helium leak detection etc. A novel method of low temperature sintering of UO 2 developed at BARC using controlled vacuum as sintering atmosphere has undergone successful irradiation testing in Cirus. The paper will describe various fuel fabrication flow sheets highlighting the stages where vacuum applications are needed

  19. Design and implementation for integrated UAV multi-spectral inspection system

    Science.gov (United States)

    Zhu, X.; Li, X.; Yan, F.

    2018-04-01

    In order to improve the working efficiency of the transmission line inspection and reduce the labour intensity of the inspectors, this paper presents an Unmanned Aerial Vehicle (UAV) inspection system architecture for the transmission line inspection. In this document, the light-duty design for different inspection equipment and processing terminals is completed. It presents the reference design for the information-processing terminal, supporting the inspection and interactive equipment accessing, and obtains all performance indicators of the inspection information processing through the tests. Practical application shows that the UAV inspection system supports access and management of different types of mainstream fault detection equipment, and can implement the independent diagnosis of the detected information to generate inspection reports in line with industry norms, which can meet the fast, timely, and efficient requirements for the power line inspection work.

  20. Automated visual inspection of textile

    DEFF Research Database (Denmark)

    Jensen, Rune Fisker; Carstensen, Jens Michael

    1997-01-01

    A method for automated inspection of two types of textile is presented. The goal of the inspection is to determine defects in the textile. A prototype is constructed for simulating the textile production line. At the prototype the images of the textile are acquired by a high speed line scan camera...... the protype to a production line system we only need to gain a speed factor of 4....

  1. A review on pipeline corrosion, in-line inspection (ILI), and corrosion growth rate models

    International Nuclear Information System (INIS)

    Vanaei, H.R.; Eslami, A.; Egbewande, A.

    2017-01-01

    Pipelines are the very important energy transmission systems. Over time, pipelines can corrode. While corrosion could be detected by in-line inspection (ILI) tools, corrosion growth rate prediction in pipelines is usually done through corrosion rate models. For pipeline integrity management and planning selecting the proper corrosion ILI tool and also corrosion growth rate model is important and can lead to significant savings and safer pipe operation. In this paper common forms of pipeline corrosion, state of the art ILI tools, and also corrosion growth rate models are reviewed. The common forms of pipeline corrosion introduced in this paper are Uniform/General Corrosion, Pitting Corrosion, Cavitation and Erosion Corrosion, Stray Current Corrosion, Micro-Bacterial Influenced Corrosion (MIC). The ILI corrosion detection tools assessed in this study are Magnetic Flux Leakage (MFL), Circumferential MFL, Tri-axial MFL, and Ultrasonic Wall Measurement (UT). The corrosion growth rate models considered in this study are single-value corrosion rate model, linear corrosion growth rate model, non-linear corrosion growth rate model, Monte-Carlo method, Markov model, TD-GEVD, TI-GEVD model, Gamma Process, and BMWD model. Strengths and limitations of ILI detection tools, and also corrosion predictive models with some practical examples are discussed. This paper could be useful for those whom are supporting pipeline integrity management and planning. - Highlights: • Different forms of pipeline corrosion are explained. • Common In-Line Inspection (ILI) tools and corrosion growth rate models are introduced. • Strength and limitations of corrosion growth rate models/ILI tools are discussed. • For pipeline integrity management programs using more than one corrosion growth rate model/ILI tool is suggested.

  2. Remote-Controlled Inspection Robot for Nuclear Facilities in Underwater Environment

    International Nuclear Information System (INIS)

    Yasuhiro Miwa; Syuichi Satoh; Naoya Hirose

    2002-01-01

    A remote-controlled inspection robot for nuclear facilities was developed. This is a underwater robot technology combined with inspection and flaw removal technologies. This report will describe the structure and performance of this robot. The inspection robot consists of two parts. The one is driving equipment, and the other is inspection and grinding units. It can swim in the tank, move around the tank wall, and stay on the inspection area. After that it starts inspection and flaw removal with a special grinding wheel. This technology had been developed to inspect some Radioactive Waste (RW) tanks in operating nuclear power plants. There are many RW tanks in these plants, which human workers can be hard to access because of a high level dose. This technology is too useful for inspection works of human-inaccessible areas. And also, in conventional inspection process, some worker go into the tank and set up scaffolding after full drainage and decontamination. It spends too much time for these preparations. If tank inspection and flaw removal can be performed in underwater, the outage period will be reduced. Remote-controlled process can be performed in underwater. This is the great advantage for plant owners. Since 1999 we have been applying this inspection robot to operating nuclear 11 facilities in Japan. (authors)

  3. High throughput web inspection system using time-stretch real-time imaging

    Science.gov (United States)

    Kim, Chanju

    Photonic time-stretch is a novel technology that enables capturing of fast, rare and non-repetitive events. Therefore, it operates in real-time with ability to record over long period of time while having fine temporal resolution. The powerful property of photonic time-stretch has already been employed in various fields of application such as analog-to-digital conversion, spectroscopy, laser scanner and microscopy. Further expanding the scope, we fully exploit the time-stretch technology to demonstrate a high throughput web inspection system. Web inspection, namely surface inspection is a nondestructive evaluation method which is crucial for semiconductor wafer and thin film production. We successfully report a dark-field web inspection system with line scan speed of 90.9 MHz which is up to 1000 times faster than conventional inspection instruments. The manufacturing of high quality semiconductor wafer and thin film may directly benefit from this technology as it can easily locate defects with area of less than 10 microm x 10 microm where it allows maximum web flow speed of 1.8 km/s. The thesis provides an overview of our web inspection technique, followed by description of the photonic time-stretch technique which is the keystone in our system. A detailed explanation of each component is covered to provide quantitative understanding of the system. Finally, imaging results from a hard-disk sample and flexible films are presented along with performance analysis of the system. This project was the first application of time-stretch to industrial inspection, and was conducted under financial support and with close involvement by Hitachi, Ltd.

  4. Optical technologies for measurement and inspection

    International Nuclear Information System (INIS)

    Mader, D.L.

    1997-01-01

    Ontario Hydro has benefited from specialized optical measurement techniques such as FRILS (fret replica inspection laser system), which permits in-house inspections of pressure tube replicas and has been estimated to save $2M per year. This paper presents a brief overview of (1) FRILS, (2) OPIT (in-reactor Optical Profilometry Inspection Tool), (3) miniature optical probe for steam generator tubes, (4) laser vibrometer used for end-fitting vibration, and (5) computer vision to recognize the ends of fuel bundles and automatically measure their lengths. (author)

  5. Strategic planning of developing automatic optical inspection (AOI) technologies in Taiwan

    International Nuclear Information System (INIS)

    Fan, K C; Hsu, C

    2005-01-01

    In most domestic hi-tech industries in Taiwan, the automatic optical inspection (AOI) equipment is mostly imported. In view of the required specifications, AOI consists of the integration of mechanical-electrical-optical-information technologies. In the past two decades, traditional industries have lost their competitiveness due to the low profit rate. It is possible to promote a new AOI industry in Taiwan through the integration of its strong background in mechatronic technology in positioning stages with the optical image processing techniques. The market requirements are huge not only in domestic need but also in global need. This is the main reason to promote the AOI research for the coming years in Taiwan. Focused industrial applications will be in IC, PCB, LCD, communication, and MEMS parts. This paper will analyze the domestic and global AOI equipment market, summarize the necessary fish bone technology diagrams, survey the actual industrial needs, and propose the strategic plan to be promoted in Taiwan

  6. Strategic planning of developing automatic optical inspection (AOI) technologies in Taiwan

    Science.gov (United States)

    Fan, K. C.; Hsu, C.

    2005-01-01

    In most domestic hi-tech industries in Taiwan, the automatic optical inspection (AOI) equipment is mostly imported. In view of the required specifications, AOI consists of the integration of mechanical-electrical-optical-information technologies. In the past two decades, traditional industries have lost their competitiveness due to the low profit rate. It is possible to promote a new AOI industry in Taiwan through the integration of its strong background in mechatronic technology in positioning stages with the optical image processing techniques. The market requirements are huge not only in domestic need but also in global need. This is the main reason to promote the AOI research for the coming years in Taiwan. Focused industrial applications will be in IC, PCB, LCD, communication, and MEMS parts. This paper will analyze the domestic and global AOI equipment market, summarize the necessary fish bone technology diagrams, survey the actual industrial needs, and propose the strategic plan to be promoted in Taiwan.

  7. Development on multifunctional phased-array fault inspection technology. Aiming at integrity on internals in nuclear power plant reactors

    International Nuclear Information System (INIS)

    Komura, Ichiro; Hirasawa, Taiji; Nagai, Satoshi; Naruse, Katsuhiko

    2002-01-01

    On nuclear power plants sharing an important role in Japanese energy policy, their higher safety and reliability than the other plants are required, and their non-destructive inspection occupies important position for information means to judge their integrity. And, for a part of responses to recent rationalization of the plant operation and increase of aged plants, requirements and positioning onto the non-destructive inspection technology also change. As a result, not only concept on allowable fault sizes is adopted, but also inspection on reactor internals without conventional regulation is obliged to require for size evaluation (sizing) with higher precision to use for secure detection and integrity evaluation of the faults than sizes determined for every internals. For requirement with such higher levels for fault detection and sizing, and for requirement for effective inspection, phased-array supersonic wave fault inspection method is one of the methods with high potential power. Here were introduced on principles and characteristics of the phased-array supersonic wave fault inspection method, and on various fault inspection methods and functions mainly developed for reactor internals inspection. (G.K.)

  8. Hydro-Quebec inspection robot RIT-LRG

    International Nuclear Information System (INIS)

    Champagne, D.; Rinfret, F.; Bourgault, Y.G.

    2008-01-01

    Hydro Quebec's Research Centre (IREQ), has developed a variety of inspection tools over the years. The Metar bracelet for the feeder tubes, the REC robot for the heat exchanger and the RIT robot for the Delayed Neutron system just to name a few. This paper discusses with the successful deployment of the Camera Probe Positioning robot for Visual Inspection of the sample lines of the delayed neutron system of CANDU power plants. This RIT robot has three possible configurations (Face, Cabinet and LRG configurations) and has remained a prototype version although it has been used over the years in many outage inspection campaigns since 1997. The main advantages of using this robot are: the significant reduction in radiation exposure, the high quality of the data collected and the archiving of inspection data for further analysis and reports. In 2007, Gentilly-2 (G-2), decided to industrialize the LRG configuration of the RIT robot and to designate it the standard tool for the inspection of the Delayed Neutron System. An improved RIT-LRG robot, along with its control box and command station was developed. The software had to be rewritten requiring an ergonomics analysis of user tasks, work station and interface display. These issues included both physical and cognitive requirements aspects. The two principal topics of this paper will be on the Inspection Robot Technology developed and highlights of the 2008 outage inspection campaign. (author)

  9. Hydro-Quebec inspection robot RIT-LRG

    Energy Technology Data Exchange (ETDEWEB)

    Champagne, D., E-mail: champagne.dominique@ireq.ca [Inst. de recherche d' Hydro-Quebec, Quebec (Canada); Rinfret, F.; Bourgault, Y.G., E-mail: rinfret.francois@hydro.qc.ca, E-mail: bourgault.yves.g@hydro.qc.ca [Hydro-Quebec, Becancour, Quebec (Canada)

    2008-07-01

    Hydro Quebec's Research Centre (IREQ), has developed a variety of inspection tools over the years. The Metar bracelet for the feeder tubes, the REC robot for the heat exchanger and the RIT robot for the Delayed Neutron system just to name a few. This paper discusses with the successful deployment of the Camera Probe Positioning robot for Visual Inspection of the sample lines of the delayed neutron system of CANDU power plants. This RIT robot has three possible configurations (Face, Cabinet and LRG configurations) and has remained a prototype version although it has been used over the years in many outage inspection campaigns since 1997. The main advantages of using this robot are: the significant reduction in radiation exposure, the high quality of the data collected and the archiving of inspection data for further analysis and reports. In 2007, Gentilly-2 (G-2), decided to industrialize the LRG configuration of the RIT robot and to designate it the standard tool for the inspection of the Delayed Neutron System. An improved RIT-LRG robot, along with its control box and command station was developed. The software had to be rewritten requiring an ergonomics analysis of user tasks, work station and interface display. These issues included both physical and cognitive requirements aspects. The two principal topics of this paper will be on the Inspection Robot Technology developed and highlights of the 2008 outage inspection campaign. (author)

  10. DEVELOPMENT AND APPLICATION OF THE KEY TECHNOLOGIES FOR THE QUALITY CONTROL AND INSPECTION OF NATIONAL GEOGRAPHICAL CONDITIONS SURVEY PRODUCTS

    Directory of Open Access Journals (Sweden)

    Y. Zhao

    2018-04-01

    Full Text Available The First National Geographical Condition Survey is a predecessor task to dynamically master basic situations of the nature, ecology and human activities on the earth’s surface and it is the brand-new mapping geographic information engineering. In order to ensure comprehensive, real and accurate survey results and achieve the quality management target which the qualified rate is 100 % and the yield is more than 80 %, it is necessary to carry out the quality control and result inspection for national geographical conditions survey on a national scale. To ensure that achievement quality meets quality target requirements, this paper develops the key technology method of “five-in-one” quality control that is constituted by “quality control system of national geographical condition survey, quality inspection technology system, quality evaluation system, quality inspection information management system and national linked quality control institutions” by aiming at large scale, wide coverage range, more undertaking units, more management levels, technical updating, more production process and obvious regional differences in the national geographical condition survey and combining with novel achievement manifestation, complicated dependency, more special reference data, and large data size. This project fully considering the domestic and foreign related research results and production practice experience, combined with the technology development and the needs of the production, it stipulates the inspection methods and technical requirements of each stage in the quality inspection of the geographical condition survey results, and extends the traditional inspection and acceptance technology, and solves the key technologies that are badly needed in the first national geographic survey.

  11. Development and Application of the Key Technologies for the Quality Control and Inspection of National Geographical Conditions Survey Products

    Science.gov (United States)

    Zhao, Y.; Zhang, L.; Ma, W.; Zhang, P.; Zhao, T.

    2018-04-01

    The First National Geographical Condition Survey is a predecessor task to dynamically master basic situations of the nature, ecology and human activities on the earth's surface and it is the brand-new mapping geographic information engineering. In order to ensure comprehensive, real and accurate survey results and achieve the quality management target which the qualified rate is 100 % and the yield is more than 80 %, it is necessary to carry out the quality control and result inspection for national geographical conditions survey on a national scale. To ensure that achievement quality meets quality target requirements, this paper develops the key technology method of "five-in-one" quality control that is constituted by "quality control system of national geographical condition survey, quality inspection technology system, quality evaluation system, quality inspection information management system and national linked quality control institutions" by aiming at large scale, wide coverage range, more undertaking units, more management levels, technical updating, more production process and obvious regional differences in the national geographical condition survey and combining with novel achievement manifestation, complicated dependency, more special reference data, and large data size. This project fully considering the domestic and foreign related research results and production practice experience, combined with the technology development and the needs of the production, it stipulates the inspection methods and technical requirements of each stage in the quality inspection of the geographical condition survey results, and extends the traditional inspection and acceptance technology, and solves the key technologies that are badly needed in the first national geographic survey.

  12. Evaluation of the impacts of the use of X-Ray body inspection technology in the Brazilian prison system

    International Nuclear Information System (INIS)

    Nante, T.A.; Lima, C.M. Araujo de; Lima, A.R.

    2017-01-01

    The crisis of the Brazilian Penitentiary System is visible with overcrowding, the appearance and growth of criminal factions, the lack of infrastructure of prisons, etc. This scenario led to the adoption of new technologies, such as the body inspection by X-rays, known as Body scanners. The technology is capable of detecting various illicit objects hidden under clothes or even in the pockets of individuals searched, following the recommendation of the National Council of Criminal and Penitentiary Policy, allowing a more effective search and the extinction of the intimate searches. The objective of this study is to evaluate the social impacts of the use of body inspection technology in prison units, including aspects of radiological protection related to operation and maintenance

  13. Development of planar CT system for multi-layer PCB inspection

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Seung Ho; Youn, Hanbean; Kam, Soohwa; Park, Eunpyeong; Kim, Ho Kyung [Pusan National University, Busan (Korea, Republic of)

    2015-05-15

    X-ray defect inspection apparatus can be used in the production line to inspect the PCB. However, a simple X-ray radiography cannot discriminate defects from the multi-layer PCBs because the layers of them overlays the defects. To complement this issue, computed tomography (CT) technology is applied to the NDT system which can offer 3-dimensional information of object. However, CT requires hundreds of projection images to examine a single PCB, hence real-time inspection is nearly impossible. In this study, we develop a planar computed tomography (pCT) system appropriate for the multi-layer PCB inspection. For the image reconstruction of planar cross-section images, we use the digital tomosynthesis (DTS) concept in association with the limited angle scanning. and performance characterization of the pCT system for the PCB inspection. The 3-d Fourier characteristics and more quantitative performance, such as contrast, uniformity, depth resolution will be presented. The cross-sectional images of multi-layer PCBs will also be demonstrated.

  14. On-line monitoring and inservice inspection in codes; Betriebsueberwachung und wiederkehrende Pruefungen in den Regelwerken

    Energy Technology Data Exchange (ETDEWEB)

    Bartonicek, J.; Zaiss, W. [Gemeinschaftskernkraftwerk Neckar GmbH, Neckarwestheim (Germany); Bath, H.R. [Bundesamt fuer Strahlenschutz, Salzgitter (Germany). Geschaeftsstelle des Kerntechnischen Ausschusses (KTA)

    1999-08-01

    The relevant regulatory codes determine the ISI tasks and the time intervals for recurrent components testing for evaluation of operation-induced damaging or ageing in order to ensure component integrity on the basis of the last available quality data. In-service quality monitoring is carried out through on-line monitoring and recurrent testing. The requirements defined by the engineering codes elaborated by various institutions are comparable, with the KTA nuclear engineering and safety codes being the most complete provisions for quality evaluation and assurance after different, defined service periods. German conventional codes for assuring component integrity provide exclusively for recurrent inspection regimes (mainly pressure tests and optical testing). The requirements defined in the KTA codes however always demanded more specific inspections relying on recurrent testing as well as on-line monitoring. Foreign codes for ensuring component integrity concentrate on NDE tasks at regular time intervals, with time intervals scope of testing activities being defined on the basis of the ASME code, section XI. (orig./CB) [Deutsch] Fuer die Komponentenintegritaet sind die Schaedigungsmechanismen mit dem nach den Regelwerken einzuhaltenden Abstand abzusichern. Dabei ist die jeweils vorhandene (Ist-) Qualitaet als Ausgangspunkt entscheidend. Die Absicherung der vorhandenen Qualitaet im weiteren Betrieb erfolgt durch geeignete Betriebsueberwachung und wiederkehrende Pruefungen. Die Anforderungen der Regelwerke sind vergleichbar, wobei die Bestimmung der vorhandenen Qualitaet nach einer bestimmten Betriebszeit sowie deren Absicherung im weiteren Betrieb am vollstaendigsten auf Basis des KTA-Regelwerkes moeglich ist. Die Absicherung der Komponentenintegritaet im Betrieb beruht in deutschen konventionellen Regelwerken nur auf den wiederkehrenden Pruefungen (hauptsaechlich Druckpruefungen und Sichtpruefungen). Das KTA-Regelwerk forderte hier schon immer qualifizierte

  15. Method of analyzing the shipping inspection water in nuclear fuels

    International Nuclear Information System (INIS)

    Ishikawa, Tatsuo; Izumoji, Yoshiaki.

    1984-01-01

    Purpose: To automatically perform radioactive-corrosion-products removing operation and thereby automatic analysis for shipping inspection water. Method: An radioactive-corrosion-product removing device has a column filled with a chelate resin layer. Shipping-inspection water as a specimen is injected from a sample-water inlet to the column, passes through the chelate resin layer, issues through the sample-water exit and is then stored once in a sample collector. The shipping inspection water sampled in the sampling collector is sent to an inspection port in an on-line or off-line manner and gamma-ray determination is made by a gamma-ray spectrum analyzer. (Horiuchi, T.)

  16. Laser Shearography Inspection of TPS (Thermal Protection System) Cork on RSRM (Reusable Solid Rocket Motors)

    Science.gov (United States)

    Lingbloom, Mike; Plaia, Jim; Newman, John

    2006-01-01

    Laser Shearography is a viable inspection method for detection of de-bonds and voids within the external TPS (thermal protection system) on to the Space Shuttle RSRM (reusable solid rocket motors). Cork samples with thicknesses up to 1 inch were tested at the LTI (Laser Technology Incorporated) laboratory using vacuum-applied stress in a vacuum chamber. The testing proved that the technology could detect cork to steel un-bonds using vacuum stress techniques in the laboratory environment. The next logical step was to inspect the TPS on a RSRM. Although detailed post flight inspection has confirmed that ATK Thiokol's cork bonding technique provides a reliable cork to case bond, due to the Space Shuttle Columbia incident there is a great interest in verifying bond-lines on the external TPS. This interest provided and opportunity to inspect a RSRM motor with Laser Shearography. This paper will describe the laboratory testing and RSRM testing that has been performed to date. Descriptions of the test equipment setup and techniques for data collection and detailed results will be given. The data from the test show that Laser Shearography is an effective technology and readily adaptable to inspect a RSRM.

  17. Working group 8: inspection tools

    Energy Technology Data Exchange (ETDEWEB)

    Billey, Deb; Kania, Richard; Nickle, Randy; Wang, Rick; Westwood, Stephen

    2011-07-01

    This eighth working group of the Banff 2011 conference discussed the inspection tools and techniques used by the upstream and downstream pipeline industry to evaluate pipeline integrity. Special attention was given to the challenges and successes related to in-line inspection (ILI) technology. The background of current dent assessment criteria in B31.8 was presented, including dent definition for ILI vendors and pipeline operators as well as codes (CSA Z662 and B31.8). The workshop described examples of dents and assessments showing inconsistency with current criteria as set out by TCPL and Marathon. This workshop produced a single, industry-wide definition of the dent. It was found that the strain based criteria were more practical because depth based is conservative and may miss shallow occurrences. The creation of joint industry group was proposed to develop strain based criteria for incorporation into CSAZ662 and B31.8.

  18. The key network communication technology in large radiation image cooperative process system

    International Nuclear Information System (INIS)

    Li Zheng; Kang Kejun; Gao Wenhuan; Wang Jingjin

    1998-01-01

    Large container inspection system (LCIS) based on radiation imaging technology is a powerful tool for the customs to check the contents inside a large container without opening it. An image distributed network system is composed of operation manager station, image acquisition station, environment control station, inspection processing station, check-in station, check-out station, database station by using advanced network technology. Mass data, such as container image data, container general information, manifest scanning data, commands and status, must be on-line transferred between different stations. Advanced network communication technology is presented

  19. Massively parallel E-beam inspection: enabling next-generation patterned defect inspection for wafer and mask manufacturing

    Science.gov (United States)

    Malloy, Matt; Thiel, Brad; Bunday, Benjamin D.; Wurm, Stefan; Mukhtar, Maseeh; Quoi, Kathy; Kemen, Thomas; Zeidler, Dirk; Eberle, Anna Lena; Garbowski, Tomasz; Dellemann, Gregor; Peters, Jan Hendrik

    2015-03-01

    SEMATECH aims to identify and enable disruptive technologies to meet the ever-increasing demands of semiconductor high volume manufacturing (HVM). As such, a program was initiated in 2012 focused on high-speed e-beam defect inspection as a complement, and eventual successor, to bright field optical patterned defect inspection [1]. The primary goal is to enable a new technology to overcome the key gaps that are limiting modern day inspection in the fab; primarily, throughput and sensitivity to detect ultra-small critical defects. The program specifically targets revolutionary solutions based on massively parallel e-beam technologies, as opposed to incremental improvements to existing e-beam and optical inspection platforms. Wafer inspection is the primary target, but attention is also being paid to next generation mask inspection. During the first phase of the multi-year program multiple technologies were reviewed, a down-selection was made to the top candidates, and evaluations began on proof of concept systems. A champion technology has been selected and as of late 2014 the program has begun to move into the core technology maturation phase in order to enable eventual commercialization of an HVM system. Performance data from early proof of concept systems will be shown along with roadmaps to achieving HVM performance. SEMATECH's vision for moving from early-stage development to commercialization will be shown, including plans for development with industry leading technology providers.

  20. Methods of In-Process On-Machine Auto-Inspection of Dimensional Error and Auto-Compensation of Tool Wear for Precision Turning

    Directory of Open Access Journals (Sweden)

    Shih-Ming Wang

    2016-04-01

    Full Text Available The purpose of this study is mainly to develop an information and communication technology (ICT-based intelligent dimension inspection and tool wear compensation method for precision tuning. With the use of vibration signal processing/characteristics analysis technology combined with ICT, statistical analysis, and diagnosis algorithms, the method can be used to proceed with an on-line dimension inspection and on-machine tool wear auto-compensation for the turning process. Meanwhile, the method can also monitor critical tool life to identify the appropriate time for cutter replacement to reduce machining costs and improve the production efficiency of the turning process. Compared to the traditional ways, the method offers the advantages of requiring less manpower, and having better production efficiency, high tool life, fewer scrap parts, and low costs for inspection instruments. Algorithms and diagnosis threshold values for the detection, cutter wear compensation, and cutter life monitoring were developed. In addition, a bilateral communication module utilizing FANUC Open CNC (computer numerical control Application Programming Interface (API Spec was developed for the on-line extraction of instant NC (numerical control codes for monitoring and transmit commands to CNC controllers for cutter wear compensation. With use of local area networks (LAN to deliver the detection and correction information, the proposed method was able to remotely control the on-machine monitoring process and upload the machining and inspection data to a remote central platform for further production optimization. The verification experiments were conducted on a turning production line. The results showed that the system provided 93% correction for size inspection and 100% correction for cutter wear compensation.

  1. Shell Inspection History and Current CMM Inspection Efforts

    Energy Technology Data Exchange (ETDEWEB)

    Montano, Joshua Daniel [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-01-26

    The following report provides a review of past and current CMM Shell Inspection efforts. Calibration of the Sheffield rotary contour gauge has expired and the primary inspector, Matthew Naranjo, has retired. Efforts within the Inspection team are transitioning from maintaining and training new inspectors on Sheffield to off-the-shelf CMM technology. Although inspection of a shell has many requirements, the scope of the data presented in this report focuses on the inner contour, outer contour, radial wall thickness and mass comparisons.

  2. RBI - Risk Based Inspection: new technologies and methods applied to inspections of FPSO (Floating Production, Storage and Offloading Vessel) hull; IBR - Inspecao Baseada em Risco: novas tecnologias e metodos aplicados as inspecoes de casco de FPSOs

    Energy Technology Data Exchange (ETDEWEB)

    Farias, Bruno de; Figueiredo, Eduardo; Luiz, Marcio [PETROBRAS S.A., Rio de Janeiro, RJ (Brazil); Meurer, Gustavo; Duarte, Romulo; Oliveira, Thais; Krzonkalla, Viviane [ABS Consulting, Rio de Janeiro, RJ (Brazil)

    2008-07-01

    With the aging of the FPSO's, which are fundamental to the operation Offshore, better techniques and technologies must be applied to assess more accurately the actual efficiency and structural conditions of producing unit. With the emergence of new engineering techniques and equipment and methods of structural inspection, is now possible to use these new technologies to better manage risk and reliability of the structure of the FPSO, with that, the inspections and methods are more rational and efficient. The Risk-Based Inspection is the tool for monitoring operation of industrial plants with systemic use of technology in conjunction with risk analysis and reliability. His concept is applicable to various branches of industry. The companies began their implementation of oil by refineries. The group of ABS Consulting with PETROBRAS has been developing and implementing these new technologies in inspections of hulls of FPSO's. Applied successfully in the units of the Campos basin, these methods are used by the group of Risk-Based Inspection to improve the efficiency of all the steps involved with the structural integrity of the unit. (author)

  3. METHODOLOGY AND ALGORITHM OF OPTIMIZATION OF THE NEED OF SETTLEMENTS FOR TECHNICAL INSPECTION LINES FOR VEHICLES

    Directory of Open Access Journals (Sweden)

    Maslennikov Valeriy Aleksandrovich

    2016-06-01

    Full Text Available The current methods of predicting the demand of the community for the lines of technical inspection of vehicles do not fully take into account the probabilistic and statistical nature of the complaints of car owners. This results in significant mistakes in the determination of the number of such lines, accompanied by insufficient rhythm of their operation. The design errors related to the complexity of accurate account for calendar fluctuations of the number of appeals can be partially or completely eliminated by using mathematical apparatus of the queuing theory. In this case, the complex technical system is considered as an open multi-channel queuing system with limited queue length. The received flows and serviced requests are considered to be the simplest. From a practical point of view, the replacement of one type of computational model by the other allows ensuring a more sustainable mode of calculating operations using the computer. The paper also provides a calculation expression for defining the lower and upper confidence limits of the dispersion of the average values of the number of arrivals of vehicles at the technical inspection that allows setting the interval of uncertainty for searching the optimal solution.

  4. Nuclear Technology. Course 30: Mechanical Inspection. Module 30-6, Protective Coating Inspection.

    Science.gov (United States)

    Espy, John

    This sixth in a series of eight modules for a course titled Mechanical Inspection describes the duties of the nuclear quality assurance/quality control technician that are associated with protective coatings, and the national standards that govern the selection, application, and inspection of protective coatings for the reactor containment…

  5. Information-Driven Inspections

    International Nuclear Information System (INIS)

    Laughter, Mark D.; Whitaker, J. Michael; Lockwood, Dunbar

    2010-01-01

    New uranium enrichment capacity is being built worldwide in response to perceived shortfalls in future supply. To meet increasing safeguards responsibilities with limited resources, the nonproliferation community is exploring next-generation concepts to increase the effectiveness and efficiency of safeguards, such as advanced technologies to enable unattended monitoring of nuclear material. These include attribute measurement technologies, data authentication tools, and transmission and security methods. However, there are several conceptual issues with how such data would be used to improve the ability of a safeguards inspectorate such as the International Atomic Energy Agency (IAEA) to reach better safeguards conclusions regarding the activities of a State. The IAEA is pursuing the implementation of information-driven safeguards, whereby all available sources of information are used to make the application of safeguards more effective and efficient. Data from continuous, unattended monitoring systems can be used to optimize on-site inspection scheduling and activities at declared facilities, resulting in fewer, better inspections. Such information-driven inspections are the logical evolution of inspection planning - making use of all available information to enhance scheduled and randomized inspections. Data collection and analysis approaches for unattended monitoring systems can be designed to protect sensitive information while enabling information-driven inspections. A number of such inspections within a predetermined range could reduce inspection frequency while providing an equal or greater level of deterrence against illicit activity, all while meeting operator and technology holder requirements and reducing inspector and operator burden. Three options for using unattended monitoring data to determine an information-driven inspection schedule are to (1) send all unattended monitoring data off-site, which will require advances in data analysis techniques to

  6. FY 2000 research cooperation project on plastic processing technology/quality inspection technology

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    For the purpose of improving the production technology of plastic products in Saudi Arabia, the joint development was made of the formation technology/quality inspection technology of agricultural use and food packaging use polyolefin film optimum to environmental conditions of the site, in the light of the needs there, and the FY 2000 results were reported. In the field survey/joint study, for the xenon type weather resistant testing machine and the extruder of the inflation film forming machine which were transported from Japan, the following were carried out: confirmation of the situation of accepting them on the site, functional test of computer of the extruder, installation of the machine testing weather resistance, and the trial operation. In the domestic support study, the extrusion test at laboratory was conducted using the polyethylene resin produced on the site to acquire the basic data for formation stability. Further, the film formation test was made using the equipment with the same specifications as those of the equipment introduced to the site to study the performance of screw extrusion and the formation stability of film. Also conducted were the analytical test/quality evaluation of resin materials/film. (NEDO)

  7. Mechanical Implementation and Simulation of MoboLab, A Mobile Robot for Inspection of Power Transmission Lines

    Directory of Open Access Journals (Sweden)

    Mahmud Saadat Foumani

    2008-11-01

    Full Text Available This paper describes the first phase in development of a mobile robot that can navigate aerial power transmission lines completely unattended by human operator. Its ultimate purpose is to automate inspection of power transmission lines and their equipments. The authors have developed a scaled functional model of such a mobile robot with a preliminary simple computer based on-off controller. MoboLab (Mobile Laboratory navigates a power transmission line between two strain towers. It can maneuver over obstructions created by line equipments such as insulators, warning spheres, dampers, and spacer dampers. It can also easily negotiate the towers by its three flexible arms. MoboLab has an internal main screw which enables the robot to move itself or its two front and rear arms independently through changing gripped points. When the front arm gets close to an obstacle, the arm detaches from the line and goes down, the robot moves forward, the arm passes the obstacle and grippes the line again. In a same way another arms pass the obstacle.

  8. Goal line technology in soccer: Are referees ready for technology in ...

    African Journals Online (AJOL)

    When controversial decisions are made, the referee comes under extensive scrutiny by players, fans and spectators as well as commentators who have the tendency of scapegoating the referee. The purpose of the study was to examine the perceptions of soccer referees regarding the use of goal line technology in soccer.

  9. Promoting transparency: The Korean national inspection experience

    International Nuclear Information System (INIS)

    Kim, B.K.

    1999-01-01

    The Republic of Korea started the LAMA full-scope safeguards inspection with the TRIGA research reactor in 1976 when the nuclear industry was at its infancy. Over two decades of rapid economic growth was propelled by stable supply of electricity, substantially from nuclear energy. Today nearly half of the nations electricity comes from sixteen operating nuclear power plants (12 LWRs + 4 OLRs). Total number of facilities under IAEA inspection reaches 30 where the Agency conducts about 400 PDIs annually. Within the last decade, nuclear transparency in Korea has transformed into the international norm primarily from the needs of rapidly expanding domestic nuclear program. In addition, possibility of North/South mutual inspection helped initiate the national inspection regime in addition to the IAEA inspection. The Technology Center for Nuclear Control was established at KAERI in 1994 in order to maintain the nation's nuclear verification expertise in support of the Korean government. National inspections have been carried out simultaneously with the IAEA inspection since 1997 with trial facilities, and all domestic facilities are being inspected from this year. Necessary legal framework and working procedures were developed and field-tried for LWRs, OLRs, fuel fabrication plants and research reactor facilities. Although the inspection equipment and technology along with the safeguards criteria are quite similar to those of the Agency, it is essential to maintain the independent conclusion capabilities between IAEA and the national authority. Substantial improvements in the IAEA safeguards inspection goal attainments since 1997 are credited to the increasing safeguards awareness among operators and SSAC. Further work is necessary to develop the evaluation criteria based on the field inspection results to meet the national inspection goals. The Korean Government signed the Additional Protocol with IAEA on June, 1999 after much deliberation since it involves facilities

  10. Establishing a store baseline during interim storage of waste packages and a review of potential technologies for base-lining

    Energy Technology Data Exchange (ETDEWEB)

    McTeer, Jennifer; Morris, Jenny; Wickham, Stephen [Galson Sciences Ltd. Oakham, Rutland (United Kingdom); Bolton, Gary [National Nuclear Laboratory Risley, Warrington (United Kingdom); McKinney, James; Morris, Darrell [Nuclear Decommissioning Authority Moor Row, Cumbria (United Kingdom); Angus, Mike [National Nuclear Laboratory Risley, Warrington (United Kingdom); Cann, Gavin; Binks, Tracey [National Nuclear Laboratory Sellafield (United Kingdom)

    2013-07-01

    Interim storage is an essential component of the waste management lifecycle, providing a safe, secure environment for waste packages awaiting final disposal. In order to be able to monitor and detect change or degradation of the waste packages, storage building or equipment, it is necessary to know the original condition of these components (the 'waste storage system'). This paper presents an approach to establishing the baseline for a waste-storage system, and provides guidance on the selection and implementation of potential base-lining technologies. The approach is made up of two sections; assessment of base-lining needs and definition of base-lining approach. During the assessment of base-lining needs a review of available monitoring data and store/package records should be undertaken (if the store is operational). Evolutionary processes (affecting safety functions), and their corresponding indicators, that can be measured to provide a baseline for the waste-storage system should then be identified in order for the most suitable indicators to be selected for base-lining. In defining the approach, identification of opportunities to collect data and constraints is undertaken before selecting the techniques for base-lining and developing a base-lining plan. Base-lining data may be used to establish that the state of the packages is consistent with the waste acceptance criteria for the storage facility and to support the interpretation of monitoring and inspection data collected during store operations. Opportunities and constraints are identified for different store and package types. Technologies that could potentially be used to measure baseline indicators are also reviewed. (authors)

  11. DOUBLE-SHELL TANK WASTE TRANSFER LINE ENCASEMENT INTEGRITY ASSESSMENT TECHNOLOGY STUDY

    International Nuclear Information System (INIS)

    BOWER, R.R.

    2006-01-01

    The report provides various alternative methods of performing integrity assessment inspections of buried Hanford Double Shell Tank waste transfer line encasements, and provides method recommendations as an alternative to costly encasement pneumatic leak testing. A schedule for future encasement integrity assessments is also included

  12. A state indicator on regional scale for high-voltage power lines: Defining a priority for in situ inspections

    International Nuclear Information System (INIS)

    Comelli, M.; Colonna, N.; Martini, L.; Licitra, G.

    2009-01-01

    An integrated system to evaluate the magnetic field generated by power lines exposure has been developed using a specific simulation model (PLEIA-EMF). This is part of a software tool-set, subjected to internal suitability verifications and in-field validations. A state indicator related to each span has been determined using the data extracted from digital cartography, the magnetic field calculated by PLEIA and the number of people living in the nearest buildings. In this way, it is possible to determine eventual criticalities in the considered area, focusing attention on those cases with more considerable exposure levels and involving a higher number of people. A campaign of inspections has been planned using PLEIA simulations. The reliability of stored technical data and the real population exposure levels have been evaluated in critical cases, individuated through the following described methodology. The procedures leading to the indicator determination and the modalities of in situ inspections are here presented. (authors)

  13. Advances in Mammalian Cell Line Development Technologies for Recombinant Protein Production

    Directory of Open Access Journals (Sweden)

    Say Kong Ng

    2013-04-01

    Full Text Available From 2006 to 2011, an average of 15 novel recombinant protein therapeutics have been approved by US Food and Drug Administration (FDA annually. In addition, the expiration of blockbuster biologics has also spurred the emergence of biosimilars. The increasing numbers of innovator biologic products and biosimilars have thus fuelled the demand of production cell lines with high productivity. Currently, mammalian cell line development technologies used by most biopharmaceutical companies are based on either the methotrexate (MTX amplification technology or the glutamine synthetase (GS system. With both systems, the cell clones obtained are highly heterogeneous, as a result of random genome integration by the gene of interest and the gene amplification process. Consequently, large numbers of cell clones have to be screened to identify rare stable high producer cell clones. As such, the cell line development process typically requires 6 to 12 months and is a time, capital and labour intensive process. This article reviews established advances in protein expression and clone screening which are the core technologies in mammalian cell line development. Advancements in these component technologies are vital to improve the speed and efficiency of generating robust and highly productive cell line for large scale production of protein therapeutics.

  14. Study of data transmission over drive motor power cables of a remotely operated robot using power line communication technology

    International Nuclear Information System (INIS)

    Balaji, Kanchi; Singh, Ashutosh Pratap; Rakesh, V.; Rajagopalan, C.; Murugan, S.

    2016-01-01

    In nuclear power plants (NPPs), robotic devices are widely used for carrying out in-service inspection (lSI) and repair tasks at elevated temperatures and radiation environments. These devices comprises servo motors that are powered and controlled by the motion control unit/electric drives. The control and inspection data are to be transmitted back from the inspection device to the control unit using separate industrial graded data transmission cables compatible for high temperature applications. This increases complexity of robot trailing cables and cost. Hence, it is proposed to use power cables that are used for powering the motor for sending information signals using power line communication (PLC) technology. The domestically used PLC modems adds noise to the information signal when coupled on to the motor feed cables during IGBT switching. Therefore, passive low pass filters are used at the motor drive end to reduce the impulsive noise. The aim of this study is to compare noise characteristics for different type of filter structures over pulse width modulation (PWM) network. We will highlight the choice of cut off frequency of filter based on frequency of information signal

  15. Phased Array Ultrasonic Inspection of Titanium Forgings

    International Nuclear Information System (INIS)

    Howard, P.; Klaassen, R.; Kurkcu, N.; Barshinger, J.; Chalek, C.; Nieters, E.; Sun, Zongqi; Fromont, F. de

    2007-01-01

    Aerospace forging inspections typically use multiple, subsurface-focused sound beams in combination with digital C-scan image acquisition and display. Traditionally, forging inspections have been implemented using multiple single element, fixed focused transducers. Recent advances in phased array technology have made it possible to perform an equivalent inspection using a single phased array transducer. General Electric has developed a system to perform titanium forging inspection based on medical phased array technology and advanced image processing techniques. The components of that system and system performance for titanium inspection will be discussed

  16. INFIBRA: machine vision inspection of acrylic fiber production

    Science.gov (United States)

    Davies, Roger; Correia, Bento A. B.; Contreiras, Jose; Carvalho, Fernando D.

    1998-10-01

    This paper describes the implementation of INFIBRA, a machine vision system for the inspection of acrylic fiber production lines. The system was developed by INETI under a contract from Fisipe, Fibras Sinteticas de Portugal, S.A. At Fisipe there are ten production lines in continuous operation, each approximately 40 m in length. A team of operators used to perform periodic manual visual inspection of each line in conditions of high ambient temperature and humidity. It is not surprising that failures in the manual inspection process occurred with some frequency, with consequences that ranged from reduced fiber quality to production stoppages. The INFIBRA system architecture is a specialization of a generic, modular machine vision architecture based on a network of Personal Computers (PCs), each equipped with a low cost frame grabber. Each production line has a dedicated PC that performs automatic inspection, using specially designed metrology algorithms, via four video cameras located at key positions on the line. The cameras are mounted inside custom-built, hermetically sealed water-cooled housings to protect them from the unfriendly environment. The ten PCs, one for each production line, communicate with a central PC via a standard Ethernet connection. The operator controls all aspects of the inspection process, from configuration through to handling alarms, via a simple graphical interface on the central PC. At any time the operator can also view on the central PC's screen the live image from any one of the 40 cameras employed by the system.

  17. Technological progress, safety, and the guardian role of inspection (with Appendix: Antecedants of the Nuclear Installation Inspectorate)

    International Nuclear Information System (INIS)

    Critchley, O.H.

    1981-01-01

    Technological progress inevitably brings hazards which must be inspected to reduce the occurence of any attendant risks to a minimum. Although the responsibility for regulatory safety inspection in Britain is spread amongst a number of specialist inspectorates, it is arguably the most fully developed, efficient and satisfactorily operating regime of its kind, this being especially true for commercial nuclear installations. The latter aspect is a complex mix of engineering, legal and managerial practices which has been modelled on the developments in safety technology in atomic energy in the Manhattan Project and in the UKAEA, among others, and on to the present Nuclear Installations Inspectorate. (U.K.)

  18. Wafer plane inspection for advanced reticle defects

    Science.gov (United States)

    Nagpal, Rajesh; Ghadiali, Firoz; Kim, Jun; Huang, Tracy; Pang, Song

    2008-05-01

    Readiness of new mask defect inspection technology is one of the key enablers for insertion & transition of the next generation technology from development into production. High volume production in mask shops and wafer fabs demands a reticle inspection system with superior sensitivity complemented by a low false defect rate to ensure fast turnaround of reticle repair and defect disposition (W. Chou et al 2007). Wafer Plane Inspection (WPI) is a novel approach to mask defect inspection, complementing the high resolution inspection capabilities of the TeraScanHR defect inspection system. WPI is accomplished by using the high resolution mask images to construct a physical mask model (D. Pettibone et al 1999). This mask model is then used to create the mask image in the wafer aerial plane. A threshold model is applied to enhance the inspectability of printing defects. WPI can eliminate the mask restrictions imposed on OPC solutions by inspection tool limitations in the past. Historically, minimum image restrictions were required to avoid nuisance inspection stops and/or subsequent loss of sensitivity to defects. WPI has the potential to eliminate these limitations by moving the mask defect inspections to the wafer plane. This paper outlines Wafer Plane Inspection technology, and explores the application of this technology to advanced reticle inspection. A total of twelve representative critical layers were inspected using WPI die-to-die mode. The results from scanning these advanced reticles have shown that applying WPI with a pixel size of 90nm (WPI P90) captures all the defects of interest (DOI) with low false defect detection rates. In validating CD predictions, the delta CDs from WPI are compared against Aerial Imaging Measurement System (AIMS), where a good correlation is established between WPI and AIMSTM.

  19. Optical Inspection In Hostile Industrial Environments: Single-Sensor VS. Imaging Methods

    Science.gov (United States)

    Cielo, P.; Dufour, M.; Sokalski, A.

    1988-11-01

    On-line and unsupervised industrial inspection for quality control and process monitoring is increasingly required in the modern automated factory. Optical techniques are particularly well suited to industrial inspection in hostile environments because of their noncontact nature, fast response time and imaging capabilities. Optical sensors can be used for remote inspection of high temperature products or otherwise inaccessible parts, provided they are in a line-of-sight relation with the sensor. Moreover, optical sensors are much easier to adapt to a variety of part shapes, position or orientation and conveyor speeds as compared to contact-based sensors. This is an important requirement in a flexible automation environment. A number of choices are possible in the design of optical inspection systems. General-purpose two-dimensional (2-D) or three-dimensional (3-D) imaging techniques have advanced very rapidly in the last years thanks to a substantial research effort as well as to the availability of increasingly powerful and affordable hardware and software. Imaging can be realized using 2-D arrays or simpler one-dimensional (1-D) line-array detectors. Alternatively, dedicated single-spot sensors require a smaller amount of data processing and often lead to robust sensors which are particularly appropriate to on-line operation in hostile industrial environments. Many specialists now feel that dedicated sensors or clusters of sensors are often more effective for specific industrial automation and control tasks, at least in the short run. This paper will discuss optomechanical and electro-optical choices with reference to the design of a number of on-line inspection sensors which have been recently developed at our institute. Case studies will include real-time surface roughness evaluation on polymer cables extruded at high speed, surface characterization of hot-rolled or galvanized-steel sheets, temperature evaluation and pinhole detection in aluminum foil, multi

  20. Wavefront holoscopy: application of digital in-line holography for the inspection of engraved marks in progressive addition lenses.

    Science.gov (United States)

    Perucho, Beatriz; Micó, Vicente

    2014-01-01

    Progressive addition lenses (PALs) are engraved with permanent marks at standardized locations in order to guarantee correct centering and alignment throughout the manufacturing and mounting processes. Out of the production line, engraved marks provide useful information about the PAL as well as act as locator marks to re-ink again the removable marks. Even though those marks should be visible by simple visual inspection with the naked eye, engraving marks are often faint and weak, obscured by scratches, and partially occluded and difficult to recognize on tinted or antireflection-coated lenses. Here, we present an extremely simple optical device (named as wavefront holoscope) for visualization and characterization of permanent marks in PAL based on digital in-line holography. Essentially, a point source of coherent light illuminates the engraved mark placed just before a CCD camera that records a classical Gabor in-line hologram. The recorded hologram is then digitally processed to provide a set of high-contrast images of the engraved marks. Experimental results are presented showing the applicability of the proposed method as a new ophthalmic instrument for visualization and characterization of engraved marks in PALs.

  1. Evaluation of radiological safety in industrial gammagraphy services during the construction of Bolivia-Brazil gas transmission lines (GASBOL), by regulatory inspections from brazilian CNEN

    International Nuclear Information System (INIS)

    Aquino, Josilto O. de; Silva, Francisco Cesar A. da; Leocadio, Joao Carlos; Pinho, Adaugoberto S. de; Souza, Luiz Antonio C. de; Lourenco, Manoel J.; Nicola, Marcello S.; Melo, Ivan F.

    2001-01-01

    This paper presents a brief description of the Brazilian Regulatory Authority's (National Commission of Nuclear Energy - CNEN) action about safety control on industrial radioactive installations. It shows some specific radiation safety inspections that were done during the construction of the Bolivia-Brazil Gas Transmission Line (GASBOL). In this GASBOL work, it was used industrial radiography sources for weld quality control. During two years were done thirty regulatory safety inspections in these movable installations that use gamma radiography devices. As final result, it was noticed that the national system of inspection to control the safe use of radioactive sources in industrial activities is really efficient because none overexposure was detect and every CNEN's recommendations were applied by the operators. Some result about the gamma devices and violations are also showed. (author)

  2. New Method to Identify Field Joint Coating Failures Based on MFL In-Line Inspection Signals

    Directory of Open Access Journals (Sweden)

    Lianshuang Dai

    2018-02-01

    Full Text Available Above ground indirect detections and random excavations that have applied the past years for buried long distance oil and gas pipelines can only identify some damaged coating locations. Hence, large number of field joint coating (FJC failures happen unconsciously until they lead to failures of the pipelines. Based on the analysis of magnetic flux leakage (MFL in-line inspection (ILI signals, combined with the statistical results of 414 excavations from two different pipeline sections, a new method to identify the failed FJC is established. Though it can only identify FJC failures when there are signs of corrosion on pipe body, it is much more efficient and cost-saving. The concluded identification rule still needs more validations and improvements to be more applicable and accuracy.

  3. Automating data analysis during the inspection of boiler tubes using line scanning thermography

    Science.gov (United States)

    Ley, Obdulia; Momeni, Sepand; Ostroff, Jason; Godinez, Valery

    2012-05-01

    Failures in boiler waterwalls can occur when a relatively small amount of corrosion and loss of metal have been experienced. This study presents our efforts towards the application of Line Scanning Thermography (LST) for the analysis of thinning in boiler waterwall tubing. LST utilizes a line heat source to thermally excite the surface to be inspected and an infrared detector to record the transient surface temperature increase observed due to the presence of voids, thinning or other defects. In waterwall boiler tubes the defects that can be detected using LST correspond to corrosion pitting, hydrogen damage and wall thinning produced by inadequate burner heating or problems with the water chemistry. In this paper we discuss how the LST technique is implemented to determine thickness from the surface temperature data, and we describe our efforts towards developing a semiautomatic analysis tool to speed up the time between scanning, reporting and implementing repairs. We compare the density of data produced by the common techniques used to assess wall thickness and the data produced by LST.

  4. In-operation inspection technology development 'development of a rational maintenance management method for light-water reactor plant'

    International Nuclear Information System (INIS)

    Matsumoto, K.; Sanoh, J.; Uhara, Y.; Takeshima, K.; Tani, M.; O'Shima, E.

    2001-01-01

    In 1985, the Japanese national project named 'In-Operation Inspection Technology Development (IOI)' was initiated, as a part of the activities for advancing the LWR(light water reactor)technology in Japan. This project developed the techniques for in-operation monitoring and detecting of early anomalies of nuclear power equipment such as rotating machines, valves and piping. Further, the estimation systems for diagnosing and predicting a degradation rate of these items of equipment were constructed. Based on these results, a new maintenance management technology was constructed. This paper describes the outline of the new maintenance management concept. (authors)

  5. Risk informed in-service inspection and testing in Spain

    International Nuclear Information System (INIS)

    Bros, Juan; Marcelles, Ignacio

    2002-01-01

    The Spanish nuclear regulatory authority, the Consejo de Seguridad Nuclear (CSN), requires the use of codes and standards in force in the country of origin of the plant technology. For this reason, the in-service inspection and testing programs applied at Spanish nuclear power plants basically adhere to the requirements of the ASME XI and ASME OM Codes. It is not surprising that when the earliest developments aimed at drawing up risk informed inservice inspection and testing programs were initiated within the framework of ASME, the Spanish industry should follows such developments very closely. In fact, persons within the Spanish nuclear industry joined different ASME committees involved in the development and approval of the various code cases encompassing these developments. Developments specific to the Spanish nuclear power plants were initiated at a time when the aforementioned reference documentation was in a very advanced stage of development/approval. Two clearly differentiated lines of work got under way: On the one hand, and as regards risk informed in-service testing programs, the American standards were used as the sole reference. In the case of risk informed in-service inspection programs, the Spanish nuclear power plant-owning utilities and the Consejo de Seguridad Nuclear decided to draw up a Spanish guideline that, although using the ASME developments as a reference, would have its own specific characteristics. In relation to the above, and referring to the chronology of the events, the activities performed to date in Spain are described

  6. Magnetic imaging and machine vision NDT for the on-line inspection of stainless steel strips

    International Nuclear Information System (INIS)

    Ricci, M; Ficola, A; Fravolini, M L; Battaglini, L; Palazzi, A; Burrascano, P; Valigi, P; Appolloni, L; Cervo, S; Rocchi, C

    2013-01-01

    An on-line inspection system for stainless steel strips has been developed on an annealing and pickling line at the Acciai Speciali Terni S.p.A. steel mill. Besides a machine vision apparatus, the system contextually exploits a magnetic imaging system designed and realized for the specific application. The main goal of the research is represented by the fusion of the information provided by the two apparatuses that can improve the detection and classification tasks by enlarging the set of detectable defects. In this paper, the development, the calibration and the characteristics of the magnetic imaging apparatus are detailed and experimental results obtained both in laboratory and in situ are reported. A comparative analysis of the performances of the two devices is also reported based on preliminary results and some conclusions and perspectives are drawn. (paper)

  7. Cleareye In-Ground and In-Concrete DIV Inspections: FY11 Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Braatz, Brett G.; Tedeschi, Jonathan R.; Denslow, Kayte M.; Morra, Marino; Knopik, Clint D.; Severtsen, Ronald H.; Jones, Anthony M.; Lechelt, Wayne M.; McMakin, Douglas L.; Good, Morris S.; Sorensen, Jerry B.; Hall, Thomas E.

    2012-01-23

    This report summarizes the results of a series of feasibility testing studies for in-ground and in-concrete imaging/detection technologies including radar imaging and acoustic time-of flight method. The objectives of this project are: (1) Design Information Verification (DIV) Tools for In-Concrete Inspections - To determine the feasibility of using holographic radar imaging (HRI), radar imaging, and acoustic time-of-flight (TOF) non-destructive evaluation technologies to detect, locate and identify pipes and voids embedded in standard-density and high-density concrete walls that typify those the IAEA will need to verify during field inspections; (2) DIV Tools for In-Ground Inspections - To determine the feasibility of using HRI and radar imaging non-destructive evaluation technologies to detect, locate, and identify objects buried at various depths made of various materials (metal, plastic, wood, and concrete) and representing geometries that typify those the IAEA will need to verify during field inspections; and (3) Based on the results of the studies, recommend the next steps needed to realize fieldable tools for in-concrete and in-ground inspections (including detection of deeply buried polyvinyl chloride [PVC] pipes) that employ the technologies shown to be feasible.

  8. Free span burial inspection pig. Phase B

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2002-04-01

    This report deals with design and construction of a pipeline pig for on-line internal inspection of offshore trenched gas pipelines for pipeline burial, free spans, exposures and loss of concrete weight coating. The measuring principle uses detection of the natural gamma radiation emitted by sea bed formations and the concrete coating of the pipe to map pipeline condition. The gamma ray flux penetrating to the internal side of the pipeline is an effect of the outside conditions. The measuring principle was confirmed in a occasionally present in the gas, blurred seriously sensor signals of the previous instrumentation. The continued project activities have been divided in two phases. Phase A comprised design and construction of a detector system, which could identify and quantify radioactive components from decay of radon-222. During Phase A a new gamma detector was tested in full scale exposed to radon-222. New data analysis procedures for the correction for the influence of radon-222 inside the pipeline, where developed and its utility successfully demonstrated. During Phase B the new detector was mounted in a pipeline pig constructed for inspection of 30-inch gas pipelines. Working conditions were demonstrated in three runs through the southern route of the DONG owned 30-inch gas pipelines crossing the Danish strait named the Great Belt. The FSB-technology found 88% of the free spans identified with the latest acoustic survey. The FSB-technology found in addition 22 free spans that were termed ''invisible'', because they were not identified by the most recent acoustic survey. It is believed that ''invisible free spans'' are either real free spans or locations, where the pipeline has no or very little support from deposits in the pipeline trench. The FSB-survey confirmed all exposed sections longer than 20 metres found by the acoustic survey in the first 21 kilometre of the pipeline. However, the FSB-survey underestimated

  9. Comparison of Current On-line Payment Technologies

    OpenAIRE

    Mandadi, Ravi

    2006-01-01

    The purpose of this thesis work was to make a survey of current on-line payment technologies and find out which are they and how do they work? Compare and analyze them from a security point of view, as well as a usability point of view. What is good? What is bad? What is lacking? To achieve this purpose, an overview of the current on-line payment technologies was acquired through academic books and papers, Internet sites, magazines. Basic cryptographic and security related techniques were stu...

  10. CAT -- computer aided testing for resonant inspection

    International Nuclear Information System (INIS)

    Foley, David K.

    1998-01-01

    Application of computer technology relates to inspection and quality control. The computer aided testing (CAT) can be used to analyze various NDT technologies, such as eddy current, ultrasonics, and resonant inspection

  11. In-Operation Inspection Technology development. Development of the degradation prediction technique

    International Nuclear Information System (INIS)

    Nakamuta, Yasushi; Miyoshi, Toshiaki; O'shima, Eiji

    1999-01-01

    As In-Operation Inspection Technology (IOI) , we selected primary loop recirculation (PLR) pump, sea water pump, small diameter pipe branch in the steam generator (SG) room and motor driven valve for the typical component of the nuclear power plant, and we are developing the technology which can forecast the residual life of parts in the plan until FY2000. With respect to PLR pump and sea water pump, technical procedure for predicting the propagation of bearing wear, under the combined effect of several degradation conditions of each pump during the plant operation are under development. With respect to pipe branch, we are developing the non-contact laser sensors, and we are constructing the system which forecasts high cycle fatigue in the root of pipe branch by monitoring the vibration of pipe branch. With respect to motor driven valve, technical procedure for predicting the thermal degradation of gaskets and gland packing, technical procedure for predicting the stem nut wear and wear of hunging portion of valve disc, and technical procedure for detecting the degradation of driving parts, without disassembling the motor driven valve, are under development. (author)

  12. In-service inspection methods for graphite-epoxy structures on commercial transport aircraft

    Science.gov (United States)

    Phelps, M. L.

    1981-01-01

    In-service inspection methods for graphite-epoxy composite structures on commercial transport aircraft are determined. Graphite/epoxy structures, service incurred defects, current inspection practices and concerns of the airline and manufacturers, and other related information were determind by survey. Based on this information, applicable inspection nondestructive inspection methods are evaluated and inspection techniques determined. Technology is developed primarily in eddy current inspection.

  13. Magnetic rubber inspection (MRI)

    International Nuclear Information System (INIS)

    Carro, L.

    1997-01-01

    Magnetic Rubber Inspection (MRI) was developed to inspect for small cracks and flaws encountered in high performance aircraft. A formula of very fine magnetic particles immersed in a room temperature curing rubber is catalysed and poured into dams (retainers) on the surface of the part to be inspected. Inducing a magnetic field then causes the particles to be drawn to discontinuities in the component under test. These indicating particles are held to the discontinuity by magnetic attraction, as the rubber cures. The solid rubber cast (Replica) is then removed and examined under a microscope for indicating lines of particle concentrations. 3 refs., 6 figs

  14. Study on Classification Accuracy Inspection of Land Cover Data Aided by Automatic Image Change Detection Technology

    Science.gov (United States)

    Xie, W.-J.; Zhang, L.; Chen, H.-P.; Zhou, J.; Mao, W.-J.

    2018-04-01

    The purpose of carrying out national geographic conditions monitoring is to obtain information of surface changes caused by human social and economic activities, so that the geographic information can be used to offer better services for the government, enterprise and public. Land cover data contains detailed geographic conditions information, thus has been listed as one of the important achievements in the national geographic conditions monitoring project. At present, the main issue of the production of the land cover data is about how to improve the classification accuracy. For the land cover data quality inspection and acceptance, classification accuracy is also an important check point. So far, the classification accuracy inspection is mainly based on human-computer interaction or manual inspection in the project, which are time consuming and laborious. By harnessing the automatic high-resolution remote sensing image change detection technology based on the ERDAS IMAGINE platform, this paper carried out the classification accuracy inspection test of land cover data in the project, and presented a corresponding technical route, which includes data pre-processing, change detection, result output and information extraction. The result of the quality inspection test shows the effectiveness of the technical route, which can meet the inspection needs for the two typical errors, that is, missing and incorrect update error, and effectively reduces the work intensity of human-computer interaction inspection for quality inspectors, and also provides a technical reference for the data production and quality control of the land cover data.

  15. Long-Range Piping Inspection by Ultrasonic Guided Waves

    International Nuclear Information System (INIS)

    Joo, Young Sang; Lim, Sa Hoe; Eom, Heung Seop; Kim, Jae Hee

    2005-01-01

    The ultrasonic guided waves are very promising for the long-range inspection of large structures because they can propagate a long distance along the structures such as plates, shells and pipes. The guided wave inspection could be utilized for an on-line monitoring technique when the transmitting and receiving transducers are positioned at a remote point on the structure. The received signal has the information about the integrity of the monitoring area between the transmitting and receiving transducers. On-line monitoring of a pipe line using an ultrasonic guided wave can detect flaws such as corrosion, erosion and fatigue cracking at an early stage and collect useful information on the flaws. However the guided wave inspection is complicated by the dispersive characteristics for guided waves. The phase and group velocities are a function of the frequency-thickness product. Therefore, the different frequency components of the guided waves will travel at different speeds and the shape of the received signal will changed as it propagates along the pipe. In this study, we analyze the propagation characteristics of guided wave modes in a small diameter pipe of nuclear power plant and select the suitable mode for a long-range inspection. And experiments will be carried out for the practical application of a long-range inspection in a 26m long pipe by using a high-power ultrasonic inspection system

  16. Turbine rotors inspection problems associated with independent inspection

    International Nuclear Information System (INIS)

    Delgado, J.O.; Martinez, C.A.

    1990-01-01

    As is well know, the serious problems affecting turbine-generator set rotors and moving parts, such as stress-corrosion cracking and/or the phenomena of creep/fatigue, have led the companies possessing these components to make considerable investments in terms of economy and human resources with a view to finding means for control and solutions. The fact that certain countries, such as Spain, have a limited number of fossil-fuelled and/or nuclear plants, with different suppliers and designs, means that the control and solving of such problems necessarily imply significant technological dependence and, consequently, that the resources used imply high associated costs that is most cases have to be paid in overseas currencies. This fact led several Spanish plant owners to propose that Tecnatom carry out an R ampersand D project aimed at developing and integral turbine rotor inspection and evaluation system designed to reduce technological dependence in this area through the application of in-house technology. This project identified as PC-850059 has been subsidized by the Spanish authorities through The Interministerial Commission of Science ampersand Technology. This article describes the developments achieved and the difficulties inherent to independent turbine rotor inspection and evaluation

  17. AECL experience in fuel channel inspection

    Energy Technology Data Exchange (ETDEWEB)

    Van Drunen, G. [Atomic Energy of Canada Limited, Chalk River, Ontario (Canada); Gunn, R. [Atomic Energy of Canada Limited, Mississauga, Ontario (Canada); Mayo, W.R. [Atomic Energy of Canada Limited, Chalk River, Ontario (Canada); Scott, D.A. [Atomic Energy of Canada Limited, Mississauga, Ontario (Canada)

    1999-06-01

    Inspection of CANDU fuel channels (FC) is performed to ensure safe and economic reactor operation. CANDU reactor FCs have features that make them a unique non-destructive testing (NDT) challenge. The thin, 4 mm pressure-tube wall means flaws down to about 0.1 mm deep must be reliably detected and characterized. This is one to two orders of magnitude smaller than is usually considered of significant concern for steel piping and pressure vessels. A second unique feature is that inspection sensors must operate in the reactor core--often within 20 cm of highly radioactive fuel. Work on inspection of CANDU reactor FCs at AECL dates back over three decades. In that time, AECL staff have provided equipment and conducted or supervised in-service inspections in about 250 FCs, in addition to over 8000 pre-service FCs. These inspections took place at every existing CANDU reactor except those in India and Romania. Early FC inspections focussed on measurement of changes in dimensions (gauging) resulting from exposure to a combination of neutrons, stress and elevated temperature. Expansion of inspection activities to include volumetric inspection (for flaws) started in the mid-1970s with the discovery of delayed hydride cracking in Pickering 3 and 4 rolled joints. Recognition of other types of flaw mechanisms in the 1980s led to further expansion in both pre-service and in-service inspections. These growing requirements, to meet regulatory as well as economic needs, led to the development of a wide spectrum of inspection technology that now includes tests for hydrogen concentration, structural integrity of core components, flaws, and dimensional change. This paper reviews current CANDU reactor FC inspection requirements. The equipment and techniques developed to satisfy these requirements are also described. The paper concludes with a discussion of work in progress in AECL aimed at providing state-of-the-art FC inspection services. (author)

  18. Performance-scalable volumetric data classification for online industrial inspection

    Science.gov (United States)

    Abraham, Aby J.; Sadki, Mustapha; Lea, R. M.

    2002-03-01

    Non-intrusive inspection and non-destructive testing of manufactured objects with complex internal structures typically requires the enhancement, analysis and visualization of high-resolution volumetric data. Given the increasing availability of fast 3D scanning technology (e.g. cone-beam CT), enabling on-line detection and accurate discrimination of components or sub-structures, the inherent complexity of classification algorithms inevitably leads to throughput bottlenecks. Indeed, whereas typical inspection throughput requirements range from 1 to 1000 volumes per hour, depending on density and resolution, current computational capability is one to two orders-of-magnitude less. Accordingly, speeding up classification algorithms requires both reduction of algorithm complexity and acceleration of computer performance. A shape-based classification algorithm, offering algorithm complexity reduction, by using ellipses as generic descriptors of solids-of-revolution, and supporting performance-scalability, by exploiting the inherent parallelism of volumetric data, is presented. A two-stage variant of the classical Hough transform is used for ellipse detection and correlation of the detected ellipses facilitates position-, scale- and orientation-invariant component classification. Performance-scalability is achieved cost-effectively by accelerating a PC host with one or more COTS (Commercial-Off-The-Shelf) PCI multiprocessor cards. Experimental results are reported to demonstrate the feasibility and cost-effectiveness of the data-parallel classification algorithm for on-line industrial inspection applications.

  19. Straight-Line: A nuclear material storage information management system

    International Nuclear Information System (INIS)

    Nilsen, C.; Mangan, D.

    1995-01-01

    Sandia National Laboratories is developing Straight-Line -- a pilot system to demonstrate comprehensive monitoring of nuclear material in storage. Straight-Line is an integrated system of sensors providing information that will enhance the safety, security, and international accountability of stored nuclear material. The goals of this effort are to: (1) Provide the right sensor information to the right user immediately. (2) Reduce the expenses, risks, and frequency of human inspection of the material. (3) Provide trustworthy data to international inspectors to minimize their need to make on site inspections. In pursuit of these goals, Straight-Line unites technology from Sandia's Authenticated Item Monitoring System (AIMS) and other programs to communicate the authenticated status of the monitored item back to central magazine receivers. Straight-Line, however, incorporates several important features not found in previous systems: (1) Information Security -- the ability to collect and safely disseminate both classified and unclassified sensor data to users on a need-to-know basis. (2) Integrate into a single system the monitoring needs of safety, security, and international accountability. (3) Incorporate the use of sensors providing analog or digital output. This paper will present the overall architecture and status of the Straight-Line project

  20. Straight-Line: A nuclear material storage information management system

    Energy Technology Data Exchange (ETDEWEB)

    Nilsen, C.; Mangan, D.

    1995-07-01

    Sandia National Laboratories is developing Straight-Line -- a pilot system to demonstrate comprehensive monitoring of nuclear material in storage. Straight-Line is an integrated system of sensors providing information that will enhance the safety, security, and international accountability of stored nuclear material. The goals of this effort are to: (1) Provide the right sensor information to the right user immediately. (2) Reduce the expenses, risks, and frequency of human inspection of the material. (3) Provide trustworthy data to international inspectors to minimize their need to make on site inspections. In pursuit of these goals, Straight-Line unites technology from Sandia`s Authenticated Item Monitoring System (AIMS) and other programs to communicate the authenticated status of the monitored item back to central magazine receivers. Straight-Line, however, incorporates several important features not found in previous systems: (1) Information Security -- the ability to collect and safely disseminate both classified and unclassified sensor data to users on a need-to-know basis. (2) Integrate into a single system the monitoring needs of safety, security, and international accountability. (3) Incorporate the use of sensors providing analog or digital output. This paper will present the overall architecture and status of the Straight-Line project.

  1. Development of inspection robots for bridge cables.

    Science.gov (United States)

    Yun, Hae-Bum; Kim, Se-Hoon; Wu, Liuliu; Lee, Jong-Jae

    2013-01-01

    This paper presents the bridge cable inspection robot developed in Korea. Two types of the cable inspection robots were developed for cable-suspension bridges and cable-stayed bridge. The design of the robot system and performance of the NDT techniques associated with the cable inspection robot are discussed. A review on recent advances in emerging robot-based inspection technologies for bridge cables and current bridge cable inspection methods is also presented.

  2. Intelligent control and automation technology for nuclear application

    International Nuclear Information System (INIS)

    Kim, Jae Hee; Eom, Heung Sub; Kim, Ko Ryu; Lee, Jae Cheol; Choi, You Rak; Lee, Soo Cheol

    1996-06-01

    Using recent technologies on a mobile robot and computer science, we developed an automatic inspection system for weld lines of the reactor pressure vessel. The ultrasonic inspection of the reactor pressure vessel is currently performed by commercialized robot manipulators. Since, however, the conventional fixed type robot manipulator is very huge, heavy and expensive, it needs long inspection time and is hard to handle and maintain. In order to resolve these problems, we developed a new inspection automation system using a small mobile robot crawling on the vertical wall. According to the conceptual design studied in the first year, we developed the inspection automation system including an underwater inspection robot, a laser position control subsystem and a main control subsystem. And we carried out underwater experiments on the reactor vessel mockup. After finishing this project successfully, we have a plan to commercialize our inspection system. Using this system, we can expect much reduction of the inspection time, performance enhancement, automatic management of inspection history, etc. In the economic point of view, we can also expect import substitution more than 5 million dollars. The established essential technologies for intelligent control and automation are expected to be synthetically applied to the automation of similar systems in nuclear power plants. 4 tabs., 37 figs., 6 refs. (Author)

  3. Autonomous Inspection Robot for Power Transmission Lines Maintenance While Operating on the Overhead Ground Wires

    Directory of Open Access Journals (Sweden)

    Zheng Li

    2010-12-01

    Full Text Available This paper describes the development of a mobile robot capable of clearing such obstacles as counterweights, anchor clamps, and torsion tower. The mobile robot walks on overhead ground wires in 500KV power tower. Its ultimate purpose is to automate to inspect the defect of power transmission line. The robot with 13 motors is composed of two arms, two wheels, two claws, two wrists, etc. Each arm has 4 degree of freedom. Claws are also mounted on the arms. An embedded computer based on PC/104 is chosen as the core of control system. Visible light and thermal infrared cameras are installed to obtain the video and temperature information, and the communication system is based on wireless LAN TCP/IP protocol. A prototype robot was developed with careful considerations of mobility. The new sensor configuration is used for the claw to grasp the overhead ground wires. The bridge is installed in the torsion tower for the robot easy to cross obstacles. The new posture plan is proposed for obstacles cleaning in the torsion tower. Results of experiments demonstrate that the robot can be applied to execute the navigation and inspection tasks.

  4. Autonomous Inspection Robot for Power Transmission Lines Maintenance While Operating on the Overhead Ground Wires

    Directory of Open Access Journals (Sweden)

    Zheng Li

    2011-01-01

    Full Text Available This paper describes the development of a mobile robot capable of clearing such obstacles as counterweights, anchor clamps, and torsion tower. The mobile robot walks on overhead ground wires in 500KV power tower. Its ultimate purpose is to automate to inspect the defect of power transmission line. The robot with 13 motors is composed of two arms, two wheels, two claws, two wrists, etc. Each arm has 4 degree of freedom. Claws are also mounted on the arms. An embedded computer based on PC/104 is chosen as the core of control system. Visible light and thermal infrared cameras are installed to obtain the video and temperature information, and the communication system is based on wireless LAN TCP/IP protocol. A prototype robot was developed with careful considerations of mobility. The new sensor configuration is used for the claw to grasp the overhead ground wires. The bridge is installed in the torsion tower for the robot easy to cross obstacles. The new posture plan is proposed for obstacles cleaning in the torsion tower. Results of experiments demonstrate that the robot can be applied to execute the navigation and inspection tasks.

  5. Study on in-service inspection methods for the above-ground oil tanks floors

    Energy Technology Data Exchange (ETDEWEB)

    Min Xiong; Yewei Kang; Mingchun, Lin; Yi Sun [PetroChina Pipeline R and D Center, Langfang (China)

    2009-07-01

    It is very dangerous to the environment when oil tank floors get corrosion or leak during its long-time service. The traditional inspection methods need to shut down a tank and to empty it, then to clean it in order to inspect the floor. Comparing with the traditional methods, the in-service methods can inspect tank floors rapidly without removing product and opening the tank and can save many costs of tank emptying and cleaning. This paper explores three up-to date in-service inspection methods for the oil tank floors which are acoustic emission technology ultrasonic guided wave technology and mobile robot technology. The theoretic foundation and application status of each method is described. The advantage and disadvantage of each in-service detection technology is concluded. At last some proposals are made. (author)

  6. Application of polarization in high speed, high contrast inspection

    Science.gov (United States)

    Novak, Matthew J.

    2017-08-01

    Industrial optical inspection often requires high speed and high throughput of materials. Engineers use a variety of techniques to handle these inspection needs. Some examples include line scan cameras, high speed multi-spectral and laser-based systems. High-volume manufacturing presents different challenges for inspection engineers. For example, manufacturers produce some components in quantities of millions per month, per week or even per day. Quality control of so many parts requires creativity to achieve the measurement needs. At times, traditional vision systems lack the contrast to provide the data required. In this paper, we show how dynamic polarization imaging captures high contrast images. These images are useful for engineers to perform inspection tasks in some cases where optical contrast is low. We will cover basic theory of polarization. We show how to exploit polarization as a contrast enhancement technique. We also show results of modeling for a polarization inspection application. Specifically, we explore polarization techniques for inspection of adhesives on glass.

  7. Technology of Inspection and Real-time Displacement Monitoring on Critical Pipe for Power Plant

    Energy Technology Data Exchange (ETDEWEB)

    Hyun, Jung Seob; Heo, Jae Sil [Korea Electric Power Research Institute, Daejeon (Korea, Republic of); Cho, Sun Young [KLES, Daejeon (Korea, Republic of); Heo, Jeong Yeol; Lee, Seong Kee [Korea South-East Power Co., Seoul (Korea, Republic of)

    2009-10-15

    High temperature steam pipes of thermal power plant are subject to a severe thermal range and usually operates well into the creep range. Cyclic operation of the plant subjects the piping system to mechanical and thermal fatigue damages. Also, poor or malfunctional supports can impose massive loads or stress onto the piping system. In order to prevent the serious damage and failure of the critical piping system, various inspection methods such as visual inspection, computational analysis and on-line piping displacement monitoring were developed. 3-dimensional piping displacement monitoring system was developed with using the aluminum alloy rod and rotary encoder sensors, this system was installed and operated on the high temperature steam piping of 'Y' thermal power plant successfully. It is expected that this study will contribute to the safety of piping system, which could minimize stress and extend the actual life of critical piping.

  8. Technologies in support of CANDU development

    International Nuclear Information System (INIS)

    Turner, C.; Tapping, B.

    2005-01-01

    Atomic Energy of Canada, Ltd. (AECL) has significant research and development (R and D) programs designed to meet the needs of both existing CANDU reactors and new and evolving CANDU plant designs. These R and D programs cover a wide range of technology, from chemistry and materials support through to inspection and life management tools. Emphasis is placed on effective technology development programs for fuel channels, feeders and steam generators to ensure their operation through design life, and beyond. This paper specifically addresses how the R and D has been applied in the production of longer-lived pressure tubes for the most recent CANDU 6 reactors, and how this technology forms the basis for the pressure tubes of the Advanced CANDU Reactor (ACR). Similarly, AECL has developed solutions for other critical components such as calandria tubes, feeder pipe and steam generators. The paper also discusses how the R and D knowledge has been integrated into aging management databases and health monitoring tools. Since 1997, AECL has been working with CANDU utilities on comprehensive and integrated CANDU Plant Life Management (PLiM) programs for successful and reliable plant operation through design life and beyond. AECL has developed and implemented an advanced chemistry monitoring and diagnostic system, called ChemAND which allows on-line access by the operators to current and past chemistry conditions enabling appropriate responses and facilitating planning of shutdown maintenance actions. An equivalent tool for monitoring, trending and diagnosing thermal and mechanical data has also been developed; this tool is called ThermAND. AECL is developing the Maintenance Information, Monitoring, and Control (MIMC) system, which provide information to the user for condition-based decision-making in maintenance. To enable more effective inspections, surveillance and data collection, AECL has developed unique one-off tooling to carry out unanticipated inspection and repair

  9. Experience on inspection at PFPF

    International Nuclear Information System (INIS)

    Aoki, I.; Yamamoto, Y.; Takahashi, Saburo; Ooshima, Hirofumi; Kuniyasu, Kazufusa.

    1993-01-01

    In order to reduce a personal radiation exposure, Plutonium Fuel Production Facility (PFPF) introduced an automated MOX fabrication technology. Safeguards system for the PFPF was designed and installed so as to be compatible with automated process operation as much as possible. Introduction of these system in PFPF made possible to do the inspection measurements with unattended mode and Near Real Time Material Accountancy (NRTA), consequently inspection has been carrying out effectively and efficiently. This paper describes the new Inspection activities as a comparison with old Inspection activities based on our experience. (author)

  10. Development of Inspection Robots for Bridge Cables

    Directory of Open Access Journals (Sweden)

    Hae-Bum Yun

    2013-01-01

    Full Text Available This paper presents the bridge cable inspection robot developed in Korea. Two types of the cable inspection robots were developed for cable-suspension bridges and cable-stayed bridge. The design of the robot system and performance of the NDT techniques associated with the cable inspection robot are discussed. A review on recent advances in emerging robot-based inspection technologies for bridge cables and current bridge cable inspection methods is also presented.

  11. The Status and Inspection of Bottom Mounted Instrumentation Nozzle in Korea

    International Nuclear Information System (INIS)

    Doh, Euisoon; Kim, Yoonwon; Kim, Jaeyoon; Lee, Tacksu; Lee, Changhun

    2012-01-01

    The PWSCC Cracking of Alloy 600 material has been issued since CRDM Penetration cracking of Bugey in France in 1990's. And J-groove weld cracking of CRDM at Oconee and PCR Nozzle cracking at Wolf Creek in USA were raising concern of the integrity for Dissimilar Metal Weld of Alloy 600. BMI(Bottom Mounted Instrumentation) Nozzle cracks were found at Takahama unit 1 in Japan and South Texas Project unit 1 in USA in 2003. And recent cracks of Reactor Head Vent line at Yonggwang unit 3 in Korea are enough to cause worry about the integrity for BMI Nozzles in Korea. BMI inspections of Westinghouse type plant were performed by KPS for Kori unit 1 in 2006, Ulchin unit 2 in 2007, and Kori unit 3 in 2008. The first inspection of OCR-1000 plant was carried out on May 2011 at Yonggwang unit 3. KPS developed the inspection technique of OCR-1000 plant for End Effector Module and controller, a quarterly actual sized Bottom head Mock up, Inspection probes meeting the regulatory guide lines and typical configuration of OCR-1000 plant. Two specimens with actual PWSCC cracks were used to demonstrate the Inspection technique of Detection and Sizing. and the quarterly actual sized Bottom head Mock up was very meaningful to check the Interference during the inspection by narrow gap between newly developments led to a successful inspection of the BMI Inspection. And the inspection was concurrently performed with 10 year Reactor Vessel ICI without hurting any critical path of the outage. This BMI inspection is contributing to keep Operational Safety of plants by prevention of Leakage at BMI nozzle and weld. And performing 10 Year ISI for BMI nozzle is very effective to prevent BMI nozzle Break by detecting PWSCC Initiation per PFM Sensitivity study

  12. Development of a remote inspection system for NSSS components

    International Nuclear Information System (INIS)

    Choi, Yoo Rark; Kim, Jae Hee; Lee, Jae Cheol

    2004-03-01

    Different operating systems of computerized inspection equipment cause serious problems in graphic user interface between control computers of inspection equipment and remote user computers. Management cost of interface version is very expensive even if the system has been developed. A solution for the interface problems in accessing the remote inspection system is web-based technology. But time-delay problem of web and java, a compiled type S/W that cooperates with web in control computers of inspection equipment is necessary to solve it. This report describes solutions for developing a remote inspection system based on web and java technology

  13. In-service inspection of nuclear power-plant pressure components

    International Nuclear Information System (INIS)

    Lautzenheiser, C.E.

    1976-01-01

    The early light-water-reactor systems for production of commercial power were designed and fabricated in accordance with the codes then being used for fossil-fired power-generating stations with some design changes for increased inspectability during fabrication. Over the past few years, major strides have been made in in-service inspection technology. Work has been under way to determine the reliability of nondestructive testing methods and to develop formal inspection programs throughout the world. The major problems associated with in-service inspection are the scarcity of qualified personnel, the variability in procedures and data recording between inspection agencies, and exposure of inspection personnel to radiation. Further work will be required to more completely mechanize piping inspections to reduce radiation exposure and to standardize inspection procedures, equipment, and certification of personnel. Worldwide attention to the requirements of the American Society of Mechanical Engineers' Boiler and Pressure Vessel Code, the size and integrity of inspection agencies, and efforts such as the development of personnel qualification and certification guides emphasize the importance of in-service inspection to nuclear safety

  14. The development of remote repairing system, decontamination and in-cell remote inspection equipment

    International Nuclear Information System (INIS)

    Ishibashi, Yuzo; Toyoda, Osamu; Haginoya, Isao; Yamamoto, Ryuichi; Tanaka, Yasumasa

    1993-01-01

    PNC has been developing remote repair and inspection technologies for in-cell components in reprocessing Plants. In this report, several remote technologies such as remote dismantling and removal, decontamination, remote pipe maintenance and remote in-cell inspection equipment are described. (author)

  15. Efficient and reliable inspection through the use of optimised NDT

    International Nuclear Information System (INIS)

    Highmore, P.J.

    1993-01-01

    Current activities within AEA Technology are described aiming at the constitution of an optimized and reliable inspection technology for the UK nuclear programme. Three main factors, i.e. optimized inspection design, efficient inspection reliability assessment and effective inspector training, influencing the efficiency and reliability of the on-site inspection are discussed. (Z.S.)

  16. MTR fuel inspection at CERCA

    International Nuclear Information System (INIS)

    Fanjas, Y.

    1992-01-01

    The stringent specifications for MTR fuel plates and fuel elements require various sophisticated inspection techniques. In particular, the development of low enriched silicide fuels made it necessary to adapt these techniques to high density plates. This paper presents the status of inspection technology at CERCA. (author)

  17. Anomaly detection using magnetic flux leakage technology

    Energy Technology Data Exchange (ETDEWEB)

    Rempel, Raymond G. [BJ Pipeline Inspection Services, Alberta (Canada)

    2005-07-01

    There are many aspects to properly assessing the integrity of a pipeline. In-line-Inspection (ILI) tools, in particular those that employ the advanced use of Magnetic Flux Leakage (MFL) technology, provide a valuable means of achieving required up-to-date knowledge of a pipeline. More prevalent use of High Resolution MFL In-Line-Inspection tools is growing the knowledge base that leads to more reliable and accurate identification of anomalies in a pipeline, thus, minimizing the need for expensive verification excavations. Accurate assessment of pipeline anomalies can improve the decision making process within an Integrity Management Program and excavation programs can then focus on required repairs instead of calibration or exploratory digs. Utilizing the information from an MFL ILI inspection is not only cost effective but, as well, can also prove to be an extremely valuable building block of a Pipeline Integrity Management Program. (author)

  18. Line-Scan Hyperspectral Imaging Techniques for Food Safety and Quality Applications

    Directory of Open Access Journals (Sweden)

    Jianwei Qin

    2017-01-01

    Full Text Available Hyperspectral imaging technologies in the food and agricultural area have been evolving rapidly over the past 15 years owing to tremendous interest from both academic and industrial fields. Line-scan hyperspectral imaging is a major method that has been intensively researched and developed using different physical principles (e.g., reflectance, transmittance, fluorescence, Raman, and spatially resolved spectroscopy and wavelength regions (e.g., visible (VIS, near infrared (NIR, and short-wavelength infrared (SWIR. Line-scan hyperspectral imaging systems are mainly developed and used for surface inspection of food and agricultural products using area or line light sources. Some of these systems can also be configured to conduct spatially resolved spectroscopy measurements for internal or subsurface food inspection using point light sources. This paper reviews line-scan hyperspectral imaging techniques, with introduction, demonstration, and summarization of existing and emerging techniques for food and agricultural applications. The main topics include related spectroscopy techniques, line-scan measurement methods, hardware components and systems, system calibration methods, and spectral and image analysis techniques. Applications in food safety and quality are also presented to reveal current practices and future trends of line-scan hyperspectral imaging techniques.

  19. Safety inspections in construction sites: A systems thinking perspective.

    Science.gov (United States)

    Saurin, Tarcisio Abreu

    2016-08-01

    Although safety inspections carried out by government officers are important for the prevention of accidents, there is little in-depth knowledge on their outcomes and processes leading to these. This research deals with this gap by using systems thinking (ST) as a lens for obtaining insights into safety inspections in construction sites. Thirteen case studies of sites with prohibited works were carried out, discussing how four attributes of ST were used in the inspections. The studies were undertaken over 6 years, and sources of evidence involved participant observation, direct observations, analysis of documents and interviews. Two complementary ways for obtaining insights into inspections, based on ST, were identified: (i) the design of the study itself needs to be in line with ST; and (ii) data collection and analysis should focus on the agents involved in the inspections, the interactions between agents, the constraints and opportunities faced by agents, the outcomes of interactions, and the recommendations for influencing interactions. Copyright © 2015 Elsevier Ltd. All rights reserved.

  20. Application of 3D Laser Scanning Technology in Inspection and Dynamic Reserves Detection of Open-Pit Mine

    Science.gov (United States)

    Hu, Zhumin; Wei, Shiyu; Jiang, Jun

    2017-10-01

    The traditional open-pit mine mining rights verification and dynamic reserve detection means rely on the total station and RTK to collect the results of the turning point coordinates of mining surface contours. It resulted in obtaining the results of low precision and large error in the means that is limited by the traditional measurement equipment accuracy and measurement methods. The three-dimensional scanning technology can obtain the three-dimensional coordinate data of the surface of the measured object in a large area at high resolution. This paper expounds the commonly used application of 3D scanning technology in the inspection and dynamic reserve detection of open mine mining rights.

  1. Approaching application of risk-based inspection to ASME code section XI

    International Nuclear Information System (INIS)

    Hedden, Owen F.

    1995-01-01

    This paper will describe current efforts within the ASME Boiler and Pressure Vessel Committee's Subcommittee on Nuclear Inservice Inspection to introduce risk-based technology to optimize inservice inspection of nuclear power plants. The subcommittee is responsible for the content of ASME Boiler and Pressure Vessel Code Section XI, Rules for Inservice Inspection of Nuclear Power Plant Components. The paper will first provide the historical background for the inspection program currently in Section XI. It will then describe the development of new technology through the ASME Center for Research and Technology Development program. Next, the work now going on in two of the groups under the Section XI committee will be described in detail. Each of these two efforts is directed toward the application of new risk-based inspection technology to nuclear piping systems. Finally, the directions of additional research and applications of the technology will be discussed. (author)

  2. Advanced Approach of Reactor Pressure Vessel In-service Inspection

    International Nuclear Information System (INIS)

    Matokovic, A.; Picek, E.; Pajnic, M.

    2006-01-01

    The most important task of every utility operating a nuclear power plant is the continuously keeping of the desired safety and reliability level. This is achieved by the performance of numerous inspections of the components, equipment and system of the nuclear power plant in operation and in particular during the scheduled maintenance periods at re-fueling time. Periodic non-destructive in-service inspections provide most relevant criteria of the integrity of primary circuit pressure components. The task is to reliably detect defects and realistically size and characterize them. One of most important and the most extensive examination is a reactor pressure vessel in-service inspection. That inspection demand high standards of technology and quality and continual innovation in the field of non-destructive testing (NDT) advanced technology as well as regarding reactor pressure vessel tool and control systems. A remote underwater contact ultrasonic technique is employed for the examination of the defined sections (reactor welds), whence eddy current method is applied for clad surface examinations. Visual inspection is used for examination of the vessel inner surface. The movement of probes and data positioning are assured by using new reactor pressure vessel tool concept that is fully integrated with NDT systems. The successful performance is attributed thorough pre-outage planning, training and successful performance demonstration qualification of chosen NDT techniques on the specimens with artificial and/or real defects. Furthermore, use of advanced approach of inspection through implementation the state of the art examination equipment significantly reduced the inspection time, radiation exposure to examination personnel, shortening nuclear power plant outage and cutting the total inspection costs. The advanced approach as presented in this paper offer more flexibility of application (non-destructive tests, local grinding action as well as taking of boat samples

  3. Wireless Roadside Inspection Proof of Concept Test Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Capps, Gary J [ORNL; Franzese, Oscar [ORNL; Knee, Helmut E [ORNL; Plate, Randall S [ORNL; Lascurain, Mary Beth [ORNL

    2009-03-01

    The U.S. Department of Transportation (DOT) FMCSA commissioned the Wireless Roadside Inspection (WRI) Program to validate technologies and methodologies that can improve safety through inspections using wireless technologies that convey real-time identification of commercial vehicles, drivers, and carriers, as well as information about the condition of the vehicles and their drivers. It is hypothesized that these inspections will: -- Increase safety -- Decrease the number of unsafe commercial vehicles on the road; -- Increase efficiency -- Speed up the inspection process, enabling more inspections to occur, at least on par with the number of weight inspections; -- Improve effectiveness -- Reduce the probability of drivers bypassing CMV inspection stations and increase the likelihood that fleets will attempt to meet the safety regulations; and -- Benefit industry -- Reduce fleet costs, provide good return-on-investment, minimize wait times, and level the playing field. The WRI Program is defined in three phases which are: Phase 1: Proof of Concept Test (POC) Testing of commercially available off-the-shelf (COTS) or near-COTS technology to validate the wireless inspection concept. Phase 2: Pilot Test Safety technology maturation and back office system integration Phase 3: Field Operational Test Multi-vehicle testing over a multi-state instrumented corridor This report focuses on Phase 1 efforts that were initiated in March, 2006. Technical efforts dealt with the ability of a Universal Wireless Inspection System (UWIS) to collect driver, vehicle, and carrier information; format a Safety Data Message Set from this information; and wirelessly transmit a Safety Data Message Set to a roadside receiver unit or mobile enforcement vehicle.

  4. Straight-Line -- A nuclear material storage information management system

    International Nuclear Information System (INIS)

    Nilsen, C.; Mangan, D.

    1995-01-01

    Sandia National Laboratories is developing Straight-Line -- a pilot system to demonstrate comprehensive monitoring of nuclear material in storage. Straight-Line is an integrated system of sensors providing information that will enhance the safety, security, and international accountability of stored nuclear material. The goals of this effort are to (1) Provide the right sensor information to the right user in a timely manner. (2) Reduce the expenses, risks, and frequency of human inspection of the material. (3) Provide trustworthy data to international inspectors to minimize their need to make on site inspections. In pursuit of these goals, Straight-Line unites technology from Sandia's Authenticated Item Monitoring System (AIMS) and other programs to communicate the authenticated status of the monitored item back to central magazine receivers. Straight-Line, however, incorporates several important features not found in previous systems: (1) Information Security -- the ability to collect and safely disseminate both classified and unclassified sensor data to users on a need-to-know basis. (2) Integrate into a single system the monitoring needs of safety, security, and international accountability. (3) Incorporate the use of sensors providing analog or digital output. This paper will present the overall architecture and status of the Straight-Line project

  5. Straight-Line -- A nuclear material storage information management system

    Energy Technology Data Exchange (ETDEWEB)

    Nilsen, C. [Sandia National Labs., Livermore, CA (United States); Mangan, D. [Sandia National Labs., Albuquerque, NM (United States)

    1995-12-31

    Sandia National Laboratories is developing Straight-Line -- a pilot system to demonstrate comprehensive monitoring of nuclear material in storage. Straight-Line is an integrated system of sensors providing information that will enhance the safety, security, and international accountability of stored nuclear material. The goals of this effort are to (1) Provide the right sensor information to the right user in a timely manner. (2) Reduce the expenses, risks, and frequency of human inspection of the material. (3) Provide trustworthy data to international inspectors to minimize their need to make on site inspections. In pursuit of these goals, Straight-Line unites technology from Sandia`s Authenticated Item Monitoring System (AIMS) and other programs to communicate the authenticated status of the monitored item back to central magazine receivers. Straight-Line, however, incorporates several important features not found in previous systems: (1) Information Security -- the ability to collect and safely disseminate both classified and unclassified sensor data to users on a need-to-know basis. (2) Integrate into a single system the monitoring needs of safety, security, and international accountability. (3) Incorporate the use of sensors providing analog or digital output. This paper will present the overall architecture and status of the Straight-Line project.

  6. Integrating image processing and classification technology into automated polarizing film defect inspection

    Science.gov (United States)

    Kuo, Chung-Feng Jeffrey; Lai, Chun-Yu; Kao, Chih-Hsiang; Chiu, Chin-Hsun

    2018-05-01

    In order to improve the current manual inspection and classification process for polarizing film on production lines, this study proposes a high precision automated inspection and classification system for polarizing film, which is used for recognition and classification of four common defects: dent, foreign material, bright spot, and scratch. First, the median filter is used to remove the impulse noise in the defect image of polarizing film. The random noise in the background is smoothed by the improved anisotropic diffusion, while the edge detail of the defect region is sharpened. Next, the defect image is transformed by Fourier transform to the frequency domain, combined with a Butterworth high pass filter to sharpen the edge detail of the defect region, and brought back by inverse Fourier transform to the spatial domain to complete the image enhancement process. For image segmentation, the edge of the defect region is found by Canny edge detector, and then the complete defect region is obtained by two-stage morphology processing. For defect classification, the feature values, including maximum gray level, eccentricity, the contrast, and homogeneity of gray level co-occurrence matrix (GLCM) extracted from the images, are used as the input of the radial basis function neural network (RBFNN) and back-propagation neural network (BPNN) classifier, 96 defect images are then used as training samples, and 84 defect images are used as testing samples to validate the classification effect. The result shows that the classification accuracy by using RBFNN is 98.9%. Thus, our proposed system can be used by manufacturing companies for a higher yield rate and lower cost. The processing time of one single image is 2.57 seconds, thus meeting the practical application requirement of an industrial production line.

  7. Visual inspection. Better than your eyes

    International Nuclear Information System (INIS)

    Jakobs, N.; Baumgartl, R.

    2005-01-01

    Ongoing improvements in the development of camera technologies and manipulator techniques permit an enhanced performance of inspection tasks in nuclear services. In areas of reduced dose rate it's possible to use small size high resolution CCD cameras instead of tube cameras. Underwater inspections may be performed by submarine instead of rigid manipulator systems. This allows the enlargement of inspection areas and the performance at reduced time and personnel dose rate. (author)

  8. Remote-automation of nuclear power plant equipment inspection and maintenance

    International Nuclear Information System (INIS)

    Sasaki, Masayoshi; Kawamura, Hironobu; Nakano, Yoshiyuki; Izumi, Shigeru.

    1984-01-01

    The remotely operated automation of the checkup and maintenance of nuclear power generation facilities has largely contributed to the rise of capacity ratio of plants due to the shortening of regular inspection period and to the reduction of radiation exposure dose during working, the labor saving in working and so on. In this paper, the new technologies adopted in an automatic fuel exchanger, a remotely operated automatic CRD exchanger, a new type channel handling machine, pressure-withstanding main steam line plugs and so on for No.2 plant in the Fukushima No.2 Nuclear Power Station, Tokyo Electric Power Co., Inc., are reported. Besides, the state of development of new remotely operated automatic machines for nuclear power use, such as CRD disassembling and cleaning device, volume reduction equipment for spent fuel channel boxes and control rods, multi-functional robots for use under high radiation and so on is described. Also the trend of development of latest robot technology which will be put in practical use in near future is outlined, such as a running manipulator for checkup and inspection, a variable form crawler vehicle and a five-leg movable manipulator. (Kako, I.)

  9. Advanced In-Service Inspection Approaches Applied to the Phenix Fast Breeder Reactor

    International Nuclear Information System (INIS)

    Guidez, J.; Martin, L.; Dupraz, R.

    2006-01-01

    The safety upgrading of the Phenix plant undertaken between 1994 and 1997 involved a vast inspection programme of the reactor, the external storage drum and the secondary sodium circuits in order to meet the requirements of the defence-in-depth safety approach. The three lines of defence were analysed for every safety related component: demonstration of the quality of design and construction, appropriate in-service inspection and controlling the consequences of an accident. The in-service reactor block inspection programme consisted in controlling the core support structures and the high-temperature elements. Despite the fact that limited consideration had been given to inspection constraints during the design stage of the reactor in the 1960's, as compared to more recent reactor projects such as the European Fast Reactor (EFR), all the core support line elements were able to be inspected. The three following main operations are described: Ultrasonic inspection of the upper hangers of the main vessel, using small transducers able to withstand temperatures of 130 deg. C, Inspection of the conical shell supporting the core dia-grid. A specific ultrasonic method and a special implementation technique were used to control the under sodium structure welds, located up to several meters away from the scan surface. Remote inspection of the hot pool structures, particularly the core cover plug after partial sodium drainage of the reactor vessel. Other inspections are also summarized: control of secondary sodium circuit piping, intermediate heat exchangers, primary sodium pumps, steam generator units and external storage drum. The pool type reactor concept, developed in France since the 1960's, presents several favourable safety and operational features. The feedback from the Phenix plant also shows real potential for in-service inspection. The design of future generation IV sodium fast reactors will benefit from the experience acquired from the Phenix plant. (authors)

  10. An intelligent inspection and survey robot

    International Nuclear Information System (INIS)

    Byrd, J.; Holland, J.M.

    1994-01-01

    ARIES (Autonomous Robotic Inspection Experimental System) is a semi-autonomous robotic system intended for use in the automatic inspection of stored containers of low-level nuclear waste. This article describes the technology and how it could be used. 3 refs., 3 figs

  11. Runway Inspection by RPAS

    Directory of Open Access Journals (Sweden)

    Stanislav Absolon

    2015-10-01

    Full Text Available This article discusses the use of the RPAS for the inspection of the airport operating areas. The paper compares the current process of the inspection of the airport operating areas by the airport staff with the possibilities which are offered by the use of the modern technology RPAS. The following text also describes how to inspect airport operating areas by the RPAS, specific technical possibilities and the applicable technical solutions. Furthermore there are variants of piloting the RPAS, comparing usable equipment, equipment for video recording and the possibility of using thermal imaging camera in the article.

  12. Fiber-Optic Sensing for In-Space Inspection

    Science.gov (United States)

    Pena, Francisco; Richards, W. Lance; Piazza, Anthony; Parker, Allen R.; Hudson, Larry D.

    2014-01-01

    This presentation provides examples of fiber optic sensing technology development activities performed at NASA Armstrong. Examples of current and previous work that support in-space inspection techniques and methodologies are highlighted.

  13. Inspection Qualification Centre in NPP 'Kozloduy'

    International Nuclear Information System (INIS)

    Mikhovski, M.

    2000-01-01

    In May 1999 according to the working plan of the IAEA project RER 4/020 and the decision of the NPP the Inspection Qualification Centre (IQC) has been established in order to provide examination services in the NPP. During year 1999 IVC (AEA Technology) in the framework of the DTI project provides consulting and technical assistance to the NPP, IQC, Bulgarian Academy of Sciences and Regulatory Authorities in setting up the IQC infrastructure. Now IQC work as an independent inspection body B type. The IQC activities for the period 1999-2000 are presented and further tasks are outlined

  14. Upgrading transmission lines with aerial LiDAR technology

    Energy Technology Data Exchange (ETDEWEB)

    Koop, J.E. [Manitoba Hydro, Winnipeg, MB (Canada)

    2003-04-01

    LiDAR (Light Detection and Ranging) technology is described as an example of techniques used by hydro companies to increase their capacity with existing plants, and within tight budget constraints. LiDAR was chosen by Manitoba Hydro primarily because LiDAR's data collection method offers very fast turn-around time from collection to delivery, and most importantly because of LiDAR's highly accurate ability to map terrain and wire catenary shape in every span. The article describes a case study of the 'Nip and Tuck' method of wire re-tensioning based on LiDAR data, which was used by Manitoba Hydro to create a computer model of Saskatchewan Hydro's transmission line capacity on its 138 kV transmission line between Saskatoon and North Battleford. The model was needed to analyze the existing line conditions in an effort to minimize cascading failures on the 40-year old line. Using the 'Nip and Tuck' technology in combination with LiDAR, SaskPower engineers were able to complete the required modifications to raise transmission wire operating temperatures on the 135 km long line to 66 degree C in only 36 days, and at a cost that was 80 per cent less than the cost would have been using conventional techniques ($232,000 instead of the estimated $1.25 million).

  15. Field Operations and Enforcement Manual for Air Pollution Control. Volume II: Control Technology and General Source Inspection.

    Science.gov (United States)

    Weisburd, Melvin I.

    The Field Operations and Enforcement Manual for Air Pollution Control, Volume II, explains in detail the following: technology of source control, modification of operations, particulate control equipment, sulfur dioxide removal systems for power plants, and control equipment for gases and vapors; inspection procedures for general sources, fuel…

  16. Archiving plant inspection data in a virtual environment

    International Nuclear Information System (INIS)

    Kita, Nobuyuki; Kita, Yasuyo; Yang, Hai-quan

    2004-01-01

    ''Digital Maintenance Field Technology'' was proposed for reliable and robust maintenance of a nuclear power plant. It digitizes and maintains whole information of maintenance fields in computer system for a long time. Digital Field Archival Technology'' is one of three core technologies of the ''Digital Maintenance Field Technology''. The essential functions of the Digital Field Archival Technology'' is to store, maintain and visualize the inspection data during a long period. In order to enable the operators or other agents to review the plant information at any time, at any location and in any form, the information must be stored with collect indexes of time and space. The virtual space resembling the real space is suitable to store the observed information. In this paper, the concept to store the observed information into the virtual space is realized under the assumption that the geometrical structure of real plant is static and reconstructed in the virtual space. The system for storing observed information especially image data gotten by mobile inspection robots and visualizing the stored data as desired is introduced. (author)

  17. Inspection of non-piggable pipelines at PETROBRAS-UN Bahia; Inspecao de dutos nao-pigaveis na PETROBRAS-UN Bahia

    Energy Technology Data Exchange (ETDEWEB)

    Alves, Luis F.C.; Lopes, Paulo R. [PETROBRAS, Salvador, BA (Brazil). Unidade de Negocios da Bahia

    2005-07-01

    PETROBRAS has made a huge effort to inspect and rehabilitate its pipeline net, mainly over the past 10 years. Currently, E and P inspection teams are being challenged to find feasible solutions for the inspection of non-piggable pipelines, so named because they have unsuitable geometry and/or operating conditions for usual in-line inspections. Inside this pipeline category, flow lines, injection and distribution lines and even non-metallic pipelines may be highlighted. This paper presents the results of tests and developments of new inspection tools for the inspection of non piggable pipelines, future tests to be performed in PETROBRAS/E and P Bahia (UN-BA) and some inspection cases in which the operating conditions hinder the run of smart pigs. (author)

  18. Ultrasonic inspection of nodular cast iron

    International Nuclear Information System (INIS)

    Hersh, S.; Zhang, Yingda

    1990-01-01

    On the basis of experimental results collected from several nodular cast iron (NCI) specimens, Amdata, Inc., has developed a tentative procedure for performing ultrasonic testing (UT) preservice inspection of NCI casks and qualifying personnel and equipment. The authors anticipate that this procedure will be a component in a comprehensive program to certify that casks are free from critical flaws prior to their introduction into service, with testing being performed on a production line basis by UT inspection personnel. The tentative procedure was applied to inspection of NCI block SGR-483-001 manufactured by Siempelkamp Giesserei GmbH and Co. of West Germany. This block is 59 by 39.5 by 13.8 inches and weighs 5.2 tons. Several indications were detected with the I/98, in accordance with the tentative procedure, and they were analyzed using two-dimensional synthetic aperture technique (Line-SAFT). When compared with conventional sizing methods that may confound the effects of beam spread with flaw size, Line-SAFT significantly improved sizing accuracy. SAFT is an electronic simulation of a lens and has the property of reducing the effect of beam spread on the resultant indication sizes. Although a higher-precision 3-D SAFT option was also available, it would necessitate data transfer to a separate VAX computer and lengthy calculations. As an alternative, Line-SAFT, a faster but less precise 2-D simplification, was implemented on the I/98 data acquisition system

  19. The feasibility of mobile computing for on-site inspection.

    Energy Technology Data Exchange (ETDEWEB)

    Horak, Karl Emanuel; DeLand, Sharon Marie; Blair, Dianna Sue

    2014-09-01

    With over 5 billion cellphones in a world of 7 billion inhabitants, mobile phones are the most quickly adopted consumer technology in the history of the world. Miniaturized, power-efficient sensors, especially video-capable cameras, are becoming extremely widespread, especially when one factors in wearable technology like Apples Pebble, GoPro video systems, Google Glass, and lifeloggers. Tablet computers are becoming more common, lighter weight, and power-efficient. In this report the authors explore recent developments in mobile computing and their potential application to on-site inspection for arms control verification and treaty compliance determination. We examine how such technology can effectively be applied to current and potential future inspection regimes. Use cases are given for both host-escort and inspection teams. The results of field trials and their implications for on-site inspections are discussed.

  20. The challenges encountered in the integration of an early test wafer surface scanning inspection system into a 450mm manufacturing line

    Science.gov (United States)

    Lee, Jeffrey; McGarvey, Steve

    2013-04-01

    The introduction of early test wafer (ETW) 450mm Surface Scanning Inspection Systems (SSIS) into Si manufacturing has brought with it numerous technical, commercial, and logistical challenges on the path to rapid recipe development and subsequent qualification of other 450mm wafer processing equipment. This paper will explore the feasibility of eliminating the Polystyrene Latex Sphere deposition process step and the subsequent creation of SSIS recipes based upon the theoretical optical properties of both the SSIS and the process film stack(s). The process of Polystyrene Latex Sphere deposition for SSIS recipe generation and development is generally accepted on the previous technology nodes for 150/200/300mm wafers. PSL is deposited with a commercially available deposition system onto a non-patterned bare Si or non-patterned filmed Si wafer. After deposition of multiple PSL spots, located in different positions on a wafer, the wafer is inspected on a SSIS and a response curve is generated. The response curve is based on the the light scattering intensity of the NIST certified PSL that was deposited on the wafer. As the initial 450mm Si wafer manufacturing began, there were no inspection systems with sub-90nm sensitivities available for defect and haze level verification. The introduction of a 450mm sub-30nm inspection system into the manufacturing line generated instant challenges. Whereas the 450mm wafers were relatively defect free at 90nm, at 40nm the wafers contained several hundred thousand defects. When PSL was deposited onto wafers with these kinds of defect levels, PSL with signals less than the sub-90nm defects were difficult to extract. As the defectivity level of the wafers from the Si suppliers rapidly improves the challenges of SSIS recipe creation with high defectivity decreases while at the same time the cost of PSL deposition increases. The current cost per wafer is fifteen thousand dollars for a 450mm PSL deposition service. When viewed from the

  1. An overview of in-service inspection for nuclear power plants in Japan

    International Nuclear Information System (INIS)

    Takano, Eishiro

    1996-01-01

    In nuclear power stations, for maintaining the safety of machinery and equipment, it is stipulated to carry out periodic inspection. The contents of the periodic inspection and the inspections of important matters are explained. In-service inspection (ISI) is the nondestructive inspection for confirming the soundness of reactor pressure vessels, main pipings, valves and others. The stipulations on the scope and time of the periodic inspection and ISI, the course of introducing ISI into Japan, and the basic way of thinking on ISI are described. Pre-service inspection (PSI) is carried out for the purpose of collecting and recording the initial data for ISI. The range of the objects of nondestructive inspection and leak test and the range of the objects of leak test only are shown. As to nondestructive inspection, the parts to be inspected, the frequency of inspection and the methods of inspection, and the method of leak test are described. As the present state of inspection technology, the automatic ultrasonic flow detectors for reactor vessels, piping welded parts and reactor vessel stud bolts, and the eddy current flaw detector for steam generator tubes are explained. (K.I.)

  2. Fuel assembly inspection device

    International Nuclear Information System (INIS)

    Yaginuma, Yoshitaka

    1998-01-01

    The present invention provides a device suitable to inspect appearance of fuel assemblies by photographing the appearance of fuel assemblies. Namely, the inspection device of the present invention measures bowing of fuel assembly or each of fuel rods or both of them based on the partially photographed images of fuel assembly. In this case, there is disposed a means which flashily projects images in the form of horizontal line from a direction intersecting obliquely relative to a horizontal cross section of the fuel assembly. A first image processing means separates the projected image pictures including projected images and calculates bowing. A second image processing means replaces the projected image pictures of the projected images based on projected images just before and after the photographing. Then, images for the measurement of bowing and images for inspection can be obtained simultaneously. As a result, the time required for the photographing can be shortened, the time for inspection can be shortened and an effect of preventing deterioration of photographing means by radiation rays can be provided. (I.S.)

  3. The quantitative inspection of iron aluminide green sheet using transient thermography

    International Nuclear Information System (INIS)

    Watkins, Michael L.; Hinders, Mark K.; Scorey, Clive; Winfree, William

    1999-01-01

    The recent development of manufacturing techniques for the fabrication of thin iron aluminide, FeAl, sheet requires advanced quantitative methods for on-line inspection. An understanding of the mechanisms responsible for flaws and the development of appropriate flaw detection methods are key elements in an effective quality management system. The first step in the fabrication of thin FeAl alloy sheet is the formation of a green sheet, either by cold rolling or tape casting FeAl powder mixed with organic binding agents. The finished sheet is obtained using a series of process steps involving binder elimination, densification, sintering, and annealing. Non-uniformities within the green sheet are the major contributor to material failure in subsequent sheet processing and the production of non-conforming finished sheet. Previous work has demonstrated the advantages of using active thermography to detect the flaws and heterogeneity within green powder composites (1)(2)(3). The production environment and physical characteristics of these composites provide for unique challenges in developing a rapid nondestructive inspection capability. Thermography is non-contact and minimizes the potential damage to the fragile green sheet. Limited access to the material also demands a one-sided inspection technique. In this paper, we will describe the application of thermography for 100% on-line inspection within an industrial process. This approach is cost competitive with alternative technologies, such as x-ray imaging systems, and provides the required sensitivity to the variations in material composition. The formation of green sheet flaws and their transformation into defects within intermediate and finished sheet products will be described. A green sheet conformance criterion will be presented which would significantly reduce the probability of processing poor quality green sheet which contributes to higher waste and inferior bulk alloy sheet

  4. Sea bed mapping and inspection

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2006-07-01

    The conference has 24 presentations on the topics: Sea bed mapping, inspection, positioning, hydrography, marine archaeology, remote operation vehicles and computerized simulation technologies, oil field activities and plans, technological experiences and problems. (tk)

  5. Using radio frequency and ultrasonic antennas for inspecting pin-type insulators on medium-voltage overhead distribution lines

    Directory of Open Access Journals (Sweden)

    Cícero Lefort Borges

    2013-05-01

    Full Text Available This paper summarises the activities undertaken when using antennas (ultrasound and radiofrequency for identifying insulators in pre-failure state by detecting the noise emitted by the distribution line and correlating this with these insulators (porcelain pin type dielectric breakdown. This has led to developing low-cost maintenance procedures and providing support and criteria for engineer-ing decisions regarding replacing these insulators. The technique used two detectors; a radio frequency detector was used in a first investigation of a particular distribution line, set to 40 MHz and installed on the roof of a moving vehicle. The ultrasound detector was used for inspecting (phases A, B, C each structure (pole selected. Atmospheric conditions had no influence on defining pre-failure insulators (pin type based on the noise detection technique. Pin type insulators emitting noise should be replaced since measurement was made from the ground and near the base of the post.

  6. Transmission Lines or Poles, Electric - VOLUSIA COUNTY MAJOR POWER LINES (Arcs)

    Data.gov (United States)

    NSGIC Local Govt | GIS Inventory — Volusia County Power Line data was aggregated by using parcel data and visual inspection of 2005 aerial photography to determine centerline of Power Lines Right of...

  7. The application of PLC in 60Co container inspection system

    International Nuclear Information System (INIS)

    Huang Yibin; Xiang Xincheng

    2001-01-01

    The author discusses the interlock technique of 60 Co container inspection system, and introduces the hardware structure and program of interlock control system using PLC. Due to adopting PLC distributed control, the system works stably and reliably. The successful application of PLC in 60 Co container inspection system has some use for reference in nuclear technology field

  8. Improvements in televisual and photographic inspections of AGRs

    International Nuclear Information System (INIS)

    Hayter, R.; Wadsworth, A.

    1988-01-01

    The visual inspection techniques and equipment used at AGR Power Stations have been improved and updated in the light of need and technological advance, new equipment being developed and introduced where necessary. Specifically this report covers the development and use of: a short TRIUMPH compatible photographic camera 600 mm long x 75 mm dia taking 50 shots on a 35 mm film; a 240 mm dia photographic pod taking high quality 70 mm format photographs of large in-reactor volumes; a photographic camera of cross section 37 x 17 mm for the inspection of helically wound AGR boilers and the subsequent development of this latter device into a state of the art TV inspection camera. (author)

  9. Comparison of API 510 pressure vessels inspection planning with API 581 risk-based inspection planning approaches

    International Nuclear Information System (INIS)

    Shishesaz, Mohammad Reza; Nazarnezhad Bajestani, Mohammad; Hashemi, Seyed Javad; Shekari, Elahe

    2013-01-01

    To ensure mechanical integrity, all pressure vessels shall be inspected at the intervals provided in inspection codes or based on a risk-based inspection (RBI) assessment. The RBI assessment may allow previously established inspection intervals to be extended. This paper describes the methodology, analysis and results of two RBI studies conducted on 293 pressure vessel components in two crude oil distillation units. Based on API RBI methodology in API 581 (2008), risk target concept was used for determining inspection dates. It was shown that when thinning is the major active damage, the RBI recommended intervals are as long as twice the API 510 intervals. This paper summarizes that, as a fundamental step in the risk calculation, RBI has a more defined methodology for evaluating equipment for multiple damage mechanisms and a more defined approach to specify the use of other inspection technologies beyond the traditional visual, ultrasonic, and radiography tests. -- Highlights: • RBI calculated inspection intervals are as long as twice of API 510 inspection code. • Two case studies verified the advantage of RBI in inspection planning. • RBI is a more reliable methodology when evaluating multiple damage mechanisms. • Damage factor calculations can be used for determining RSFa value in FFS assessments

  10. Inspection of power and ground layers in PCB images

    Science.gov (United States)

    Bunyak, Filiz; Ercal, Fikret

    1998-10-01

    In this work, we present an inspection method for power and ground (P&G) layers of printed circuit boards (PCB) also called utility layers. Design considerations for the P&G layers are different than those of signal layers. Current PCB inspection approaches cannot be applied to these layers. P&G layers act as internal ground, neutral or power sources. P&G layers are predominantly copper with occasional pad areas (without copper) called clearance. Defect definition is based on the spacing between the holes that will be drilled in clearances and the surrounding copper. Overlap of pads of different sizes and shapes are allowed. This results in complex, hard to inspect clearances. Our inspection is based on identification of shape, size and position of the individual pads that contribute to an overlapping clearance and then inspection of each pad based on design rules and tolerances. Main steps of our algorithm are as follows: (1) extraction and preprocessing of clearance contours; (2) decomposition of contours into segments: corner detection and matching lines or circular arcs between two corners; (3) determination of the pads from partial contour information obtained in step (2), and (4) design rules checking for each detected pad.

  11. Trend on High-speed Power Line Communication Technology

    Science.gov (United States)

    Ogawa, Osamu

    High-speed power line communication (PLC) is useful technology to easily build the communication networks, because construction of new infrastructure is not necessary. In Europe and America, PLC has been used for broadband networks since the beginning of 21th century. In Japan, high-speed PLC was deregulated only indoor usage in 2006. Afterward it has been widely used for home area network, LAN in hotels and school buildings and so on. And recently, PLC is greatly concerned as communication technology for smart grid network. In this paper, the author surveys the high-speed PLC technology and its current status.

  12. No effects of power line frequency extremely low frequency electromagnetic field exposure on selected neurobehavior tests of workers inspecting transformers and distribution line stations versus controls.

    Science.gov (United States)

    Li, Li; Xiong, De-fu; Liu, Jia-wen; Li, Zi-xin; Zeng, Guang-cheng; Li, Hua-liang

    2014-03-01

    We aimed to evaluate the interference of 50 Hz extremely low frequency electromagnetic field (ELF-EMF) occupational exposure on the neurobehavior tests of workers performing tour-inspection close to transformers and distribution power lines. Occupational short-term "spot" measurements were carried out. 310 inspection workers and 300 logistics staff were selected as exposure and control. The neurobehavior tests were performed through computer-based neurobehavior evaluation system, including mental arithmetic, curve coincide, simple visual reaction time, visual retention, auditory digit span and pursuit aiming. In 500 kV areas electric field intensity at 71.98% of total measured 590 spots were above 5 kV/m (national occupational standard), while in 220 kV areas electric field intensity at 15.69% of total 701 spots were above 5 kV/m. Magnetic field flux density at all the spots was below 1,000 μT (ICNIRP occupational standard). The neurobehavior score changes showed no statistical significance. Results of neurobehavior tests among different age, seniority groups showed no significant changes. Neurobehavior changes caused by daily repeated ELF-EMF exposure were not observed in the current study.

  13. Image acquisition, transmission and assignment in 60Co container inspection system

    International Nuclear Information System (INIS)

    Wu Zhifang; Zhou Liye; Liu Ximing; Wang Liqiang

    1999-01-01

    The author describes the data acquisition mode and image reconstruction method in 60 Co container inspection system, analyzes the relationship between line pick period and geometry distortion, makes clear the demand to data transmitting rate. It discusses several data communication methods, draws up a plan for network, realizes automatic direction and reasonable assignment of data in the system, cooperation of multi-computer and parallel processing, thus greatly improves the systems inspection efficiency

  14. Algorithm design of liquid lens inspection system

    Science.gov (United States)

    Hsieh, Lu-Lin; Wang, Chun-Chieh

    2008-08-01

    In mobile lens domain, the glass lens is often to be applied in high-resolution requirement situation; but the glass zoom lens needs to be collocated with movable machinery and voice-coil motor, which usually arises some space limits in minimum design. In high level molding component technology development, the appearance of liquid lens has become the focus of mobile phone and digital camera companies. The liquid lens sets with solid optical lens and driving circuit has replaced the original components. As a result, the volume requirement is decreased to merely 50% of the original design. Besides, with the high focus adjusting speed, low energy requirement, high durability, and low-cost manufacturing process, the liquid lens shows advantages in the competitive market. In the past, authors only need to inspect the scrape defect made by external force for the glass lens. As to the liquid lens, authors need to inspect the state of four different structural layers due to the different design and structure. In this paper, authors apply machine vision and digital image processing technology to administer inspections in the particular layer according to the needs of users. According to our experiment results, the algorithm proposed can automatically delete non-focus background, extract the region of interest, find out and analyze the defects efficiently in the particular layer. In the future, authors will combine the algorithm of the system with automatic-focus technology to implement the inside inspection based on the product inspective demands.

  15. Development of Remote Inspection Systems with the Java Applet

    International Nuclear Information System (INIS)

    Choi, Yoo Rark; Lee, Jae Cheol; Kim, Jae Hee

    2005-01-01

    The world wide web and java are powerful networking technologies on the internet. An applet is a program written in the java programming language that can be included in an HTML page, much in the same way as an image is included. When we use a Java technology-enabled browser to view a page that contains an applet, the applet code is transferred to a client's system and executed by the browser's Java Virtual Machine (JVM). We have developed two remote inspection systems for a reactor wall inspection and guide tube spilt pin inspection based on the java and traditional programming language. The java is used on a GUI(graphic user interface) and the traditional visual C++ programming language is used to control the inspection equipments

  16. Implementation of a Quality Management System in regulatory inspection activities

    International Nuclear Information System (INIS)

    Pires do Rio, Monica; Ferreira, Paulo Roberto; Cunha, Paulo G. da; Acar, Maria Elizabeth

    2005-01-01

    The Institute for Radioprotection and Dosimetry - IRD -, of the Brazilian National Nuclear Energy Commission, CNEN, started in 2001, the implementation of a quality management system (SGQ), in the inspection, testing and calibration activities. The SGQ was an institutional guideline and is inserted in a larger system of management of the IRD started in 1999, with the adoption of the National Quality Award criteria - PNQ, within the Project for Excellence in Technological Research of Associacao Brasileira das Instituicoes de Pesquisas Tecnologicas - ABIPTI (Brazilian Association of Technological Research institutions). The proposed quality management system and adopted at the IRD was developed and implemented in accordance with the requirements of NBR ISO/IEC 17025 - General requirements for the competence of testing and calibration laboratories, and ISO/IEC 17020 - General criteria for operation of various types of bodies performing inspections. For regulatory inspection activities, the quality system was implemented on three program inspection services of radiological protection led, respectively, by clinics and hospitals that operate radiotherapy services; industries that use nuclear gauges in their control or productive processes and power reactor operators (CNAAA) - just the environmental part. It was formed a pioneering team of inspectors for standardizing the processes, procedures and starting the implementation of the system in the areas. This work describes the implementation process steps, including difficulties, learning and advantages of the adoption of a quality management system in inspection activities

  17. Development of innovative inspection tools for higher reliability of PHWR fuel

    International Nuclear Information System (INIS)

    Kamalesh Kumar, B.; Viswanathan, B.; Laxminarayana, B.; Ganguly, C.

    2003-01-01

    'Full text:' Advent of Computer aided manufacturing systems has led to very high rate of production with greater reliability. The conventional inspection tools and systems, which are often manual based do not complement with output of highly automated production line. In order to overcome the deficiency, a strategic plan was developed for having automated inspection facility for PHWR fuel assembly line. Laser based systems with their inherently high accuracy and quick response times are a favorite for metrology purpose. Non-contact nature of laser-based measurement ensures minimal contamination, low wear and tear and good repeatability. So far two laser-based systems viz. Pellet density measurement systems and triangulation sensors have been developed. Laser based fuel pellet inspection system and PHWR fuel bundle metric station are under development. Machine vision-based systems have been developed to overcome certain limitations when inspection has to be carried out on such a large scale manually. These deficiencies arise from limitations of resolution, accessibility, fatigue and absence of quantification ability. These problems get further compounded in inspection of fuel components because of their relatively small sizes, close tolerances required and the reflective surfaces. PC based vision system has been developed for inspecting components and fuel assemblies. The paper would touch upon the details of the various laser systems and vision systems that have been indigenously developed for PHWR Fuel Metrology and their impact on the assembly production line. (author)

  18. Fundamentals of overlay measurement and inspection using scanning electron-microscope

    Science.gov (United States)

    Kato, T.; Okagawa, Y.; Inoue, O.; Arai, K.; Yamaguchi, S.

    2013-04-01

    Scanning electron-microscope (SEM) has been successfully applied to CD measurement as promising tools for qualifying and controlling quality of semiconductor devices in in-line manufacturing process since 1985. Furthermore SEM is proposed to be applied to in-die overlay monitor in the local area which is too small to be measured by optical overlay measurement tools any more, when the overlay control limit is going to be stringent and have un-ignorable dependence on device pattern layout, in-die location, and singular locations in wafer edge, etc. In this paper, we proposed new overlay measurement and inspection system to make an effective use of in-line SEM image, in consideration of trade-off between measurement uncertainty and measurement pattern density in each SEM conditions. In parallel, we make it clear that the best hybrid overlay metrology is in considering each tool's technology portfolio.

  19. Inspection of secondary cooling system piping of JMTR

    International Nuclear Information System (INIS)

    Hanawa, Yoshio; Izumo, Hironobu; Fukasaku, Akitomi; Nagao, Yoshiharu; Kawamura, Hiroshi

    2008-06-01

    Piping condition was inspected form the view point of long term utilization before the renewal work of the secondary cooling system in the JMTR on FY 2008. As the result, it was confirmed that cracks, swellings and exfoliations in inner lining of the piping could be observed, and corrosion, which was reached by piping ingot, or decrease of piping thickness could hardly be observed. It was therefore confirmed that the strength or the functionality of the piping had been maintained by usual operation and maintenance. Repair of inner lining of the piping during the refurbishment of the JMTR is necessary to long term utilization of the secondary cooling system after restart of the JMTR from the view point of preventive maintenance. In addition, a periodic inspection of inner lining condition is necessary after repair of the piping. (author)

  20. Development of Remote Inspection Systems with the Java Applet

    Energy Technology Data Exchange (ETDEWEB)

    Choi, Yoo Rark; Lee, Jae Cheol; Kim, Jae Hee [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of)

    2005-07-01

    The world wide web and java are powerful networking technologies on the internet. An applet is a program written in the java programming language that can be included in an HTML page, much in the same way as an image is included. When we use a Java technology-enabled browser to view a page that contains an applet, the applet code is transferred to a client's system and executed by the browser's Java Virtual Machine (JVM). We have developed two remote inspection systems for a reactor wall inspection and guide tube spilt pin inspection based on the java and traditional programming language. The java is used on a GUI(graphic user interface) and the traditional visual C++ programming language is used to control the inspection equipments.

  1. Machine vision systems using machine learning for industrial product inspection

    Science.gov (United States)

    Lu, Yi; Chen, Tie Q.; Chen, Jie; Zhang, Jian; Tisler, Anthony

    2002-02-01

    Machine vision inspection requires efficient processing time and accurate results. In this paper, we present a machine vision inspection architecture, SMV (Smart Machine Vision). SMV decomposes a machine vision inspection problem into two stages, Learning Inspection Features (LIF), and On-Line Inspection (OLI). The LIF is designed to learn visual inspection features from design data and/or from inspection products. During the OLI stage, the inspection system uses the knowledge learnt by the LIF component to inspect the visual features of products. In this paper we will present two machine vision inspection systems developed under the SMV architecture for two different types of products, Printed Circuit Board (PCB) and Vacuum Florescent Displaying (VFD) boards. In the VFD board inspection system, the LIF component learns inspection features from a VFD board and its displaying patterns. In the PCB board inspection system, the LIF learns the inspection features from the CAD file of a PCB board. In both systems, the LIF component also incorporates interactive learning to make the inspection system more powerful and efficient. The VFD system has been deployed successfully in three different manufacturing companies and the PCB inspection system is the process of being deployed in a manufacturing plant.

  2. Calculation of frequency of optimal inspection in non-notice inspection game

    International Nuclear Information System (INIS)

    Kumakura, Shinichi; Gotoh, Yoshiki; Kikuchi, Masahiro

    2011-01-01

    We consider a non-notice inspection game between an inspection party, who verifies absence of diversion of nuclear materials and misuse of nuclear facility, and a facility operator, who tries them in a nuclear facility. In the game, the payoff for each player, inspection party and facility operator, is composed of various elements (parameters) such as facility type, a type of nuclear material, number of inspection and others. Their payoffs consist of profits and costs (minus profit). Because of random nature by non-notice inspection, its deterrence effect and inspection number could have the potential to affect their payoffs. In this paper, their payoffs taking into consideration of the inspection environment above are represented as a function of inspection number. Then, the optimal number is calculated from a condition on their payoffs for number of inspection. Comparable statics analysis is performed in order to observe the change of inspection number which is equilibrium point by changing these parameters including deterrence effect, because the number derived depends on each parameter within the inspection environment. Based on the analysis results, necessary conditions to reduce the inspection number keeping inspection effect are pointed out. (author)

  3. A real-time visual inspection method of fastening bolts in freight car operation

    Science.gov (United States)

    Nan, Guo; Yao, JunEn

    2015-10-01

    A real-time inspection of the key components is necessary for ensuring safe operation of freight car. While traditional inspection depends on the trained human inspectors, which is time-consuming and lower efficient. With the development of machine vision, vision-based inspection methods get more railway on-spot applications. The cross rod end fastening bolts are important components on both sides of the train body that fixing locking plates together with the freight car main structure. In our experiment, we get the images containing fastening bolt components, and accurately locate the locking plate position using a linear Support Vector Machine (SVM) locating model trained with Histograms of Oriented Gradients (HOG) features. Then we extract the straight line segment using the Line Segment Detector (LSD) and encoding them in a range, which constitute a straight line segment dataset. Lastly we determine the locking plate's working state by the linear pattern. The experiment result shows that the localization accurate rate is over 99%, the fault detection rate is over 95%, and the module implementation time is 2f/s. The overall performance can completely meet the practical railway safety assurance application.

  4. INETEC new system for inspection of PWR reactor pressure vessel head

    International Nuclear Information System (INIS)

    Nadinic, B.; Postruzin, Z.

    2004-01-01

    INETEC Institute for Nuclear Technology developed new equipment for inspection of PWR and VVER reactor pressure vessel head. The new advances in inspection technology are presented in this article, as the following: New advance manipulator for inspection of RPVH with high speed of inspection possibilities and total automated work; New sophisticated software for manipulator driving which includes 3D virtual presentation of manipulator movement and collision detection possibilities; New multi axis controller MAC-8; New end effector system for inspection of penetration tube and G weld; New eddy current and ultrasonic probes for inspection of G weld and penetration tube; New Eddy One Raster scan software for analysis of eddy current data with mant advanced features which allows easy and quick data analysis. Also the results of laboratory testing and laboratory qualification are presented on reactor pressure vessel head mock, as well as obtained speed of inspection and quality of collected data.(author)

  5. In-camera video-stream processing for bandwidth reduction in web inspection

    Science.gov (United States)

    Jullien, Graham A.; Li, QiuPing; Hajimowlana, S. Hossain; Morvay, J.; Conflitti, D.; Roberts, James W.; Doody, Brian C.

    1996-02-01

    Automated machine vision systems are now widely used for industrial inspection tasks where video-stream data information is taken in by the camera and then sent out to the inspection system for future processing. In this paper we describe a prototype system for on-line programming of arbitrary real-time video data stream bandwidth reduction algorithms; the output of the camera only contains information that has to be further processed by a host computer. The processing system is built into a DALSA CCD camera and uses a microcontroller interface to download bit-stream data to a XILINXTM FPGA. The FPGA is directly connected to the video data-stream and outputs data to a low bandwidth output bus. The camera communicates to a host computer via an RS-232 link to the microcontroller. Static memory is used to both generate a FIFO interface for buffering defect burst data, and for off-line examination of defect detection data. In addition to providing arbitrary FPGA architectures, the internal program of the microcontroller can also be changed via the host computer and a ROM monitor. This paper describes a prototype system board, mounted inside a DALSA camera, and discusses some of the algorithms currently being implemented for web inspection applications.

  6. Ultrasonic inspection experience of steam generator tubes at Ontario Hydro and the TRUSTIE inspection system

    International Nuclear Information System (INIS)

    Choi, E.I.; Jansen, D.

    1998-01-01

    Ontario Hydro have been using ultrasonic test (UT) technique to inspect steam generator (SG) tubes since 1993. The UT technique has higher sensitivity in detecting flaws in SG tubes and can characterize the flaws with higher accuracy. Although an outside contractor was used initially, Ontario Hydro has been using a self-developed system since 1995. The TRUSTIE system (Tiny Rotating UltraSonic Tube Inspection Equipment) was developed by Ontario Hydro Technologies specifically for 12.7 mm outside diameter (OD) tubes, and later expanded to larger tubes. To date TRUSTIE has been used in all of Ontario Hydro's nuclear generating stations inspecting for flaws such as pitting, denting, and cracks at top-of-tubesheet to the U-bend region. (author)

  7. Annual radioactive waste tank inspection program -- 1993

    International Nuclear Information System (INIS)

    McNatt, F.G. Sr.

    1994-05-01

    Aqueous radioactive wastes from Savannah River Site (SRS) separations processes are contained in large underground carbon steel tanks. Inspections made during 1993 to evaluate these vessels, and evaluations based on data accrued by inspections made since the tanks were constructed, are the subject of this report. The 1993 inspection program revealed that the condition of the Savannah River Site waste tanks had not changed significantly from that reported in the previous annual report. No new leaksites were observed. No evidence of corrosion or materials degradation was observed in the waste tanks. However, degradation was observed on covers of the concrete encasements for the out-of-service transfer lines to Tanks 1 through 8

  8. AN IMPROVEMENT OF DESIGN, TECHNOLOGICAL AND ORGANIZATIONAL SOLUTIONS IN THE RECONSTRUCTION OF INSPECTION SHAFTS OF SEWAGE TUNNEL

    Directory of Open Access Journals (Sweden)

    GONCHARENKO D. F.

    2016-12-01

    Full Text Available Formulation of the problem. Ensuring reliable operation of wastewater systems is one of the important tasks of community services Ukrainian cities. Sewer pipelines and collectors in Ukrainian cities have different operation life. In some cases, the operation life of wastewater working systems is more than 100 years. Significant operating costs, maintenance and inspection of sewer networks are made to keep them in reliable condition. Sewer pipes, sewers and inspection of the mine are operated at highly aggressive conditions. The concentrations of carbon dioxide, ammonia, methane, hydrogen sulfide and other corrosive substances greater than the maximum allowable concentration in the gas environment of collectors and inspection shafts. This leads to corrosion of concrete nutrient. The problem of preservation and revitalization of existing collectors is relevant because to environmental requirements increase. An important environmental challenge is the protection of groundwater against aggressive reagents, which can get into the groundwater through a rotten constructions of wastewater systems. Purpose. Consolidation of experience survey dredging well collector in Kharkiv, an improvement of technical solutions and recommendations of ensure their continued reliable and safe operation with development, which provide resistance to dredging well construction of biogenic corrosion. Conclusion. Technology and recommendations were designed to bring construction of dredging well to normal technical condition. The aggression of the environment has been taken into account. The decisions will ensure the normal operation of dredging well for 20 years. At work was used modern corrosion-resistant materials: concrete of sulfate-resistant cement, glass-plastic composite reinforcement.

  9. New Non-Intrusive Inspection Technologies for Nuclear Security and Nonproliferation

    Science.gov (United States)

    Ledoux, Robert J.

    2015-10-01

    Comprehensive monitoring of the supply chain for nuclear materials has historically been hampered by non-intrusive inspection systems that have such large false alarm rates that they are impractical in the flow of commerce. Passport Systems, Inc. (Passport) has developed an active interrogation system which detects fissionable material, high Z material, and other contraband in land, sea and air cargo. Passport's design utilizes several detection modalities including high resolution imaging, passive radiation detection, effective-Z (EZ-3D™) anomaly detection, Prompt Neutrons from Photofission (PNPF), and Nuclear Resonance Fluorescence (NRF) isotopic identification. These technologies combine to: detect fissionable, high-Z, radioactive and contraband materials, differentiate fissionable materials from high-Z shielding materials, and isotopically identify actinides, Special Nuclear Materials (SNM), and other contraband (e.g. explosives, drugs, nerve agents). Passport's system generates a 3-D image of the scanned object which contains information such as effective-Z and density, as well as a 2-D image and isotopic and fissionable information for regions of interest.

  10. Flow cytometric analysis of microbial contamination in food industry technological lines--initial study.

    Science.gov (United States)

    Józwa, Wojciech; Czaczyk, Katarzyna

    2012-04-02

    Flow cytometry constitutes an alternative for traditional methods of microorganisms identification and analysis, including methods requiring cultivation step. It enables the detection of pathogens and other microorganisms contaminants without the need to culture microbial cells meaning that the sample (water, waste or food e.g. milk, wine, beer) may be analysed directly. This leads to a significant reduction of time required for analysis allowing monitoring of production processes and immediate reaction in case of contamination or any disruption occurs. Apart from the analysis of raw materials or products on different stages of manufacturing process, the flow cytometry seems to constitute an ideal tool for the assessment of microbial contamination on the surface of technological lines. In the present work samples comprising smears from 3 different surfaces of technological lines from fruit and vegetable processing company from Greater Poland were analysed directly with flow cytometer. The measured parameters were forward and side scatter of laser light signals allowing the estimation of microbial cell contents in each sample. Flow cytometric analysis of the surface of food industry production lines enable the preliminary evaluation of microbial contamination within few minutes from the moment of sample arrival without the need of sample pretreatment. The presented method of fl ow cytometric initial evaluation of microbial state of food industry technological lines demonstrated its potential for developing a robust, routine method for the rapid and labor-saving detection of microbial contamination in food industry.

  11. Developments in mechanical ultrasonic inspection and qualification of NDE

    International Nuclear Information System (INIS)

    Kauppinen, P.; Pitkaenen, J.; Kuusinen, P.

    2001-01-01

    Reliability of non-destructive testing results has a direct influence on structural integrity assessment and safety of the inspected structures e.g. NPP primary circuit pressure boundaries. Advanced technology together with highly skilled and experienced personnel is required. One of the current trends is automation. Mechanised equipment can replace tedious manual work in positioning and moving of the transducers. Large areas can be scanned, analysed and numerically documented for direct comparison of eventual later repeated inspections. Another major trend is qualification, which aims to ensure that the inspection results are correct and fit the purpose. The suitability and proper operation of equipment, methods and personnel i.e. the whole chain shall be proven. This presentation summarises the advances in automation and qualification of non-destructive inspection during the second project year, Monitoring of material degradation was included in the studied topics and will also be shortly described. (author)

  12. An automated system for rail transit infrastructure inspection.

    Science.gov (United States)

    2015-03-01

    This project applied commercial remote sensing and spatial information (CRS&SI) : technologies such as Ground Penetrating Radar (GPR), laser, GIS, and GPS to passenger rail : inspections. An integrated rail inspection system that can be mounted on hi...

  13. Operation of inspection data acquisition and evaluation system

    International Nuclear Information System (INIS)

    Takahashi, Yoichi; Harada, Hiroshi; Watanabe, Masayuki; Sakaguchi, Makoto; Ishikawa, Masayuki

    2016-01-01

    Rokkasho Reprocessing Plant (RRP) is a large scale plant to treat a huge amount of Plutonium significant for safeguards. The LArge SCAle Reprocessing plant safeguards (LASCAR) Forum recommended an effective utilization of unattended verification systems and automated data acquisition system etc. Based on LASCAR recommendation, Nuclear Material Control Center (NMCC) has developed the inspection data acquisition system as the automated data acquisition system from the unattended verification systems (including non-destructive assay equipment, solution monitoring system and surveillance camera). The data gathered from the unattended verification system are provided to the inspection data evaluation system for the State and the IAEA. In this development, redundancy concepts for data transfer line, in order to prevent inspection data missing, were introduced, and the timely confirmation of solution behaver such as material flows and inventories by the solution monitoring can be achieved. Furthermore, for purpose of efficiency of evaluation of inspection activity for the State, NMCC has developed the inspection data evaluation system which operates automated partition of inspection data coming from each verification equipment. Additionally, the inspection data system evaluation can manage the inspection activities and their efforts. These development and operation have been funded by JSGO (Japan Safeguards Office). This paper describes development history and operation of the inspection data acquisition and evaluation system. (author)

  14. Risk based inspection experience from the European chemical- and petrochemical industries

    International Nuclear Information System (INIS)

    Kristensen, Hans; Jeppesen, Leif; Larsen, Bjarne; Kim, Na Yon

    2001-01-01

    As an inspection vendor with 60 years of experience and with more than 25 years of experience as manufacturer of the Automated Ultrasonic NDT systems, the P-scan systems, FORCE Institute is continuously analysing the market for NDT. This is done to assure that both the equipment product line and the service mix provided by FORCE Institute are meeting the requirements from the industry today and in the future. The concept of Risk Based Inspection Programmes were adopted early by the offshore industry and has in the recent years been adopted by many other industries as a reliable and cost efficient way of maintaining a production facility. A Risk/Reliability Based Inspection Programme is a 'living organism' that constantly needs information if it shall be of any value and NDT information is only one type of information that is required. The NDT information required is normally related to corrosion/base material information and weld integrity information. NDT as an integrated part of a plants maintenance system is, in Europe, currently influenced by the following tendencies which all are related to 'Risk Based Inspection': · Increased use of Base-Line Inspections · Reduction in the use of repeated inspections(qualitative- instead of quantitative Inspections). · Inspection results are fed directly into the plant maintenance system. · Fitness-for-Purpose acceptance criteria instead of conventional acceptance criteria. As repeatability and accuracy is a key issue for the data, automated ultrasonic inspection is increasingly used as an alternative to manual ultrasonic inspection, but due to the physical size of most automated ultrasonic inspection systems the gain in productivity has not been as significant as the gain in repeatability and accuracy. In this paper some of FORCE Institute's practical experiences with examinations carried out in connection with Risk Based Inspection is used to illustrate the above described tendencies. Not only examples using automated

  15. Quality Inspection and Analysis of Three-Dimensional Geographic Information Model Based on Oblique Photogrammetry

    Science.gov (United States)

    Dong, S.; Yan, Q.; Xu, Y.; Bai, J.

    2018-04-01

    In order to promote the construction of digital geo-spatial framework in China and accelerate the construction of informatization mapping system, three-dimensional geographic information model emerged. The three-dimensional geographic information model based on oblique photogrammetry technology has higher accuracy, shorter period and lower cost than traditional methods, and can more directly reflect the elevation, position and appearance of the features. At this stage, the technology of producing three-dimensional geographic information models based on oblique photogrammetry technology is rapidly developing. The market demand and model results have been emerged in a large amount, and the related quality inspection needs are also getting larger and larger. Through the study of relevant literature, it is found that there are a lot of researches on the basic principles and technical characteristics of this technology, and relatively few studies on quality inspection and analysis. On the basis of summarizing the basic principle and technical characteristics of oblique photogrammetry technology, this paper introduces the inspection contents and inspection methods of three-dimensional geographic information model based on oblique photogrammetry technology. Combined with the actual inspection work, this paper summarizes the quality problems of three-dimensional geographic information model based on oblique photogrammetry technology, analyzes the causes of the problems and puts forward the quality control measures. It provides technical guidance for the quality inspection of three-dimensional geographic information model data products based on oblique photogrammetry technology in China and provides technical support for the vigorous development of three-dimensional geographic information model based on oblique photogrammetry technology.

  16. QUALITY INSPECTION AND ANALYSIS OF THREE-DIMENSIONAL GEOGRAPHIC INFORMATION MODEL BASED ON OBLIQUE PHOTOGRAMMETRY

    Directory of Open Access Journals (Sweden)

    S. Dong

    2018-04-01

    Full Text Available In order to promote the construction of digital geo-spatial framework in China and accelerate the construction of informatization mapping system, three-dimensional geographic information model emerged. The three-dimensional geographic information model based on oblique photogrammetry technology has higher accuracy, shorter period and lower cost than traditional methods, and can more directly reflect the elevation, position and appearance of the features. At this stage, the technology of producing three-dimensional geographic information models based on oblique photogrammetry technology is rapidly developing. The market demand and model results have been emerged in a large amount, and the related quality inspection needs are also getting larger and larger. Through the study of relevant literature, it is found that there are a lot of researches on the basic principles and technical characteristics of this technology, and relatively few studies on quality inspection and analysis. On the basis of summarizing the basic principle and technical characteristics of oblique photogrammetry technology, this paper introduces the inspection contents and inspection methods of three-dimensional geographic information model based on oblique photogrammetry technology. Combined with the actual inspection work, this paper summarizes the quality problems of three-dimensional geographic information model based on oblique photogrammetry technology, analyzes the causes of the problems and puts forward the quality control measures. It provides technical guidance for the quality inspection of three-dimensional geographic information model data products based on oblique photogrammetry technology in China and provides technical support for the vigorous development of three-dimensional geographic information model based on oblique photogrammetry technology.

  17. The SWAMI inspection robot: Fernald site requirements

    International Nuclear Information System (INIS)

    Hazen, F.B.

    1993-01-01

    The purpose of this document is to introduce and describe the Stored Waste Autonomous Mobile Inspector (SWAMI) robot project and to identify issues that will need to be addressed prior to its field demonstration at Fernald in mid-1995. SWAMI is a mobile robotic vehicle that will perform mandated weekly inspections of waste containers. Fernald has a large inventory of these containers and a need to protect workers from radiation hazards while enhancing the efficiency and effectiveness of the inspections. Fernald's role in this project is to supply the demonstration site and make all necessary preparations. This includes identification of the test areas and plans, and identification and compliance to Federal, State, DOE, and Site regulations on system safety and quality. In addition, Fernald will link SWAMI output images to off-line mass data storage, and also to an on-line ORACLE database. The authors shall initiate a dialog with State and Federal regulators towards the near term goal of acceptance of the SWAMI test plan and a longer term goal of acceptance of SWAMI as a supplement and improvement to present mandated RCRA inspections

  18. A pattern-based method to automate mask inspection files

    Science.gov (United States)

    Kamal Baharin, Ezni Aznida Binti; Muhsain, Mohamad Fahmi Bin; Ahmad Ibrahim, Muhamad Asraf Bin; Ahmad Noorhani, Ahmad Nurul Ihsan Bin; Sweis, Jason; Lai, Ya-Chieh; Hurat, Philippe

    2017-03-01

    Mask inspection is a critical step in the mask manufacturing process in order to ensure all dimensions printed are within the needed tolerances. This becomes even more challenging as the device nodes shrink and the complexity of the tapeout increases. Thus, the amount of measurement points and their critical dimension (CD) types are increasing to ensure the quality of the mask. In addition to the mask quality, there is a significant amount of manpower needed when the preparation and debugging of this process are not automated. By utilizing a novel pattern search technology with the ability to measure and report match region scan-line (edge) measurements, we can create a flow to find, measure and mark all metrology locations of interest and provide this automated report to the mask shop for inspection. A digital library is created based on the technology product and node which contains the test patterns to be measured. This paper will discuss how these digital libraries will be generated and then utilized. As a time-critical part of the manufacturing process, this can also reduce the data preparation cycle time, minimize the amount of manual/human error in naming and measuring the various locations, reduce the risk of wrong/missing CD locations, and reduce the amount of manpower needed overall. We will also review an example pattern and how the reporting structure to the mask shop can be processed. This entire process can now be fully automated.

  19. The report of inspection and repair technology of sodium cooled reactors

    International Nuclear Information System (INIS)

    Kisohara, Naoyuki; Uchita, Masato; Konomura, Mamoru

    2002-12-01

    Sodium is the most promising candidate of an FBR coolant because of its excellent properties such as high thermal conductivity. Whereas, sodium reacts with water/air and its opaqueness makes it difficult to inspect sodium components. These weaknesses of sodium affect not only plant safety but also plant availability (economy). To overcome these sodium weak points, the appropriate countermeasure must be adopted to commercialized FBR plants. This report describes the working group activities for sodium/water reaction of steam generators (SG), in-service inspection for sodium components and sodium leak due to sodium components boundary failure. The prospect of each countermeasure is discussed in the viewpoint of the commercialized FBR plants. 1) Sodium/water reaction. The principle of the countermeasure for sodium/water reaction accidents was organized in the viewpoint of economy (the investment of SG and the plant availability). The countermeasures to restrain failure propagation were investigated for a large-sized SG. Preliminary analysis revealed the possibility of minimizing tubes failure propagation by improving the leak detection system and the blow down system. Detailed failure propagation analysis will be required and the early water leak detection system and rapid blow system must be evaluated to realize its performance. 2) In-service inspection (ISI and R). The viewpoint of the commercialized plant's ISI and R was organized by comparing with the prototype reactor's ISI and R method. We also investigated short-term ISI and R method without sodium draining to prevent the degrading of the plant availability, however, it is difficult to realize the with the present technology. Hereafter, the ISI and R of the commercialized plants must be defined by considering its characteristics. 3) Sodium leak from the components. This report organized the basic countermeasure policy for primary and secondary sodium leak accidents. Double-wall structure of sodium piping was

  20. Image formation simulation for computer-aided inspection planning of machine vision systems

    Science.gov (United States)

    Irgenfried, Stephan; Bergmann, Stephan; Mohammadikaji, Mahsa; Beyerer, Jürgen; Dachsbacher, Carsten; Wörn, Heinz

    2017-06-01

    In this work, a simulation toolset for Computer Aided Inspection Planning (CAIP) of systems for automated optical inspection (AOI) is presented along with a versatile two-robot-setup for verification of simulation and system planning results. The toolset helps to narrow down the large design space of optical inspection systems in interaction with a system expert. The image formation taking place in optical inspection systems is simulated using GPU-based real time graphics and high quality off-line-rendering. The simulation pipeline allows a stepwise optimization of the system, from fast evaluation of surface patch visibility based on real time graphics up to evaluation of image processing results based on off-line global illumination calculation. A focus of this work is on the dependency of simulation quality on measuring, modeling and parameterizing the optical surface properties of the object to be inspected. The applicability to real world problems is demonstrated by taking the example of planning a 3D laser scanner application. Qualitative and quantitative comparison results of synthetic and real images are presented.

  1. Overview of remote handling technologies developed for inspection and maintenance of spent fuel management facilities in France

    Energy Technology Data Exchange (ETDEWEB)

    Desbats, Philippe [CEA - Direction de la Recherche Technologique / LIST, BP 6 - 92265, Fontenay-aux-Roses cedex (France); Piolain, Gerard [COGEMA-HAG/DMCO, AREVA NC SA, 2, rue Paul Dautier, BP 4, 78 141 Velizy Cedex (France)

    2006-07-01

    In the facilities of the end of the nuclear fuel cycle, like spent fuel storage pools, reprocessing plants, Plutonium-based fuel manufacturing plants or waste temporary storage units, materials handling must be carried out remotely, taking into account the nuclear radiating environment. In addition to the automation requirement, robotics equipment in the nuclear industry must be substituted to human operators in order to respect the ALARA principle. More over, remote handling technologies aim to improve the working conditions, as well as the quality of the work achieved by the operators. Ten years ago, COGEMA (AREVA Group) and CEA (French Atomic Energy Agency) started an ambitious R and D program in robotics and remote handling technologies applied to COGEMA spent fuel management facilities in France, with the aim to cover the requirements of the different plant life cycle steps. The paper gives an overview of the important developments that have been carried out by CEA and then transferred to the COGEMA industrial group. The range includes the next generation of servo-manipulators, long range inspection tools and carriers, nuclear versions of industrial robots, radiation hardened electronic systems, interactive environment modeling tools, as well as force-feedback master-slave generic control software for tele-operation systems. Some applications of this development are presented in the paper: - rad-hard electronic modules for robotic equipment which are used by COGEMA in high radiating environment; - long reach articulated carrier for inspection of spent full management blind cells; - new electrical force feedback master/slave system to improve the tele-operation of standard tele-manipulators; - generic control software for tele-manipulators. The results of the robotic program carried out by COGEMA and CEA have been very valuable for the introduction of new technologies inside nuclear industry. Innovative products and sub-systems can be integrated now in a large

  2. An evaluation of optical tool inspection and compensation technologies. CRADA final report for CRADA Number Y-1291-0052

    Energy Technology Data Exchange (ETDEWEB)

    Babelay, E.F. [Lockheed Martin Energy Systems, Inc., Oak Ridge, TN (United States); Centola, J.; Zorger, W.; Serafin, W. [United Technologies, East Hartford, CT (United States). Pratt and Whitney Div.

    1994-05-15

    A Cooperative Research And Development Agreement (CRADA) was established April 1992 between Martin Marietta Energy Systems, Inc. and United Technologies Corporation, Pratt and Whitney Division to evaluate the existing applicability of the Energy Systems optical tool inspection and compensation system (OTICS) for use at Pratt and Whitney`s East Hartford Plant. The OTICS was developed at the Oak Ridge Y-12 Plant and optically measures the shape of a single point cutting tool. The tool shape inspection provides process information relating to tool wear and if desired the tool shape geometry can be used to generate a new numerical control machining program that is compensated for the tool forms errors. The tool wear measurement capability of OTICS was successfully evaluated in the Phase-1 testing. The testing verified that OTICS can easily detect tool wear and the {+-} 0.0001 inch resolution obtained was sufficient for the larger cutter inserts used by Pratt and Whitney (P and W). During the tool wear experiments at P and W, a second potential use identified for OTICS was the accurate on-machine dimensional verification of special ground contour forming tools. The OTICS tool path compensation experiment demonstrated the varied technologies that are integrated in the tool path compensation process. The OTICS system was successful at inspecting the 0.125 in. radius tool and compensating the tool path for tool form errors. The need for automated interfaces between the OTICS computer and controller along with the part program requirements and the overall compensation methodology were highlighted in the demonstration.

  3. Developing an App by Exploiting Web-Based Mobile Technology to Inspect Controlled Substances in Patient Care Units

    Science.gov (United States)

    2017-01-01

    We selected iOS in this study as the App operation system, Objective-C as the programming language, and Oracle as the database to develop an App to inspect controlled substances in patient care units. Using a web-enabled smartphone, pharmacist inspection can be performed on site and the inspection result can be directly recorded into HIS through the Internet, so human error of data translation can be minimized and the work efficiency and data processing can be improved. This system not only is fast and convenient compared to the conventional paperwork, but also provides data security and accuracy. In addition, there are several features to increase inspecting quality: (1) accuracy of drug appearance, (2) foolproof mechanism to avoid input errors or miss, (3) automatic data conversion without human judgments, (4) online alarm of expiry date, and (5) instant inspection result to show not meted items. This study has successfully turned paper-based medication inspection into inspection using a web-based mobile device. PMID:28286761

  4. Inspection-Class Remotely Operated Vehicles—A Review

    Directory of Open Access Journals (Sweden)

    Romano Capocci

    2017-03-01

    Full Text Available This paper presents a review of inspection-class Remotely Operated Vehicles (ROVs. The review divides the classification of inspection-class ROVs; categorising the vehicles in order of size and capability. A state of the art technology review is undertaken, discussing various common subsystems of the ROV. Standard and novel ROV shapes and designs are reviewed, with emphasis on buoyancy, frame materials and hydrodynamics. Several power considerations and designs are discussed, accounting for battery fed and mains fed systems. ROV telemetry is split into a discussion on the various transmission hardware systems and the communication protocols that are most widely used in industry and research today. A range of thruster technologies is then introduced with consideration taken of the various thruster architectures available. Finally, the navigation and positioning sensors employed for ROV navigation and control are reviewed. The author has also created a number of comparison tables throughout the review; tables include comparison of wired data transmission technology, comparison of common ROV communication protocols and comparisons of various inertial navigation systems. By the end of the review the reader will have clearer understanding on the fundamentals of inspection-class ROV technologies and can use this as an introduction to further paper investigation.

  5. Inspection practice and a role of TSO

    International Nuclear Information System (INIS)

    Janzekovic, H.

    2010-01-01

    Technical and Scientific Support Organisations (TSOs) are not only expert organisations helping operators to fulfil the legal obligations but they can perform at least five tasks related to regulatory authority activities. Namely, TSOs can be an expert support when preparing legislation or guidelines. They can provide specific technical service e.g. providing independent monitoring of radioactivity in the environment or monitoring of foodstuff. They can also provide valuable data to regulatory authorities because they follow the achievements of science and technologies i.e. they are familiar with best available techniques and technologies. In many cases TSOs can be a pool of future employees of regulatory authorities and TSOs are expert or technical support at on-site inspections. Involvement of TSOs in on-site inspections either foreseen inspections or interventions requires very well defined roles of all organisations involved. Regarding the experiences of the Slovenian Nuclear Safety Administration the involvement of TSOs in on-site inspections is very beneficial to all stakeholders and leads not only to less financial and other burdens posed on the owner of sources but also basic safety requirements are implemented efficiently. (author)

  6. A wall-crawling robot for reactor vessel inspection in advanced reactors

    International Nuclear Information System (INIS)

    Spelt, P.F.; Crane, C.; Feng, L.; Abidi, M.; Tosunoglu, S.

    1994-01-01

    A consortium of four universities and the Center for Engineering Systems Advanced Research of the Oak Ridge National Laboratory has designed a prototype wall-crawling robot to perform weld inspection in advanced nuclear reactors. Design efforts for the reactor vessel inspection robot (RVIR) concentrated on the Advanced Liquid Metal Reactor because it presents the most demanding environment in which such a robot must operate. The RVIR consists of a chassis containing two sets of suction cups that can alternately grasp the side of the vessel being inspected, providing both locomotion and steering functions. Sensors include three CCD cameras and a weld inspection device based on new shear-wave technology. The restrictions of the inspection environment presented major challenges to the team. These challenges were met in the prototype, which has been tested in a non-radiation, room-temperature mockup of the robot work environment and shown to perform as expected. (author)

  7. A wall-crawling robot for reactor vessel inspection in advanced reactors

    International Nuclear Information System (INIS)

    Spelt, P.F.; Crane, C.; Feng, L.; Abidi, M.; Tosunoglu, S.

    1994-01-01

    A consortium of four universities and the Center for Engineering Systems Advanced Research of the Oak Ridge National Laboratory has designed a prototype wall-crawling robot to perform weld inspection in advanced nuclear reactors. Design efforts for the reactor vessel inspection robot (RVIR) concentrated on the Advanced Liquid Metal Reactor because it presents the most demanding environment in which such a robot must operate. The RVIR consists of a chassis containing two sets of suction cups that can alternately grasp the side of the vessel being inspected, providing both locomotion and steering functions. Sensors include three CCD cameras and a weld inspection device based on new shear-wave technology. The restrictions of the inspection environment presented major challenges to the team. These challenges were met in the prototype, which has been tested in a non-radiation, room-temperature mockup of the robot work environment and shown to perform as expected

  8. Employing innovative techniques to reduce inspection times

    International Nuclear Information System (INIS)

    Heumueller, R.; Guse, G.; Dirauf, F.; Fischer, E.

    1997-01-01

    Shorter inspection periods mean lower revision costs and less tight revision schedules, but must not detract from the quality of inspection findings. This requirement imposes upon the company performing the inspection the need for top achievements both in quality management and in the use of innovative techniques. Flexible equipment systems and inspection techniques adapted to specific purposes are able to reduce inspection times in many inspection jobs. As part of a complete system designed to reduce inspection times, the new Saphir (Siemens Alok Phased Array Integrated Reliable UT-System) inspection equipment system is the core of most of the recent innovations. Being an integrated inspection equipment system, it is able to handle conventional US probes as well as arrays and phased arrays. It is open for further matching to specific inspection and administrative requirements and developments, and it may be incorporated in the network of an integrated system with a database. A technological leap in probe design in the past few years has allowed controllable wave fields to be generated which are in no way inferior to those of conventional probes with fixed angles of incidence. In this way, a number of inspection techniques can be implemented with a single probe. This reduces inspection times, setup and retooling times, and doses. Typical examples already used in practice are the LLT (longitudinal-longitudinal-transverse waves) technique and the integration of inspections for longitudinal and transverse defects in a single run. In the near future, surfaces with complicated curvatures will be inspected by novel modular robot systems consisting of individual modules of linear axes and rotational axes. (orig.) [de

  9. SunLine Transit Agency Advanced Technology Fuel Cell Bus Evaluation: Fourth Results Report

    Energy Technology Data Exchange (ETDEWEB)

    Eudy, L.; Chandler, K.

    2013-01-01

    SunLine Transit Agency, which provides public transit services to the Coachella Valley area of California, has demonstrated hydrogen and fuel cell bus technologies for more than 10 years. In May 2010, SunLine began demonstrating the advanced technology (AT) fuel cell bus with a hybrid electric propulsion system, fuel cell power system, and lithium-based hybrid batteries. This report describes operations at SunLine for the AT fuel cell bus and five compressed natural gas buses. The U.S. Department of Energy's National Renewable Energy Laboratory (NREL) is working with SunLine to evaluate the bus in real-world service to document the results and help determine the progress toward technology readiness. NREL has previously published three reports documenting the operation of the fuel cell bus in service. This report provides a summary of the results with a focus on the bus operation from February 2012 through November 2012.

  10. Pre-service baseline inspection using x-probe of Oconee replacement steam generators

    International Nuclear Information System (INIS)

    Addario, M.; Shipp, P.; Davis, K.; Fogal, C.

    2003-01-01

    The eddy current method has been the industry standard for inspecting steam generator tubing for many years and the level of sophistication of coil technology has continued to evolve during that time. State of the art array probe systems now employ multiple sensitivity zones in the probe to better detect and characterize defects in an efficient manner. Owners and regulators of nuclear power plants are interested in the most effective and efficient inspection possible. The ultimate goal has been to meet or exceed new and existing regulatory and design requirements by maximizing the quantity and quality of eddy current data collected while minimizing both the time needed to perform the inspection and the radiation exposure. The X-Probe is an example of this new eddy current array technology. Qualified to detect all types of known defects in steam generator tubing, the technology is comprised of a system of probe, data acquisition instrumentation, computer and human interface software. Recently, Duke Power, along with Babcock and Wilcox Canada and the system developer R/D Tech, collaborated to implement this technology in a first of a kind full scale pre-service inspection of replacement steam generators for Duke Power's Oconee nuclear generating station at Babcock and Wilcox Canada's Cambridge plant. The discussion in this paper will briefly describe the X-Probe technology, describe the system required to perform the inspection, present the general results of the inspection and finally draw some comparative benefit conclusions for both pre-service and in-service applications. (author)

  11. Acoustic tool for leak inspections in steam generator divider plates

    International Nuclear Information System (INIS)

    Kowalewski, J.; Maak, P.; Colbert, M.

    1997-01-01

    Cross flow leakage through divider plates in the primary head of steam generators, may be a contributor to RIHT rise which can have a negative effect on the operation of a plant. A method to provide quick and reliable inspection of divider plate leakage can be very useful in helping make timely and effective maintenance decisions. A novel acoustic tool for performing inspections in drained steam generators during shutdowns has been developed by OH Technologies and successfully demonstrated in a field application. The technique, referred as ALIS (acoustic leak inspection system), performs a scan of the divider plate face and presents a graphic image of the leakage paths and an estimate of the total leakage area. (author)

  12. Pulse-echo ultrasonic inspection system for in-situ nondestructive inspection of Space Shuttle RCC heat shields.

    Energy Technology Data Exchange (ETDEWEB)

    Roach, Dennis Patrick; Walkington, Phillip D.; Rackow, Kirk A.

    2005-06-01

    properly survey the heat shield panels. System features were introduced to minimize the potential for human factors errors in identifying and locating the flaws. The in-situ NDI team completed the transfer of this technology to NASA and USA employees so that they can complete 'Return-to-Flight' certification inspections on all Shuttle Orbiters prior to each launch.

  13. Proceedings of the workshop for exchange of technology for CWC inspections

    Energy Technology Data Exchange (ETDEWEB)

    McGuire, R.R.

    1993-04-01

    With the signing of the Chemical Weapons Convention (CWC), the work of the Preparatory Commission in defining the modalities of on-site verification inspections will begin early in 1993. One of the methods for increasing the effectiveness of inspections is the collection of samples for chemical analysis. The CWC allows for this analysis to be performed either at the site of the inspection or in a dedicated off-site laboratory. The decision as to where samples are to be analyzed in any specific instance may involve a consideration of the threat, real or perceived, to the compromise of legitimate sensitive host-party information. The ability to perform efficient chemical analysis at the inspection site, where samples remain in joint (host-inspector) custody and the analytical procedures can be observed by the host, can alleviate much of the concern over possible loss of confidential information in both government and industry. This workshop was designed to encourage the exchange of information among participants with experience in the use of analytical equipment for on-site sample collection and analysis. Individual projects are processed separately for the databases.

  14. Line lessons: Enbridge's Northern Line provides valuable information

    Energy Technology Data Exchange (ETDEWEB)

    Ross, E.

    2000-02-01

    Experiences gained from the 14-year old Norman Wells crude oil pipeline in the Northwest Territories may provide operators with valuable insights in natural gas pipeline developments in northern Canada. The Norman Wells line is the first and only long-distance pipeline in North America buried in permafrost and has proven to be a veritable laboratory on pipeline behaviour in extremely cold climates which also happen to be discontinuous at the same time. The line was built by Enbridge with a 'limit state' design, i e. it was built to move within the permafrost within certain limits, the amount of movement depending upon the area in which the line was built. This technology, which is still cutting edge, allows the pipeline to react to the freeze-thaw cycle without being affected by the heaving and resettling. The knowledge gained from the Norman Wells Line has come in very useful in the more recent AltaGas Services project transporting natural gas from a nearby well into the the town of Inuvik. Enbridge also contributed to the development of various pipeline inspection tools such as the 'Geopig' which travels within the pipeline and can pinpoint the location of problems practically within a matter of inches, and the 'Rolligon' an amphibious vehicle with five-foot diameter rubber tires that displaces only two pounds per square inch, leaving barely a track as it travels along the right-of-way during times other than winter.

  15. An on-line monitoring system for navigation equipment

    Science.gov (United States)

    Wang, Bo; Yang, Ping; Liu, Jing; Yang, Zhengbo; Liang, Fei

    2017-10-01

    Civil air navigation equipment is the most important infrastructure of Civil Aviation, which is closely related to flight safety. In addition to regular flight inspection, navigation equipment's patrol measuring, maintenance measuring, running measuring under special weather conditions are the important means of ensuring aviation flight safety. According to the safety maintenance requirements of Civil Aviation Air Traffic Control navigation equipment, this paper developed one on-line monitoring system with independent intellectual property rights for navigation equipment, the system breakthroughs the key technologies of measuring navigation equipment on-line including Instrument Landing System (ILS) and VHF Omni-directional Range (VOR), which also meets the requirements of navigation equipment ground measurement set by the ICAO DOC 8071, it provides technical means of the ground on-line measurement for navigation equipment, improves the safety of navigation equipment operation, and reduces the impact of measuring navigation equipment on airport operation.

  16. How to inspect platform risers: an effective approach and new challenges

    International Nuclear Information System (INIS)

    Agthoven, Robert van

    2007-01-01

    Ageing risers on offshore platforms, designed and built several decades ago, were until recently hard to inspect or not inspectable at all. This was due to their layout or ID geometry changes prohibiting the use of product propelled intelligent pigs, which are frequently used, on long distance pipelines. Growing concerns about reliability and safety, as well as environmental pressure, are the impetus for operators of offshore platforms to check the condition of the risers, which until now could not be inspected. This paper describes a few solutions to enter these 'non-inspect-able' risers to check their condition by using special small diameter cable operated tools. These new miniature cable tools are spin-offs from the well-known cable (tether, umbilical) tools introduced more than two decades ago by RTD for the inspection of (off)-loading lines. The first generation gravity driven small diameter riser inspection tools were designed to inspect the vertical section of the riser only. On-going development has resulted in a second generation of riser inspection tools which can now enter a few hundred metres into the horizontal connecting pipeline on the sea-floor by using a small, but powerful, crawler. With this ability these tools are the only solution for riser-pipes and relatively short connecting pipelines which terminate at a T-or Y-connection in the vicinity of the platform. All riser inspection tools apply ultrasonics to quantify wall thickness and corrosion. A novel TOFD (Time Of Flight Diffraction) module can be applied as an option to inspect in the circumferential weld area. All cable tools have a reinforced cable, which is used for tool control and retrieval. A strong advantage of these cable tools is that they provide on-line results and allow on-site evaluation. Since the introduction of these new tools considerable experience has been gained. The history of development and several typical cases of applications are given in this paper. In addition

  17. International Nuclear Safeguards Inspection Support Tool (INSIST)

    International Nuclear Information System (INIS)

    St. Pierre, D.E.; Steinmaus, K.L.; Moon, B.D.

    1994-07-01

    DOE is committed to providing technologies to the International Atomic Energy Agency (IAEA) to meet escalating monitoring and inspection requirements associated with the Non-Proliferation Treaty (NPT). One example of technology provided to the IAEA is the information management and remote monitoring capabilities being customized for the IAEA by the International Safeguards Division of the Office of Non-Proliferation and National Security. The ongoing Safeguards Information Management Systems (SIMS) program is an interlaboratory effort providing the IAEA with a range of information management capabilities designed to enhance the effectiveness of their nuclear inspection activities. The initial commitment involved the customization of computer capabilities to provide IAEA with the basic capability to geographically organize, store, and retrieve the large quantity of information involved in their nuclear on site inspection activities in Iraq. This initial system, the International Nuclear Safeguards Inspection Support Tool (INSIST), was developed by DOE's Pacific Northwest Laboratory (PNL). To date, two INSIST workstations have been deployed at the IAEA. The first has been used to support the IAEA Action Team in the inspection of Iraqi nuclear facilities since August 1993. A second, and similar, workstation has been deployed to support environmental monitoring under the IAEA 93+2 Programme. Both INSIST workstations geographically integrate analog (video) and digital data to provide an easy to use and effective tool for storing retrieving and displaying multimedia site and facility information including world-wide maps, satellite and aerial imagery, on site photography, live inspection videos, and treaty and inspection textual information. The interactive, UNIX-based workstations have a variety of peripheral devices for information input and output. INSIST software includes commercial-off-the-shelf (COTS) modules and application-specific code developed at PNL

  18. Space Shuttle Communications Coverage Analysis for Thermal Tile Inspection

    Science.gov (United States)

    Kroll, Quin D.; Hwu, Shian U.; Upanavage, Matthew; Boster, John P.; Chavez, Mark A.

    2009-01-01

    The space shuttle ultra-high frequency Space-to-Space Communication System has to provide adequate communication coverage for astronauts who are performing thermal tile inspection and repair on the underside of the space shuttle orbiter (SSO). Careful planning and quantitative assessment are necessary to ensure successful system operations and mission safety in this work environment. This study assesses communication systems performance for astronauts who are working in the underside, non-line-of-sight shadow region on the space shuttle. All of the space shuttle and International Space Station (ISS) transmitting antennas are blocked by the SSO structure. To ensure communication coverage at planned inspection worksites, the signal strength and link margin between the SSO/ISS antennas and the extravehicular activity astronauts, whose line-of-sight is blocked by vehicle structure, was analyzed. Investigations were performed using rigorous computational electromagnetic modeling techniques. Signal strength was obtained by computing the reflected and diffracted fields along the signal propagation paths between transmitting and receiving antennas. Radio frequency (RF) coverage was determined for thermal tile inspection and repair missions using the results of this computation. Analysis results from this paper are important in formulating the limits on reliable communication range and RF coverage at planned underside inspection and repair worksites.

  19. Dry Cask Storage Inspection and Monitoring. Interim Report.

    Energy Technology Data Exchange (ETDEWEB)

    Bakhtiari, Susan [Argonne National Lab. (ANL), Argonne, IL (United States); Elmer, Thomas W. [Argonne National Lab. (ANL), Argonne, IL (United States); Koehl, Eugene R. [Argonne National Lab. (ANL), Argonne, IL (United States); Wang, Ke [Argonne National Lab. (ANL), Argonne, IL (United States); Raptis, Apostolos C. [Argonne National Lab. (ANL), Argonne, IL (United States); Kunerth, Dennis C. [Idaho National Lab. (INL), Idaho Falls, ID (United States); Birk, Sandra M. [Idaho National Lab. (INL), Idaho Falls, ID (United States)

    2014-03-04

    Recently, the U.S. Nuclear Regulatory Commission (NRC) issued the guidance on the aging management of dry storage facilities that indicates the necessity to monitor the conditions of dry cask storage systems (DCSSs) over extended periods of time.1 Part of the justification of the aging management plans is the requirement for inspection and monitoring to verify whether continued monitoring, inspection or mitigation are necessary. To meet this challenge Argonne National Laboratory (ANL) in collaboration with Idaho National Laboratory (INL) is conducting scoping studies on current and emerging nondestructive evaluation/examination (NDE) and online monitoring (OLM) technologies for DCSS integrity assessments. The scope of work plan includes identification and verification of technologies for long-term online monitoring of DCSSs’ crucial physical parameters such as temperature, pressure, leakage and structural integrity in general. Modifications have been made to the current technologies to accommodate field inspections and monitoring. A summary of the scoping studies and experimental efforts conducted to date as well as plans for future activities is provided below.

  20. An automated eddy current in-service inspection system for nuclear steam generator tubing

    International Nuclear Information System (INIS)

    Wells, N.S.

    1981-06-01

    A prototype steam generator in-service inspection system incorporating remotely-controlled instrumentation linked by a digital transmission line to an instrument and control trailer outside the reactor containment has been designed and manufactured and is presently undergoing field tests. The (Monel 400) steam generator tubes are scanned two at a time using absolute eddy current probes controlled by two remotely-operated probe drives at a scanning speed of 0.5 m/s. The probes are positioned on the tubesheet by a light-weight (1.5 kg) microprocessor-operated tubesheet walker mechanism. Digitized control and data signals are transmitted up to 300 m to the control trailer. There the control and analysis computers extract the relevant signal information and present it in condensed form as labelled graphics on CRT consoles for on-line visual assessment. Hard copy output is also provided for each tube scanned (one per minute). Condensed data is archived on magnetic tapes for additional off-line analysis and comparisons with other inspections

  1. Optimizing pre-service inspection data

    International Nuclear Information System (INIS)

    Mullins, L.E.; Harrison, J.M.

    1986-01-01

    The phenomenon of intergranular stress corrosion cracking (IGSCC) in nuclear power plant piping systems has been the single biggest factor in an evolution that has changed and continues to change in-service inspection practices and philosophy throughout the industry. In-service inspection has been found to deal with several distinct phases of the problem. At the onset, existing technology was demonstrated to be unable to detect IGSCC adequately. This inability to detect IGSCC was soon overcome. However, it immediately pointed out the difficulties associated with the discrimination between IGSCC and other harmless metallurgical conditions. On the heels of detection and discrimination came problems associated with determining crack size and the monitoring of overlayed flaws. A wealth of information has been written on all phases of IGSCC; however, one particular aspect has as of yet seen little attention. With an increasing number of plants choosing to replace flawed pipe, the pre-service inspection of the replaced piping demands increased attention. The lessons learned through inspection for IGSCC need again to be applied to the replacement piping. Through increased attention at installation, many of the difficulties associated with proper inservice inspection may be reduced or eliminated, thereby realizing an economy in outage scheduling, personnel exposure, and, overall, a more reliable in-service examination

  2. Development of visual inspection technology for HTTR core support graphite structure

    International Nuclear Information System (INIS)

    Maruyama, So; Iyoku, Tatsuo; Inagaki, Yoshiyuki; Shiozawa, Shusaku; Masuma, Yoshitaka; Miki, Toshiya.

    1996-01-01

    The Japan Atomic Energy Research Institute is now constructing the High Temperature Engineering Test Reactor (HTTR), which employs a visual inspection of core support graphite structure, as an inservice inspection (ISI). In this inspection, TV camera will be used to investigate the alignment and integrity of the structure. Therefore, the ISI system, a combination of radiation tolerant TV camera and graphic processing system, is developed and examined its detectability and viewing angles using a simulated hot plenum of HTTR, which has artificial defects. As a result of a series of tests, it was confirmed that this system satisfied the requirements and was quite applicable for the ISI system of HTTR core support graphite structure. In addition, further improvement of the system, like a remote control procedure, will be investigated. (author)

  3. On-line monitoring system of PV array based on internet of things technology

    Science.gov (United States)

    Li, Y. F.; Lin, P. J.; Zhou, H. F.; Chen, Z. C.; Wu, L. J.; Cheng, S. Y.; Su, F. P.

    2017-11-01

    The Internet of Things (IoT) Technology is used to inspect photovoltaic (PV) array which can greatly improve the monitoring, performance and maintenance of the PV array. In order to efficiently realize the remote monitoring of PV operating environment, an on-line monitoring system of PV array based on IoT is designed in this paper. The system includes data acquisition, data gateway and PV monitoring centre (PVMC) website. Firstly, the DSP-TMS320F28335 is applied to collect indicators of PV array using sensors, then the data are transmitted to data gateway through ZigBee network. Secondly, the data gateway receives the data from data acquisition part, obtains geographic information via GPS module, and captures the scenes around PV array via USB camera, then uploads them to PVMC website. Finally, the PVMC website based on Laravel framework receives all data from data gateway and displays them with abundant charts. Moreover, a fault diagnosis approach for PV array based on Extreme Learning Machine (ELM) is applied in PVMC. Once fault occurs, a user alert can be sent via E-mail. The designed system enables users to browse the operating conditions of PV array on PVMC website, including electrical, environmental parameters and video. Experimental results show that the presented monitoring system can efficiently real-time monitor the PV array, and the fault diagnosis approach reaches a high accuracy of 97.5%.

  4. Development of bus duct inspection robot at nuclear power plant

    International Nuclear Information System (INIS)

    Hamada, Mamoru; Hoshi, Teruaki; Komura, Yoshinari

    2017-01-01

    Under the present situation, nuclear power plant has some places which are inspected with difficulty or not inspected due to narrowness or physical restriction, when carrying out periodical inspection. The subject of our research and development is to improve the accuracy of inspection and also to save labor (liberation from distress work of the worker) by applying a robot technology to the periodical inspection of the nuclear power plant. As a specific example, we report that developed robot can inspect inside the narrow space of Isolated Phase Bus ducts, which connect between a turbine generator and the main transformer. (author)

  5. Phased-array technology for automatic pipeline inspection; Phased Array-Technologie fuer automatisierte Pipeline-Inspektion

    Energy Technology Data Exchange (ETDEWEB)

    Bosch, J.; Hugger, A.; Franz, J. [GE Energy, PII Pipetronix GmbH, Stutensee (Germany); Falter, S.; Oberdoerfer, Y. [GE Inspection Technology Systems, Huerth (Germany)

    2004-07-01

    Pipeline inspection pigs with individual test probes are limited in their function due to the fixed arrangement of sensors on the support. In contrast, the phased-array technology enables multitasking of tests, e.g. stress and corrosion testing which formerly required two different test runs with different sensor set-ups. The angles of inclination can be adapted to the test medium, and virtual sensors can be matched in size and overlap so that, e.g., small pittings will be detected. The sensor set-up presented here enables higher test speed and improved flaw detection. The contribution describes the measuring principle, the inspection pig (UltraScan DUO), and some results of prototype measurements. [German] Pruefmolche fuer die Pipelinepruefung mit Einzelpruefkoepfen sind in ihrem Funktionsumfang aufgrund der festliegenden Anordnung der Sensoren im Sensortraeger eingeschraenkt. Die Phased-Array-Technologie gestattet die simultane Durchfuehrung verschiedener Pruefaufgaben, wie beispielsweise der Rissund der Korrosionspruefung, die vorher zwei Prueflaeufe mit verschiedenen Sensortraegern erforderten. Die Einfallswinkel koennen auf das jeweilige Medium angepasst werden, und es besteht die Moeglichkeit, virtuelle Sensoren bezueglich ihrer Groesse und der gegenseitigen Ueberlappung so anzupassen, dass beispielsweise kleine Pittings gefunden werden koennen. Die ausgefuehrte Form gestattet hoehere Pruefgeschwindigkeit und verbesserte Fehlerauffindung. In diesem Artikel werden das Messprinzip und der Inspektionsmolch (UltraScan DUO) beschrieben sowie einige Prototyp-Messergebnisse vorgestellt.

  6. ACEC: remote inspection, remote intervention, autonomous vehicle

    International Nuclear Information System (INIS)

    Anon.

    1986-01-01

    Early in 1979, the accident at the TMI-2 nuclear power station focused attention on the lack of inspection and intervention means in containments where high radiation levels do not allow the entrance of humans. Recent years have seen a trend towards significant developments in the application of robotic technology to maintenance and inspection in nuclear facilities. This paper presents the general development concept and the technical specifications of a mobile robot [fr

  7. A development methodology for a remote inspection system with JAVA and socket

    International Nuclear Information System (INIS)

    Choi, Yoo Rark; Lee, Jae Cheol; Kim, Jae Hee

    2004-01-01

    We have developed RISYS (Reactor Inspection System) which inspects reactor vessel welds by an underwater mobile robot. The system consists of a main control computer and an inspection robot which is controlled by the main control computer. Since the environments of the inspection tasks in a nuclear plant, like in other industrial fields, is very poor, serious accidents often happen. Therefore the necessity for remote inspection and control system has increased more and more. We have carried out the research for a remote inspection model for RISYS, and have adopted the world wide web, java, and socket technologies for it. Client interface to access the main control computer that controls the inspection equipment is essential for the development of a remote inspection system. It has been developed with a traditional programming language, for example, Visual C++, Visual Basic and X-Window. However, it is too expensive to vend and maintain the version of a interface program because of the different computer O/S. Nevertheless web and java technologies come to the fore to solve the problems but the java interpreting typed language could incur a performance problem in operating the remote inspection system. We suggest a methodology for developing a remote inspection system with java, a traditional programming language, and a socket programming that solves the java performance problem in this paper

  8. Implementing Information Security and Its Technology: A LineManagement Perspective

    Energy Technology Data Exchange (ETDEWEB)

    Barletta, William A.

    2005-08-22

    Assuring the security and privacy of institutionalinformation assets is a complex task for the line manager responsible forinternational and multi-national transactions. In the face of an unsureand often conflicting international legal framework, the line managermust employ all available tools in an Integrated Security and PrivacyManagement framework that ranges from legal obligations, to policy, toprocedure, to cutting edge technology to counter the rapidly evolvingcyber threat to information assets and the physical systems thatinformation systems control.

  9. Development and operation of the Above Dome Inspection Rig (ADIR)

    International Nuclear Information System (INIS)

    Dickson, R.P.; Moorby, J.

    1984-01-01

    Hinkley Point 'B' is developing its remote inspection equipment in order to be able to inspect reactor internals adequately without manned vessel entry. The Above Dome Inspection Rig has been built to allow a number of inspection systems to be introduced and operated within the reactor. The ability to introduce, use and remove inspection equipment without the necessity to lift the rig from the reactor is a vital feature in the speed achieved in completing inspections quickly. Television was selected for the A.D.M. because it has significant advantages in terms of operational convenience. However the quality of image obtained in terms of information available compares unfavourably with photography. The sharpness of a photographic image is largely dictated by the chemical structure of the emulsion, whereas video is limited by the picture line structure and bandwidth. The need for a photographic system for in reactor use is therefore essential for high definition inspection requirements. The first inspection system that has been developed for the ADIR is the Telefilm camera. It consists of a Hasselblad photographic camera using an Insight television camera looking through its viewfinder. The characteristics of television and photography have been combined. (author)

  10. Design Concept of Array ECT Sensor for Steam Generator Tubing Inspection

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Chan Hee; Lee, Tae Hun; Yoo, Hyun Ju [Korea Hydro and Nuclear Power Co. Ltd. CRI, Daejeon (Korea, Republic of)

    2015-05-15

    The eddy current testing, which is one of the nondestructive examination methods, is widely used for the inspection of heat exchangers including steam generator tubing in the nuclear power plant. It uses electromagnetic induction to detect flaws in conductive materials. Two types of eddy current probes are conventionally used for the inspection of steam generator tubing according to the main purpose. One is the bobbin probe technology and the other is the rotating probe. During the inspection, they have restrictions for the flaw detection or the inspection speed. An array probe can be alternative to the bobbin and rotating probes. The design concept of array coils with high sensitivity is described in this paper. It is expected that the eddy current testing using this type of array sensors may provide high detectability and resolution for flaws in steam generator tubing. Eddy current technology has some barriers for the inspection of steam generator tubing in the nuclear power plant. Bobbin probes offer poor circumferential crack detection and rotating probes are time and money consuming due to the mechanical rotation. Array probe inspection technique can replace bobbin and rotating probe techniques due to its sensitivity for flaw detection and inspection speed. In general, circular-shaped coils are considered in an array eddy current probe.

  11. Double-shell tank ultrasonic inspection plan. Revision 1

    International Nuclear Information System (INIS)

    Pfluger, D.C.

    1994-01-01

    The waste tank systems managed by the Tank Waste Remediation System Division of Westinghouse Hanford Company includes 28 large underground double-shell tanks (DST) used for storing hazardous radioactive waste. The ultrasonic (UT) inspection of these tanks is part of their required integrity assessment (WAC 1993) as described in the tank systems integrity assessment program plan (IAPP) (Pfluger 1994a) submitted to the Ecology Department of the State of Washington. Because these tanks hold radioactive waste and are located underground examinations and inspections must be done remotely from the tank annuli with specially designed equipment. This document describes the UT inspection system (DSTI system), the qualification of the equipment and procedures, field inspection readiness, DST inspections, and post-inspection activities. Although some of the equipment required development, the UT inspection technology itself is the commercially proven and available projection image scanning technique (P-scan). The final design verification of the DSTI system will be a performance test in the Hanford DST annulus mockup that includes the demonstration of detecting and sizing corrosion-induced flaws

  12. Automated ultrasonic inspection of IGSCC in DOE production reactor process water piping

    International Nuclear Information System (INIS)

    Harrison, J.M.; Sprayberry, R.; Ehrhart, W.

    1987-01-01

    Inspection of nuclear power components has always presented difficulties to the nondestructive testing (NDT) industry from a time consumption and radiation exposure standpoint. Recent advances in computerized NDT equipment have improved the situation to some extent; however, the need for high reliability, precision, reproducibility, and clear permanent documentation are indispensable requirements that can only be met by automatic inspection and recording systems. The Savannah River Plant's inspection program of over 1000 IGSCC-susceptible welds is one of the most complete in the country and offers educational insight into ultrasonic examination technology of thin-wall stainless steel pipe welds

  13. Robotics for waste storage inspection: A user's perspective

    International Nuclear Information System (INIS)

    Hazen, F.B.

    1994-01-01

    Self-navigating robotic vehicles are now commercially available, and the technology supporting other important system components has also matured. Higher reliability and the obtainability of system support now make it practical to consider robotics as a way of addressing the growing operational requirement for the periodic inspection and maintenance of radioactive, hazardous, and mixed waste inventories. This paper describes preparations for the first field deployment of an autonomous container inspection robot at a Department of Energy (DOE) site. The Stored Waste Autonomous Mobile Inspector (SWAMI) is presently being completed by engineers at the Savannah River Technology Center (SRTC). It is a modified version of a commercially available robot. It has been outfitted with sensor suites and cognition that allow it to perform inspections of drum inventories and their storage facilities

  14. Guided wave technology for in-service inspection and online monitoring for long term operation of nuclear power plants

    International Nuclear Information System (INIS)

    Bertoncini, Francesco; Raugi, Marco; Cappelli, Mauro; Cordella, Francesco; Mazzini, Davide

    2015-01-01

    In-Service Inspection (ISI) and monitoring of all equipment (Systems, Structures and Components, SSCs) of a Nuclear Power Plant (NPP), are actions aimed at preventing failures both for economical and safety purposes. SSCs ageing due to stresses such as corrosion, load variations, flow conditions, temperature and neutron irradiation can be a potential limit for NPP life extension or operation beyond their license term (Long Term Operation. LTO). ISI has a main role on the actual possibility of LTO assuring the required safety. Guided Waves are structure-borne ultrasonic waves that propagate along the structure confined and guided by its geometric boundaries. Guided Wave Testing can find defect locations through long-range screening using low-frequency waves (from 5 to 250 kHz). The technology is regularly used for pipe testing in the oil and gas industry. In the nuclear industry, regulators are working to standardize monitoring and inspection procedures. To use the technology inside an active plant, operators must solve issues like high temperatures (up to more than 300degC inside a light-water reactor's primary piping), high wall thickness of components in the primary circuit and characteristic defect typologies. Magnetostrictive sensors are expected to overcome such issues due to their physical properties, namely robust constitution and simplicity. Recent experimental results have demonstrated magnetostrictive transducers can withstand temperatures close to 300degC. In this paper, new experimental tests conducted using such a methodology will be described and open issues related to high temperature guided wave applications (e.g. wave velocity or amplitude fluctuations during propagation in variable temperature components) will be discussed. (author)

  15. GIMIS - Integral Solution for the In-Service Inspection Management of Components in NPPs

    International Nuclear Information System (INIS)

    Borovic, Damir; Vukovic, Igor

    2014-01-01

    Performance of in-service testing and inspection of components and systems in nuclear power plants are required in order to maintain the nuclear power plant while in operation and to return the plant to service, following plant outages. GIMIS is comprehensive software that integrates all processes, functions and data related to planning, administrating and executing inspections on systems, structures and components in nuclear power plants. The software is designed as a web application developed using the Microsoft ASP.NET technology, database is a Microsoft SQL, and client is composed of JavaScript frameworks. It can be adapted to local language, regulations, and requirements according to the power plant needs. The application consists of seven interdependent modules, namely: components, equipment, personnel, requirements, inspection planning, inspection execution, and reports. GIMIS deals with component, equipment and personnel management (both internally employed and outsourced), also provides full component history including uploaded documentation, drawings, previous inspection results, and supports various types of requirements. It enables scheduling facilitation using component data and compliance requirements, offers generation of all inspection relevant documentation and reports, and covers the equipment management including calibration requirements, certification of equipment and allocation to specific inspections/outages, as well as personnel certifications and allocation to specific inspections/outages. The paper describes the content and functionality of the GIMIS application and provides information of its built-in capabilities and features. (authors)

  16. Flexible mobile robot system for smart optical pipe inspection

    Science.gov (United States)

    Kampfer, Wolfram; Bartzke, Ralf; Ziehl, Wolfgang

    1998-03-01

    Damages of pipes can be inspected and graded by TV technology available on the market. Remotely controlled vehicles carry a TV-camera through pipes. Thus, depending on the experience and the capability of the operator, diagnosis failures can not be avoided. The classification of damages requires the knowledge of the exact geometrical dimensions of the damages such as width and depth of cracks, fractures and defect connections. Within the framework of a joint R&D project a sensor based pipe inspection system named RODIAS has been developed with two partners from industry and research institute. It consists of a remotely controlled mobile robot which carries intelligent sensors for on-line sewerage inspection purpose. The sensor is based on a 3D-optical sensor and a laser distance sensor. The laser distance sensor is integrated in the optical system of the camera and can measure the distance between camera and object. The angle of view can be determined from the position of the pan and tilt unit. With coordinate transformations it is possible to calculate the spatial coordinates for every point of the video image. So the geometry of an object can be described exactly. The company Optimess has developed TriScan32, a special software for pipe condition classification. The user can start complex measurements of profiles, pipe displacements or crack widths simply by pressing a push-button. The measuring results are stored together with other data like verbal damage descriptions and digitized images in a data base.

  17. Robot technology in remote inspection and repair

    International Nuclear Information System (INIS)

    Lowe, D.B.

    1981-01-01

    The development of remotely controlled equipment for use in a hostile (eg radioactive) environment is reviewed. Inspection and repair work in the core vessel of a nuclear reactor is a particular example of the need for robot devices. Devices with the ability to reach out after entering the interior of the reactor and perform specified operations some distance from the entry axis are needed. It is also necessary to design with tool retrieval emergencies in mind. Should an accident or malfunction prevent withdrawal of the equipment by normal means there must be a fail-safe mechanism of collapse and withdrawal. Visual contact with the device, usually by closed circuit TV is also necessary. Recent developments are described. These include stereoscopic imaging, a flexible arm of increased reach, dexterity and strength, and a computerized robotic arm with seven degrees of freedom to be deployed by the flexible arm. Microprocessors are used to analyse information and command functions. A current solution of the need for precise positioning and tracking of a NDT head round a reactor core is illustrated. (U.K.)

  18. In-service inspection of nuclear reactor vessels and steam generators. Results and evolution of the technics

    International Nuclear Information System (INIS)

    Rapin, Michel; Saglio, Robert.

    1978-01-01

    Methods and original technics have been developed by the CEA for inspection of the primary coolant circuit of PWR. Multifrequency Eddy currents for inspection of steam generators tubes gudgeons and bolts; focussed ultrasonics to test all the welds of the reactor vessel and its cover of mixed welds of tanks and steam generators, pressurizer welds and gudgeons from the inside; gamma radiography of vessel mixed welds, televisual examination of the stainless steel lining of the reactor vessel and its cover. Use of these technics is made with specific automatic machines designed either for inspection of steam generator tubes or for complete inspection of the vessel. Several reactors were inspected with these devices [fr

  19. A Broad Coverage Neutron Source For Security Inspections

    Science.gov (United States)

    Yang, Yang; Robert, Stubbers; Linchun, Wu; George, Miley

    2004-05-01

    To meet the increasing demanding requirements for security safety inspections, a line-type neutron source employing a cylindrical IEC (RC-IEC) is proposed for non-destructive "in situ" security inspections. The advantages of such a neutron source include line geometry, modularity, swithcability, variable source strength, low cost with minimum maintenance. Detailed description of a 1/3 scale cylindrical device is presented, which might demonstrate that a reasonably long RC-IEC produces a stable discharge with reasonably uniform neutron production along the cylindrical axis. Aiming at the neutron production efficiency at the order of 106 n/J, several methods to maximize neutron production efficiency are discussed. The results of a two-dimensional computer code(MCP) using a Monte Carlo numerical approach for the RC-IEC device are presented together with an analysis of neutron yield vs. different operation parameters.

  20. On the development of the METAR family of inspection tools

    Energy Technology Data Exchange (ETDEWEB)

    Lavoie, E. [Inst. de recherche d' Hydro-Quebec, Varennes, Quebec (Canada)]. E-mail: lavoie.eric@ireq.ca; Rousseau, G. [Hydro-Quebec, Central Nucleaire Gentilly-2, Gentilly, Quebec (Canada); Reynaud, L. [Inst. de recherche d' Hydro-Quebec, Varennes, Quebec (Canada)

    2003-07-01

    Since 1998, Hydro Quebec Research Centre (IREQ), in collaboration with Gentilly-2, has been working on the development of inspection devices for the feeder tubes of CANDU power plants. The first tool to come out of this work was the Metar bracelet, now used throughout the CANDU utilities, consisting of 14 ultrasonic probes held in place in a rigid bracelet to measure the thickness of the pipes and moved around manually along the pipe. Following the success of the Metar, a motorized version, i.e. the Crawler, has been developed to inspect beyond the operator arm's reach to access hard to reach place or further down the pipes in the reactor. This new system has been tested at 3 different stations and will be commercially available soon. Finally, the same technology was used to develop a motorized 2-axis crack detection device to answer new concerns about the feeder. Other configurations, depending on the demands from the industry, could also be developed for specific inspection needs, for example; inspection of the graylock welds, 360{sup o} inspection of feeders, or multitasking inspection on a single frame, etc. Most of the designs shown in this article have been or will be patented and are, or will be, licensed to a partner company to make them commercially available to the industry. This paper gives a brief history of the project and a description of the technologies developed in the last 5 years concerning feeder inspection. (author)

  1. PF-WFS Shell Inspection Update December 2016

    Energy Technology Data Exchange (ETDEWEB)

    Vigil, Anthony Eugene [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Ledoux, Reina Rebecca [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Gonzales, Antonio R. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Montano, Joshua Daniel [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Savage, Lowell Curtis [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Randles, Wayne Alfred [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-01-26

    Since the last project update in FY16:Q2, PF-WFS personnel have advanced in understanding of shell inspection on Coordinate Measuring Machines {CMM} and refined the PF-WFS process to the point it was decided to convert shell inspection from the Sheffield #1 gage to Lietz CM Ms. As a part of introspection on the quality of this process many sets of data have been reviewed and analyzed. This analysis included Sheffield to CMM comparisons, CMM inspection repeatability, fixturing differences, quality check development, probing approach changes. This update report will touch on these improvements that have built the confidence in this process to mainstream it inspecting shells. In addition to the CMM programming advancements, the continuation in refinement of input and outputs for the CMM program has created an archiving scheme, input spline files, an output metafile, and inspection report package. This project will continue to mature. Part designs may require program modifications to accommodate "new to this process" part designs. Technology limitations tied to security and performance are requiring possible changes to computer configurations to support an automated process.

  2. [Applications and prospects of on-line near infrared spectroscopy technology in manufacturing of Chinese materia medica].

    Science.gov (United States)

    Li, Yang; Wu, Zhi-Sheng; Pan, Xiao-Ning; Shi, Xin-Yuan; Guo, Ming-Ye; Xu, Bing; Qiao, Yan-Jiang

    2014-10-01

    The quality of Chinese materia medica (CMM) is affected by every process in CMM manufacturing. According to multi-unit complex features in the production of CMM, on-line near infrared spectroscopy (NIR) is used as an evaluating technology with its rapid, non-destructive and non-pollution etc. advantages. With the research in institutions, the on-line NIR applied in process analysis and control of CMM was described systematically, and the on-line NIR platform building was used as an example to clarify the feasibility of on-line NIR technology in CMM manufacturing process. Then, from the point of application by pharmaceutical companies, the current on-line NIR research on CMM and its production in pharmaceutical companies was relatively comprehensively summarized. Meanwhile, the types of CMM productions were classified in accordance with two formulations (liquid and solid dosage formulations). The different production processes (extraction, concentration and alcohol precipitation, etc. ) were used as liquid formulation diacritical points; the different types (tablets, capsules and plasters, etc.) were used as solid dosage formulation diacritical points, and the reliability of on-line NIR used in the whole process in CMM production was proved in according to the summary of literatures in recent 10 years, which could support the modernization of CMM production.

  3. Defect inspection in hot slab surface: multi-source CCD imaging based fuzzy-rough sets method

    Science.gov (United States)

    Zhao, Liming; Zhang, Yi; Xu, Xiaodong; Xiao, Hong; Huang, Chao

    2016-09-01

    To provide an accurate surface defects inspection method and make the automation of robust image region of interests(ROI) delineation strategy a reality in production line, a multi-source CCD imaging based fuzzy-rough sets method is proposed for hot slab surface quality assessment. The applicability of the presented method and the devised system are mainly tied to the surface quality inspection for strip, billet and slab surface etcetera. In this work we take into account the complementary advantages in two common machine vision (MV) systems(line array CCD traditional scanning imaging (LS-imaging) and area array CCD laser three-dimensional (3D) scanning imaging (AL-imaging)), and through establishing the model of fuzzy-rough sets in the detection system the seeds for relative fuzzy connectedness(RFC) delineation for ROI can placed adaptively, which introduces the upper and lower approximation sets for RIO definition, and by which the boundary region can be delineated by RFC region competitive classification mechanism. For the first time, a Multi-source CCD imaging based fuzzy-rough sets strategy is attempted for CC-slab surface defects inspection that allows an automatic way of AI algorithms and powerful ROI delineation strategies to be applied to the MV inspection field.

  4. Radiography inspection of weld for nuclear fuel rod

    International Nuclear Information System (INIS)

    Zhang Kai; Zhang Xichang

    1995-05-01

    The survey of radiography inspection, advantages, disadvantages and applications of main kinds of radiography inspection methods are presented. Emphasis is put upon the structure and functions of X-ray flaw detecting device for nuclear fuel rod welds, the actuating program of the device, as well as the structure of some key mechanism and the functions of them. The analysis is made upon the actuating principles. Finally, the test of long-term operation proves the device to be stable in operation, reliable in action, to possess high level of automation and high sensitivity and it can simultaneously perform on-line X-ray inspection of 25 nuclear fuel rods with a diameter less than 10 mm, and meet the requirements of large-scale production of nuclear fuel rods (5 figs.)

  5. Inspection of the Sizewll 'B' reactor coolant pump flywheels

    International Nuclear Information System (INIS)

    McNulty, A.L.; Cheshire, A.

    1992-01-01

    The Sizewell ''B'' safety case has categorised some primary circuit items as components for which failure is considered to be incredible. These Incredibility of Failure (IOF) components are particularly critical in their safety function, and specially stringent and all embracing provisions are made in their design, manufacture, inspection and operation. These provisions are such as to limit the probability of failure to levels which are so low that it does not have to be taken into account and no steps are necessary to control the consequences. The reactor coolant pump flywheel is considered to be an IOF component. Consequently there is a need for rigorous inspection during both manufacture and in service (ISI). The ISI requirement results in the need for an automated inspection. There is therefore a prerequisite to perform a Pre-Service Inspection (PSI) for baseline fingerprinting purposes. Furthermore there is a requirement that the inspection procedure, the inspection equipment and the operators are validated at the Inspection Validation Centre (IVC) of the AEA Technology laboratories at Risley. Development work is described. (author)

  6. Epidemiologic and economic evaluation of risk-based meat inspection for bovine cysticercosis in Danish cattle

    DEFF Research Database (Denmark)

    Calvo Artavia, Francisco Fernando; Nielsen, Liza Rosenbaum; Alban, L.

    2013-01-01

    line. The exact total NEE for the cattle sector depends on how many and which of the abattoirs that would be able to reorganise the work at the slaughter line to save money on inspection of the head of carcases. Overall, the SSSe was low in all scenarios leading to undetected BC-positive cattle both...

  7. Organic lining materials test in flue gas ducts

    International Nuclear Information System (INIS)

    Raveh, R.; Sfez, D.; Johannsson, L.

    1998-01-01

    Corrosion protection solutions are being widely used in electric power plants equipped with Flue Gas Desulfurization (FGD) systems. Organic lining materials are one of many solutions available on the market for corrosion protection. This market segment is found in a continuous development in order to fulfill the severe demands of these materials. The main goal of this test is to obtain information about the high temperature resistance of the materials as occurs when the FGD system is by-passed. Aster initial investigation of this market segment only a few lining materials were found compatible according to their manufacturer data. Seven of these materials were installed in the outlet flue gas duct of the Israeli power station M.D. B. This power station is not equipped with a FGD system, thus it gives a real simulation of the environmental conditions into which the lining material is subjected when the FGD system is by-passed. The materials installation was observed carefully and performed by representatives from the manufacturers in order to avoid material failure due to a non-adequate application. The power station was shut down and the lining materials were inspected three and a half months after the lining materials were applied. The inspection results were good and besides changes in the lining color, most materials did not show any damages. During that time the flue gas temperature at the duct was 134?C except some temperature fluctuations

  8. Effect of technology on quality assurance

    International Nuclear Information System (INIS)

    Purvis, E.E. III

    1984-01-01

    Optimizing Quality Assurance activities by taking advantage of technological improvements is an issue directly related to overall product cost, quality, and delivery schedules. Use of automated tooling and numerical control have reduced manufacturing cycle time and thereby enabled better response to changing consumer tastes and market demand. Non-nuclear industries are using computer-aided technology for in-process inspection and final product acceptance. Non-destructive examination can also be performed real-time, on the production line. The challenge faced by the nuclear industry is to make the best use of new and emerging technology while satisfying compliance requirements. Currently, use of new methods is hampered by uncertainty about the affect on regulatory issues. Ways of using the technology have been developed for commercial applications without consideration of nuclear requirements

  9. Ultrasonic test results for the reactor pressure vessel of the HTTR. Longitudinal welding line of bottom dome

    International Nuclear Information System (INIS)

    Nojiri, Naoki; Ohwada, Hiroyuki; Kato, Yasushi

    2008-06-01

    This paper describes the inspection method, the measured area, etc. of the ultrasonic test of the in-service inspection (ISI) for welding lines of the reactor pressure vessel of the HTTR and the inspection results of the longitudinal welding line of the bottom dome. The pre-service inspection (PSI) results for estimation of occurrence and progression of defects to compare the ISI results is described also. (author)

  10. Label inspection of approximate cylinder based on adverse cylinder panorama

    Science.gov (United States)

    Lin, Jianping; Liao, Qingmin; He, Bei; Shi, Chenbo

    2013-12-01

    This paper presents a machine vision system for automated label inspection, with the goal to reduce labor cost and ensure consistent product quality. Firstly, the images captured from each single-camera are distorted, since the inspection object is approximate cylindrical. Therefore, this paper proposes an algorithm based on adverse cylinder projection, where label images are rectified by distortion compensation. Secondly, to overcome the limited field of viewing for each single-camera, our method novelly combines images of all single-cameras and build a panorama for label inspection. Thirdly, considering the shake of production lines and error of electronic signal, we design the real-time image registration to calculate offsets between the template and inspected images. Experimental results demonstrate that our system is accurate, real-time and can be applied for numerous real- time inspections of approximate cylinders.

  11. Methodology if inspections to carry out the nuclear outages model

    International Nuclear Information System (INIS)

    Aycart, J.; Mortenson, S.; Fourquet, J. M.

    2005-01-01

    Before the nuclear generation industry was deregulated in the United States, refueling and maintenance outages in nuclear power plants usually lasted orotund 100 days. After deregulation took effect, improved capability factors and performances became more important. As a result, it became essential to reduce the critical path time during the outage, which meant that activities that had typically been done in series had to be executed in parallel. The new outage model required the development of new tools and new processes, The 360-degree platform developed by GE Energy has made it possible to execute multiple activities in parallel. Various in-vessel visual inspection (IVVI) equipments can now simultaneously perform inspections on the pressurized reactor vessel (RPV) components. The larger number of inspection equipments in turn results in a larger volume of data, with the risk of increasing the time needed for examining them and postponing the end of the analysis phase, which is critical for the outage. To decrease data analysis times, the IVVI Digitalisation process has been development. With this process, the IVVI data are sent via a high-speed transmission line to a site outside the Plant called Center of Excellence (COE), where a team of Level III experts is in charge of analyzing them. The tools for the different product lines are being developed to interfere with each other as little as possible, thus minimizing the impact of the critical path on plant refueling activities. Methods are also being developed to increase the intervals between inspection. In accordance with the guidelines of the Boiling Water Reactor Vessel and Internals project (BWRVIP), the intervals between inspections are typically longer if ultrasound volumetric inspections are performed than if the scope is limited to IVVI. (Author)

  12. Annular array technology for nondestructive turbine inspection. Final report

    International Nuclear Information System (INIS)

    Light, G.M.

    1986-05-01

    The Electric Power Research Institute (EPRI) funded Southwest Research Institute (SwRI) to fabricate and functionally test phased array transducers and an electronic control system with the intent of evaluating the phased array technology for use in the inspection of turbine disks. During this program a 13-element annular array and associated phased array electronics were fabricated and tested and the results of the tests compared to those predicted by theory. The prototype system performed well within the expected limits, and EPRI funded further work to fabricate and test a production unit. The production system consisted of a 25-element annular array and a 25-channel electronics system that had both pulser and receiver delay circuitry. In addition, during the program it was determined that miniaturized hybrid pulser/preamps would be needed to allow the phased array to work over distances exceeding 9.1 meters (30 feet) from the electronics. A circuit developed by SwRI was utilized and found to produce good pulsing capability that did not suffer from impedance mismatch. EPRI also funded (under a separate contract) the fabrication of a small scale static turbine test bed and a full scale dynamic test bed that contained full scale turbine geometries. These test beds were fabricated to enable the production phased array system to be evaluated on turbine disk surfaces. 26 figs

  13. Comparative Study of Biological and Technological Characters in Three Generations of Silkworm Bombyxmori L. Ameiotic, Parthenogenetically Cloned Lines

    OpenAIRE

    Greiss, H.; Vassilieva, J.; Petkov, N.; Petkov, Z.

    2004-01-01

    Purpose: Detect any deviation in biologic and technologic characters of eight ameiotic-parthenogenetically cloned lines of Bombyx mori L. from different origins from a normal sexually reproduced control line in three generations.

  14. Research and application on imaging technology of line structure light based on confocal microscopy

    Science.gov (United States)

    Han, Wenfeng; Xiao, Zexin; Wang, Xiaofen

    2009-11-01

    In 2005, the theory of line structure light confocal microscopy was put forward firstly in China by Xingyu Gao and Zexin Xiao in the Institute of Opt-mechatronics of Guilin University of Electronic Technology. Though the lateral resolution of line confocal microscopy can only reach or approach the level of the traditional dot confocal microscopy. But compared with traditional dot confocal microscopy, it has two advantages: first, by substituting line scanning for dot scanning, plane imaging only performs one-dimensional scanning, with imaging velocity greatly improved and scanning mechanism simplified, second, transfer quantity of light is greatly improved by substituting detection hairline for detection pinhole, and low illumination CCD is used directly to collect images instead of photoelectric intensifier. In order to apply the line confocal microscopy to practical system, based on the further research on the theory of the line confocal microscopy, imaging technology of line structure light is put forward on condition of implementation of confocal microscopy. Its validity and reliability are also verified by experiments.

  15. Advanced inspection and repair techniques for primary side components

    International Nuclear Information System (INIS)

    Elm, Ralph

    1998-01-01

    The availability of nuclear power plant mainly depends on the components of the Nuclear Steam Supply System (NSSS) such as reactor pressure vessel, core internals and steam generators. The last decade has been characterized by intensive inspection and repair work on PWR steam generators. In the future, it can be expected, that the inspection of the reactor pressure vessel and the inspection and repair of its internals, in both PWR and BWR will be one of the challenges for the nuclear community. Due to this challenge, new, advanced inspection and repair techniques for the vital primary side components have been developed and applied, taking into account such issues as: use of reliable and fast inspection methods, repair of affected components instead of costly replacement, reduction of outage time compared to conventional methods, minimized radiation exposure, acceptable costs. This paper reflects on advanced inspection and repair techniques such as: Baffle Former Bolt inspection and replacement, Barrel Former Bolt inspection and replacement, Mechanized UT and visual inspection of reactor pressure vessels, Steam Generator repair by advanced sleeving technology. The techniques described have been successfully applied in nuclear power plants and improved the operation performance of the components and the NPP. (author). 6 figs

  16. A case study of the crack sizing performance of the Ultrasonic Phased Array combined crack and wall loss inspection tool on the Centennial pipeline, the defect evaluation, including the defect evaluation, field feature verification and tool performance validation (performed by Marathon Oil, DNV and GE Oil and Gas)

    Energy Technology Data Exchange (ETDEWEB)

    Hrncir, T.; Turner, S. [Marathon Pipe Line LLC, Findley, OH (United States); Polasik, SJ [DNV Columbus, Inc, Dublin, OH 43017 (United States); Vieth, P. [BP EandP, Houston, TX (United States); Allen, D.; Lachtchouk, I.; Senf, P.; Foreman, G. [GE Oil and Gas PII Pipeline Solutions, Stutensee (Germany)], email: geoff.foreman@ge.com

    2010-07-01

    The Centennial Pipeline System is operated by Marathon Pipe Line LLC. It is 754 miles long and carries liquid products from eastern Texas to southern Illinois. Most of it was constructed in 1951 for natural gas, but it was converted in 2001 for liquid product service. GE Oil and Gas conducted an ultrasonic phased array in-line inspection (ILI) survey of this pipeline, whose primary purpose was to detect and characterize stress corrosion cracking. A dig verification was performed in 2008 to increase the level of confidence in the detection and depth-sizing capabilities of this inspection method. This paper outlines of the USCD technology and experience and describes how the ILI survey results were validated, how the ILI data analysis was improved, and the impact on managing the integrity of the line section. Results indicate that the phased array technology approached a 90% certainty predicted depth with a tolerance of 1 mm at a 95% confidence level.

  17. Inter-vessels in-service inspection of Super-Phenix

    International Nuclear Information System (INIS)

    Asty, M.; Saglio, R.; Viard, J.; Lerat, B.

    1984-01-01

    The vessels design of fast breeder reactor Super-Phenix enables inspection during operating time. A self-moving machine -MIR- has been built up especially for that purpose. It is able to carry out visual and ultrasonorous inspection. MIR structure is that of a tetrahedron, all tops of which are fitted with two wheels, as for traction and direction. The wheels are leaning on booth the two vessels. Thanks to a computer-assisted control system, MIR is able to move along in every part of the inter-vessels space. Studies have been carried on at the French Commissariat a l'Energie Atomique, by two Sections of the advanced technologies Service. After outlining MIR working conditions, its main characteristics are described [fr

  18. Remote radioactive waste drum inspection with an autonomous mobile robot

    International Nuclear Information System (INIS)

    Heckendorn, F.M.; Ward, C.R.; Wagner, D.G.

    1992-01-01

    An autonomous mobile robot is being developed to perform remote surveillance and inspection task on large numbers of stored radioactive waste drums. The robot will be self guided through narrow storage aisles and record the visual image of each viewable drum for subsequent off line analysis and archiving. The system will remove the personnel from potential exposure to radiation, perform the require inspections, and improve the ability to assess the long term trends in drum conditions

  19. A line technology of subterranean mining by means of nuclear explosions

    International Nuclear Information System (INIS)

    Gushchin, V.V.; Vasin, K.D.; Nifontov, B.I.; Odrov, Yu.L.; Myasnikov, K.V.; Kol'tsov, V.M.; Kornev, G.N.; Degtyarev, V.A.

    1976-01-01

    The basic principles of a line technology of extracting firm ores, including break-up of ore by means of subterranean nuclear explosions, the removal of ore from sorting blocks by means of vibrator feeders and transporting it to intermediate levels via a new type of belt conveyor on mobile supports are considered. A method of crushing ore by nuclear explosions on reflecting surfaces is proposed to obtain a more uniform fragmentation of ore, increase the output of fragmented ore per unit capacity, and to preserve mining output. The basic principles of designing a system of mining based on a line technology are formulated and one variant of such a system is presented

  20. Radiation control in the nondestructive inspection

    International Nuclear Information System (INIS)

    Kariya, Yukihiro

    1982-01-01

    In the early days of radiation nondestructive inspection about ten years ago, the loss of radiation sources and careless radiation exposure gave the impression of radiography inspection being immediately slipshod management. In this problem, the peculiar nature of the business in this field is involved. In Nondestructive Inspection Co., Ltd., besides the safety management of radioisotopes, the radiation exposure control of personnel in the regular inspection of nuclear power plants has become increasingly important. The following matters are described: radiation utilization in nondestructive inspection (X- and #betta#-ray radiography, #betta#-ray leak test on shield), radiation control problems in nondestructive inspection business (the peculiar aspects of the business, the analysis of the incidents related with nondestructive inspection), and the practice of radiation control in nondestructive inspection in Nondestructive Inspection Co., Ltd. (Mori, K.)

  1. Rail inspection using noncontact laser ultrasonics

    International Nuclear Information System (INIS)

    Kim, Nak Hyeon; Sohn, Hoon; Han, Soon Woo

    2012-01-01

    In this study, a noncontact laser ultrasonic system is proposed for rail defect detection. An Nd Yag pulse laser is used for generation of ultrasonic waves, and the corresponding ultrasonic responses are measured by a laser Doppler vibrometer. For the detection of rail surface damages, the shape of the excitation laser beam is transformed into a line. On the other hand, a point source laser beam is used for the inspection of defects inside a rail head. Then, the interactions of propagating ultrasonic waves with defects are examined using actual rail specimens. Amplitude attenuation was mainly observed for a surface crack, and reflections were most noticeable from an internal damage. Finally, opportunities and challenges associated with real time rail inspection from a high speed train are discussed

  2. Using virtual reality technology for aircraft visual inspection training: presence and comparison studies.

    Science.gov (United States)

    Vora, Jeenal; Nair, Santosh; Gramopadhye, Anand K; Duchowski, Andrew T; Melloy, Brian J; Kanki, Barbara

    2002-11-01

    The aircraft maintenance industry is a complex system consisting of several interrelated human and machine components. Recognizing this, the Federal Aviation Administration (FAA) has pursued human factors related research. In the maintenance arena the research has focused on the aircraft inspection process and the aircraft inspector. Training has been identified as the primary intervention strategy to improve the quality and reliability of aircraft inspection. If training is to be successful, it is critical that we provide aircraft inspectors with appropriate training tools and environment. In response to this need, the paper outlines the development of a virtual reality (VR) system for aircraft inspection training. VR has generated much excitement but little formal proof that it is useful. However, since VR interfaces are difficult and expensive to build, the computer graphics community needs to be able to predict which applications will benefit from VR. To address this important issue, this research measured the degree of immersion and presence felt by subjects in a virtual environment simulator. Specifically, it conducted two controlled studies using the VR system developed for visual inspection task of an aft-cargo bay at the VR Lab of Clemson University. Beyond assembling the visual inspection virtual environment, a significant goal of this project was to explore subjective presence as it affects task performance. The results of this study indicated that the system scored high on the issues related to the degree of presence felt by the subjects. As a next logical step, this study, then, compared VR to an existing PC-based aircraft inspection simulator. The results showed that the VR system was better and preferred over the PC-based training tool.

  3. Inspection planning

    International Nuclear Information System (INIS)

    Korosec, D.; Levstek, M.F.

    2001-01-01

    Slovenian Nuclear Safety Administration (SNSA) division of nuclear and radiological safety inspection has developed systematic approach to their inspections. To be efficient in their efforts regarding regular and other types of inspections, in past years, the inspection plan has been developed. It is yearly based and organized on a such systematic way, that all areas of nuclear safety important activities of the licensee are covered. The inspection plan assures appropriate preparation for conducting the inspections, allows the overview of the progress regarding the areas to be covered during the year. Depending on the licensee activities and nature of facility (nuclear power plant, research reactor, radioactive waste storage, others), the plan has different levels of intensity of inspections and also their frequency. One of the basic approaches of the plan is to cover all nuclear and radiological important activities on such way, that all regulatory requests are fulfilled. In addition, the inspection plan is a good tool to improve inspection effectiveness based on previous experience and allows to have the oversight of the current status of fulfillment of planned inspections. Future improvement of the plan is necessary in the light of newest achievements on this field in the nuclear world, that means, new types of inspections are planned and will be incorporated into plan in next year.(author)

  4. SAF line powder operations

    International Nuclear Information System (INIS)

    Frederickson, J.R.; Horgos, R.M.

    1983-10-01

    An automated nuclear fuel fabrication line is being designed for installation in the Fuels and Materials Examination Facility (FMEF) near Richland, Washington. The fabrication line will consist of seven major process systems: Receiving and Powder Preparation; Powder Conditioning; Pressing and Boat Loading; Debinding, Sintering, and Property Adjustment; Boat Transport; Pellet Inspection and Finishing; and Pin Operations. Fuel powder processing through pellet pressing will be discussed in this paper

  5. Development of digital radiographic inspection method in MINT

    International Nuclear Information System (INIS)

    Mohd Ashhar Khalid; Abdul Razak Hamzah; Abdul Aziz Mohamad; Anuar Mikdad Muad; Nolida Yussup; Maslina Mohd Ibrahim

    2002-01-01

    Industrial radiography has been employed for the evaluation of defects, such as cracks, porosity and foreign inclusions found in casting and welds. It has become one of the major techniques in industrial non-destructive testing for the past 40 years. Although this technique has been well developed, further improvement can be implemented especially with the advancement of electronics and computer technology. Digital image processing techniques and application of artificial intelligence methods allow the interpretation of the image to be automated, avoiding the presence of human operators making the inspection system faster, more reliable and reproducible. Numerous works has been reported by various groups on the development of an automated, computer based evaluation algorithms. This paper reports the development and progress of digital radiographic inspection method in MINT. (Author)

  6. Remote visual inspection of nuclear fuel pellets with fiber optics and video image processing

    International Nuclear Information System (INIS)

    Moore, F.W.

    1986-01-01

    Westinghouse Hanford Company has designed and is constructing a nuclear fuel fabrication process line for the Department of Energy. This process line includes a pellet surface inspection system that remotely inspects the cylindrical surface of nuclear fuel pellets for surface spots, flaws, or discoloration. The pellets are inspected on a 100 percent basis after pellet sintering. A feeder will deliver the pellets directly to a fiber optic inspection head. The inspection head will view one pellet surface at a time. The surface image of the pellet will be imaged to a closed-circuit color television camera (CCTV). The output signal of the CCTV will be input to a digital imaging processor that stores approximately 25 pellet images at a time. A human operator will visually examine the images of the pellet surfaces on a high resolution monitor and accept or reject the pellets based on visual standards. The operator will use a digitizing tablet to record the location of rejected pellets, which will then be automatically removed from the product stream. The system is expandable to automated disposition of the pellet surface image

  7. Remote visual inspection of nuclear fuel pellets with fiber optics and video image processing

    International Nuclear Information System (INIS)

    Moore, F.W.

    1985-01-01

    Westinghouse Hanford Company has designed and is constructing a nuclear fuel fabrication process line for the Department of Energy. This process line includes a pellet surface inspection system that remotely inspects the cylindrical surface of nuclear fuel pellets for surface spots, flaws, or discoloration. The pellets are inspected on a 100% basis after pellet sintering. A feeder will deliver the pellets directly to fiber optic inspection head. The inspection head will view one pellet surface at a time. The surface image of the pellet will be imaged to a closed-circuit color television camera (CCTV). The output signal of the CCTV will be input to a digital imaging processor that stores approximately 25 pellet images at a time. A human operator will visually examine the images of the pellet surfaces on a high resolution monitor and accept or reject the pellets based on visual standards. The operator will use a digitizing tablet to record the location of rejected pellets, which will then be automatically removed from the product stream. The system is expandable to automated disposition of the pellet surface image

  8. Linee di ricerca emergenti nell’educational technology

    Directory of Open Access Journals (Sweden)

    Maria Ranieri

    2015-12-01

    Full Text Available Il presente contributo intende restituire un quadro delle linee di ricerca emergenti nel campo dell’educational technology. Le fonti utilizzate a questo scopo e illustrate nella sezione introduttiva hanno permesso di individuare sei aree di interesse, vale a dire: apprendere in mobilità; social web e apprendimento; learning analytics; digital literacy/digital reading; OER e MOOC; ambienti immersivi e videogame. Per ciascuna di queste aree vengono delineate le principali direttrici di ricerca che le connotano e i relativi avanzamenti. Il lavoro si conclude con alcune considerazioni di sintesi sulle tendenze generali della ricerca nel settore.

  9. Multifrequency eddy-current inspection of seam weld in steel sheath

    Energy Technology Data Exchange (ETDEWEB)

    Smith, J.H.; Dodd, C.V.; Chitwood, L.D.

    1985-04-01

    Multifrequency eddy-current techniques were used to perform a continuous on-line inspection of the seam weld in the steel jacket for a superconducting cable. The inspection was required to detect both surface and internal weld flaws in the presence of a large, highly conductive central conductor. Raw eddy-current data were recorded on magnetic tape, and test properties such as discontinuity size and weld penetration were determined by mathematically fitting these data to coefficients developed with representative standards. A sophisticated computer-controlled scanning technique was applied, and a unique scanning device was developed to provide full coverage of the weld and heat-affected zone. The techniques used to develop this multifrequency eddy-current examination are described in this report along with the test equipment, test procedures, and computer programs.

  10. Multifrequency eddy-current inspection of seam weld in steel sheath

    International Nuclear Information System (INIS)

    Smith, J.H.; Dodd, C.V.; Chitwood, L.D.

    1985-04-01

    Multifrequency eddy-current techniques were used to perform a continuous on-line inspection of the seam weld in the steel jacket for a superconducting cable. The inspection was required to detect both surface and internal weld flaws in the presence of a large, highly conductive central conductor. Raw eddy-current data were recorded on magnetic tape, and test properties such as discontinuity size and weld penetration were determined by mathematically fitting these data to coefficients developed with representative standards. A sophisticated computer-controlled scanning technique was applied, and a unique scanning device was developed to provide full coverage of the weld and heat-affected zone. The techniques used to develop this multifrequency eddy-current examination are described in this report along with the test equipment, test procedures, and computer programs

  11. Rotating sensor technology for the inspection of steam generator tubing

    International Nuclear Information System (INIS)

    Glass, S.W.; Richards, T.A.

    1986-01-01

    A high-resolution profilometry system, has been developed to assess the dimensional condition of steam generator tubes and rapidly produce the data to evaluate the potential for developing in-service leaks. The probe has an electromechanical sensor in a rotating head. This technique has been demonstrated in the field at four U.S. plants and one plant owned by Electricite de France. The Indian Point-2 plant of Consolidated Edison has twice used this technology to save tubes that would have been plugged with the go-gauge criterion and identifying other high-risk candidates for plugging that might otherwise not have been removed from service. As an extension of the PROFIL-360 technology, a rotating eddy current system (EDDY-360) has also been developed. The system provides improved sensitivity, resolution, and characterization of small-volume flaws and complete circumferential coverage as compared to conventional (bobbin and 8 x 1) eddy current techniques. Enhanced eddy current data processing provides on-line data analysis and real-time imaging of detected flaws. (author)

  12. Steam Generator Inspection Planning Expert System

    International Nuclear Information System (INIS)

    Rzasa, P.

    1987-01-01

    Applying Artificial Intelligence technology to steam generator non-destructive examination (NDE) can help identify high risk locations in steam generators and can aid in preparing technical specification compliant eddy current test (ECT) programs. A steam Generator Inspection Planning Expert System has been developed which can assist NDE or utility personnel in planning ECT programs. This system represents and processes its information using an object oriented declarative knowledge base, heuristic rules, and symbolic information processing, three artificial intelligence based techniques incorporated in the design. The output of the system is an automated generation of ECT programs. Used in an outage inspection, this system significantly reduced planning time

  13. Process Improvement to the Inspection Readiness Plan in Chemical Weapons Convention Challenge Inspections

    National Research Council Canada - National Science Library

    Triplett, William

    1997-01-01

    ...) Challenge Inspection. The CWC is an intensive inspection. The Challenge Inspection allows for a team of international inspectors to inspect a naval facility suspected of violating the CWC on very short notice...

  14. In service inspection for Superphenix vessels development of ultrasonic techniques available at high temperature

    International Nuclear Information System (INIS)

    Gondard, C.

    1983-12-01

    The main and safety vessels of SUPERPHENIX 1 were designed to allow in-service inspections. The remote controlled inspection device MIR was developped for this purpose. The ultrasonic examination has required the development of all new transducers fitted with severe operating conditions prevailing in intervessels interval. A list of problems to be resolved and technological solutions which were found is given. Measurements of acoustical properties on actual probes are compared with theoretical values. It appears that concordance is good and that an in-service inspection using high temperature transducers is possible with a good spatial resolution and signal to noise ratio

  15. In-service inspection techniques

    International Nuclear Information System (INIS)

    Backfisch, W.; Zipser, R.R.

    1980-01-01

    The owner of a nuclear power plant (NPP) is obligated and interested to maintain - by regular maintenance and in-service inspections - the operational safety and availability of the plant for the subsequent operating period in a condition, as is specified as the basis of the erection and the last operational permits. In-service inspections are performed to verify the operational safety, and maintenance work is performed to guarantee the availability. Below, the typical in-service inspections of a light-water reactor NPP (operated on a pressurized-water reactor or on a boiling-water reactor) are described with details and examples of typical inspections, especially of recurrent performance tests of the systems. (orig./RW)

  16. How Agencies Inspect. A Comparative Study of Inspection Policies in Eight Swedish Government Agencies

    International Nuclear Information System (INIS)

    Lindblom, Lars; Clausen, Jonas; Edvardsson, Karin; Hayenhielm, Madeleine; Hermansson, Helene; Nihlen, Jessica; Palm, Elin; Ruden, Christina; Wikman, Per; Hansson, Sven Ove

    2003-04-01

    Eight Swedish authorities with inspection tasks in the areas of health, safety, and environmental protection have been compared, namely the authorities responsible for nuclear safety, radiation protection, railway, marine and aviation safety, environmental protection, chemicals control, and health and safety on workplaces. Significant differences in inspection policies and practices between the authorities were found, such as: diverging definitions of supervision and inspection that complicate comparisons, different priority-setting principles for inspections, variations in inspection frequencies (between 13 and 0.03 inspections per company and year), different practices with respect to notifying companies before inspection visits, and in particular, large differences in the extent to which non-compliance with regulations is reported to legal authorities. It was concluded that these agencies have much to gain from increasing their cooperation in methods development, evaluation studies, and education of inspectors

  17. Organizational and technological compatibility of the technological processes of second and third line maintenance of KhTZ-3522 tractors

    Directory of Open Access Journals (Sweden)

    Kyzminskyj Roman

    2018-01-01

    Full Text Available The work is devoted to systematic substantiation of productivity, production structure and specialization of service stations of KhTZ-3522 tractors. Based on the technical and economic comparative analysis of the results of the technological processes modeling of the second and third line maintenance of KhTZ-3522 tractors, the parametrical series of production structures of service stations both mono- and polytechnological specialization, which can work effectively in a wide range of the general annual program of orders, and in the conditions of seasonal fluctuations of orders for the maintenance of these tractors, are found. The results of calculations of the indicators system for organizational and technological compatibility of technological processes of the second and third line maintenance of KhTZ-3522 tractors in the joint technological flow are presented. The dependencies of these indicators on the total annual program of orders for service stations of different productivity are analyzed. According to the results of the analysis, the polytechnological specialization of such service stations is substantiated.

  18. Application of acoustic emission, as non destructive testing technique, to nuclear components inspection

    International Nuclear Information System (INIS)

    Sanchez Miro, J.J.

    1980-01-01

    A panorama of actual state of acoustic emission as non destructive testing technique, from stand point of its safety applications to nuclear reactor is offered. In first place the physic grounds of acoustic emission phenomenon is briefly exposed. After we speak about the experimental methods for detection, and overall is made an explanation of the problems which are found during the application of this technology to on-line inspection of nuclear oower plants. It is hoped that this repport makes a contribution in the sense of to create a favourable atmosphere toward the introduction in our country of this important technique, and concretely within the nuclear power industry. In this last field the employ of acoustic emission is overcoming the experimental stage. (author)

  19. Design and implementation of visual inspection system handed in tokamak flexible in-vessel robot

    International Nuclear Information System (INIS)

    Wang, Hesheng; Xu, Lifei; Chen, Weidong

    2016-01-01

    In-vessel viewing system (IVVS) is a fundamental tool among the remote handling systems for ITER, which is used to providing information on the status of the in-vessel components. The basic functional requirement of in-vessel visual inspection system is to perform a fast intervention with adequate optical resolution. In this paper, we present the software and hardware solution, which is designed and implemented for tokamak in-vessel viewing system that installed on end-effector of flexible in-vessel robot working under vacuum and high temperature. The characteristic of our in-vessel viewing system consists of two parts: binocular heterogeneous vision inspection tool and first wall scene emersion based augment virtuality. The former protected with water-cooled shield is designed to satisfy the basic functional requirement of visual inspection system, which has the capacity of large field of view and high-resolution for detection precision. The latter, achieved by overlaying first wall tiles images onto virtual first wall scene model in 3D virtual reality simulation system, is designed for convenient, intuitive and realistic-looking visual inspection instead of viewing the status of first wall only by real-time monitoring or off-line images sequences. We present the modular division of system, each of them in smaller detail, and go through some of the design choices according to requirements of in-vessel visual inspection task.

  20. Design and implementation of visual inspection system handed in tokamak flexible in-vessel robot

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hesheng; Xu, Lifei [Department of Automation, Shanghai Jiao Tong University, Shanghai 200240 (China); Key Laboratory of System Control and Information Processing, Ministry of Education of China (China); Chen, Weidong, E-mail: wdchen@sjtu.edu.cn [Department of Automation, Shanghai Jiao Tong University, Shanghai 200240 (China); Key Laboratory of System Control and Information Processing, Ministry of Education of China (China)

    2016-05-15

    In-vessel viewing system (IVVS) is a fundamental tool among the remote handling systems for ITER, which is used to providing information on the status of the in-vessel components. The basic functional requirement of in-vessel visual inspection system is to perform a fast intervention with adequate optical resolution. In this paper, we present the software and hardware solution, which is designed and implemented for tokamak in-vessel viewing system that installed on end-effector of flexible in-vessel robot working under vacuum and high temperature. The characteristic of our in-vessel viewing system consists of two parts: binocular heterogeneous vision inspection tool and first wall scene emersion based augment virtuality. The former protected with water-cooled shield is designed to satisfy the basic functional requirement of visual inspection system, which has the capacity of large field of view and high-resolution for detection precision. The latter, achieved by overlaying first wall tiles images onto virtual first wall scene model in 3D virtual reality simulation system, is designed for convenient, intuitive and realistic-looking visual inspection instead of viewing the status of first wall only by real-time monitoring or off-line images sequences. We present the modular division of system, each of them in smaller detail, and go through some of the design choices according to requirements of in-vessel visual inspection task.

  1. Nuclear data needs for non-intrusive inspection

    International Nuclear Information System (INIS)

    Smith, D. L.; Michlich, B. J.

    2000-01-01

    Various nuclear-based techniques are being explored for use in non-intrusive inspection. Their development is motivated by the need to prevent the proliferation of nuclear weapons, to thwart trafficking in illicit narcotics, to stop the transport of explosives by terrorist organizations, to characterize nuclear waste, and to deal with various other societal concerns. Non-intrusive methods are sought in order to optimize inspection speed, to minimize damage to packages and containers, to satisfy environmental, health and safety requirements, to adhere to legal requirements, and to avoid inconveniencing the innocent. These inspection techniques can be grouped into two major categories: active and passive. They almost always require the use of highly penetrating radiation and therefore are generally limited to neutrons and gamma rays. Although x-rays are widely employed for these purposes, their use does not constitute nuclear technology and therefore is not discussed here. This paper examines briefly the basic concepts associated with nuclear inspection and investigates the related nuclear data needs. These needs are illustrated by considering four of the methods currently being developed and tested

  2. Nuclear data needs for non-intrusive inspection

    International Nuclear Information System (INIS)

    Smith, D.L.; Micklich, B.J.

    2001-01-01

    Various nuclear-based techniques are being explored for use in non-intrusive inspection. Their development is motivated by the need to prevent the proliferation of nuclear weapons, to thwart trafficking in illicit narcotics, to stop the transport of explosives by terrorist organizations, to characterize nuclear waste, and to deal with various other societal concerns. Non-intrusive methods are sought in order to optimize inspection speed, to minimize damage to packages and containers, to satisfy environmental, health and safety requirements, to adhere to legal requirements, and to avoid inconveniencing the innocent. These inspection techniques can be grouped into two major categories: active and passive. They almost always require the use of highly penetrating radiation and therefore are generally limited to neutrons and gamma rays. Although x-rays are widely employed for these purposes, their use does not constitute 'nuclear technology' and therefore is not discussed here. This paper examines briefly the basic concepts associated with nuclear inspection and investigates the related nuclear data needs. These needs are illustrated by considering four of the methods currently being developed and tested. (author)

  3. Reactive inspection response of NRC Region III to potential technical deficiencies identified in recent Nuclear Air Cleaning Conference papers

    International Nuclear Information System (INIS)

    Gill, C.F.

    1987-01-01

    In order to effectively meet its responsibility to protect the public health and safety, the Nuclear Regulatory Commission (NRC) nuclear power plant licensing and inspection programs respond to potential technical deficiencies identified by conference and professional society meeting papers when deemed appropriate. The NRC staff's response mechanisms for such technical deficiencies include: generic letters, Bulletins, Information Notices, Standard Review Plan (NUREG-0800) revisions, docketed Final Safety Analysis Report (FSAR) questions, special studies, special (reactive) inspection, and inspection program revisions. This paper describes reactive inspection efforts by Region III in response to potential technical deficiencies identified in recent air cleaning conference papers, including: post-accident effluent sample line deposition losses; failure to implement good engineering practices in the design, construction, and testing of Nuclear Air Treatment Systems (NATS); filter bypass via filter housing drain lines; spinster carbon degradation; use of silicone sealants and other temporary patching material in NATS; filter housing fire protection deluge system problems; lack of charcoal batch traceability; Quality Assurance records problems involving equipment, vendor, filter, and personnel qualifications; inadequate ANSI/ASME N510 acceptance criteria and tests; and failure to adequately demonstrate control room habitability per 10 CFR 50, Appendix A, General Design Criterion-19. Region III inspections indicate that many of these deficiencies appear to be prevalent. Inspection findings and utility responses to the findings are discussed. NRC Region III and Headquarters programmatic reactions to the identified generic problem areas are also discussed

  4. 46 CFR 153.812 - Inspection for Certificate of Inspection.

    Science.gov (United States)

    2010-10-01

    ... 46 Shipping 5 2010-10-01 2010-10-01 false Inspection for Certificate of Inspection. 153.812... CARGOES SHIPS CARRYING BULK LIQUID, LIQUEFIED GAS, OR COMPRESSED GAS HAZARDOUS MATERIALS Design and Equipment Testing and Inspection § 153.812 Inspection for Certificate of Inspection. The rules governing the...

  5. Investigate existing non-intrusive (NII) technologies for port cargo inspections.

    Science.gov (United States)

    2011-09-01

    "The quantity of cargo handled by United States ports has increased significantly in recent years. Based on : 2004 data, almost 2.7 billion tons of cargo passed through the ports in one year. To protect the U.S., all of this : cargo must be inspected...

  6. Verification of split spectrum technique for ultrasonic inspection of welded structures in nuclear reactors

    International Nuclear Information System (INIS)

    Ericsson, L.; Stepinski, T.

    1992-01-01

    Ultrasonic nondestructive inspection of materials is often limited by the presence of backscattered echoes from the material structure. A digital signal processing technique for removal of this material noise, referred to as split spectrum processing (SSP), has been developed and verified using simple laboratory experiments during the last decade. However, application of the split spectrum processing algorithm to industrial conditions has been rarely reported. In the paper the results of the practical evaluation of the SSP technique are presented. A number of different ultrasonic transducers were used for acquiring echoes from artificial flaws as well as natural cracks. The flaws were located in test blocks employed by the Swedish Nuclear Power Companies as reference during ultrasonic inspection of nuclear reactor vessels. The acquired ultrasonic A-scan signals were processed off-line using specially developed algorithms on a personal computer (PC). The experiments show evidence that properly tuned SSP algorithms result in a considerable improvement of the signal to material noise ratio. The enhancements were similar irrespective of the features of the transducer used or the nature of the inspected flaw. The problems related to the development of self-tuning SSP algorithms for on-line processing of B-scans are discussed. (author)

  7. Phase-enhanced defect sensitivity for EUV mask inspection

    Science.gov (United States)

    Wang, Yow-Gwo; Miyakawa, Ryan; Chao, Weilun; Goldberg, Kenneth; Neureuther, Andy; Naulleau, Patrick

    2014-10-01

    In this paper, we present a complete study on mask blank and patterned mask inspection utilizing the Zernike phase contrast method. The Zernike phase contrast method provides in-focus inspection ability to study phase defects with enhanced defect sensitivity. However, the 90 degree phase shift in the pupil will significantly reduce the amplitude defect signal at focus. In order to detect both types of defects with a single scan, an optimized phase shift instead of 90 degree on the pupil plane is proposed to achieve an acceptable trade-off on their signal strengths. We can get a 70% of its maximum signal strength at focus for both amplitude and phase defects with a 47 degree phase shift. For SNR, the tradeoff between speckle noise and signal strength has to be considered. The SNR of phase and amplitude defects at focus can both reach 11 with 13 degree phase shift and 50% apodization. Moreover, the simulation results on patterned mask inspection of partially hidden phase defects with die-to-database inspection approach on the blank inspection tool show that the improvement of the Zernike phase method is more limited. A 40% enhancement of peak signal strength can be achieved with the Zernike phase contrast method when the defect is centered in the space, while the enhancement drops to less than 10% when it is beneath the line.

  8. Visual inspection technology of the narrow and small confined area for monitoring feederpipe support of pressure tube in calandria reactor

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Jae Wan; Lee, Nam Ho; Choi, Young Soo [Korea Atomic Energy Research Institute, Taejon (Korea)

    1999-12-01

    There are 760 feederpipes, which they are connected to inlet/outlet of the 380 pressure tube channels on the front of the calandria, in CANDU-type Reactor of Wolsung Nuclear Power Plant. As an ISI(In-Service Inspection) and PSI (Post-Service Inspection) requirements, maintenance activities of measuring the thickness of curvilinear part of feederpipe and inspecting the feederpipe support area within calandria are needed to ensure continued reliable operation of nuclear power plant. And ultrasonic probe is used to measure the thickness of curvilinear part of feederpipe, however workers are exposed to radioactivity irradiation during the measurement period. But, it is exposed to radioactivity irradiation during the measurement period. But, it is impossible to inspect feederpipe support area thoroughly because of narrow and confined accessibility, that is , an inspection space between the pressure tube channels is less than 100 mm and pipes in feederpipe support area are congested. And also, workers involved in inspecting feederpipe support area are under the jeopardy of high-level radiation exposure. Concerns about sliding home, which make the move of feederpipe connected to pressure tube channel smooth as pressure tube expands and contracts in its axial direction, stuck to feedeerpipe support and some of the structural components have made necessary the development of video inspection probe system with narrow and confined accessibility to observe and inspect feederpipe support area more close. Using video inspection probe system, it is possible to inspect and repair abnormality of feederpipe support connected to pressure tube channels of the calandria more accurate and quantative than naked eye. Therefore, that will do much for ensuring safety of CANDU-type nuclear power plant. 45 figs.,31 tabs. (Author)

  9. Radiographic inspection and densitometric evaluation of CP-5 reactor fuel

    International Nuclear Information System (INIS)

    Staroba, J.F.; Knoerzer, T.W.

    1978-02-01

    This report covers the radiographic and densitometric techniques used as part of a quality verification program for CP-5 reactor fuel by the Nondestructive Assay Section of the Special Materials Division. Other nondestructive tests used were ultrasonic and gamma-ray spectrometry. The main objectives were to perform a one-hundred percent radiographic inspection of the fuel tubes and to derive a quantitative relationship between fuel thickness and film density with the use of fabricated fuel step wedges. By the use of tangential x-ray techniques, measurements were made of fuel peaks or ''hot spots'' that protruded above the main fuel line. Other general problems in radiographic inspection and solutions for the upgrading of the total radiographic inspection program are also discussed

  10. Eddy current inspection of mildly ferromagnetic tubing

    International Nuclear Information System (INIS)

    Mayo, W.R.; Carter, J.R.

    1984-02-01

    The past decade has seen the development of eddy current probes for inspection of the mildly ferro-magnetic alloy Monel 400. Due to the rapid advances in permanent magnet technology similar probes have been upgraded to magnetically saturate, and hence inspect, the duplex stainless steel Sandvik 3RE60, which has saturation induction more than twice that of Monel 400. Prototypes of these probes have been tested in three ways: saturation capability, quality of typical eddy current data, and ability to eliminate permeability induced signals. Successful laboratory testing, potential applications, and limitations of these type probes are discussed

  11. SAF line pellet gaging

    International Nuclear Information System (INIS)

    Jedlovec, D.R.; Bowen, W.W.; Brown, R.L.

    1983-10-01

    Automated and remotely controlled pellet inspection operations will be utilized in the Secure Automated Fabrication (SAF) line. A prototypic pellet gage was designed and tested to verify conformance to the functions and requirements for measurement of diameter, surface flaws and weight-per-unit length

  12. R and D of On-line Reprocessing Technology for Molten-Salt Reactor Systems

    International Nuclear Information System (INIS)

    Uhlir, Jan; Tulackova, Radka; Chuchvalcova Bimova, Karolina

    2006-01-01

    The Molten Salt Reactor (MSR) represents one of promising future nuclear reactor concept included in the Generation IV reactors family. The reactor can be operated as the thorium breeder or as the actinide transmuter. However, the future deployment of Molten-Salt Reactors will be significantly dependent on the successful mastering of advanced reprocessing technologies dedicated to their fuel cycle. Here the on-line reprocessing technology connected with the fuel circuit of MSR is of special importance because the reactor cannot be operated for a long run without the fuel salt clean-up. Generally, main MSR reprocessing technologies are pyrochemical, majority of them are fluoride technologies. The proposed flow-sheets of MSR on-line reprocessing are based on a combination of molten-salt / liquid metal extraction and electro-separation processes, which can be added to the gas extraction process already verified during the MSRE project in ORNL. The crucial separation method proposed for partitioning of actinides from fission products is based on successive Anodic dissolution and Cathodic deposition processes in molten fluoride media. (authors)

  13. X-ray K-edge analysis of drain lines in Wilhelm Hall, Ames Laboratory

    International Nuclear Information System (INIS)

    Jensen, T.; Whitmore, C.; Iowa State Univ., Ames, IA

    1999-01-01

    From August 12--27, 1998 X-ray K-edge measurements were made on drain lines in seven rooms in Wilhelm Hall, Ames Laboratory. The purpose of these measurements was to determine the extent of thorium (and other heavy metal) contamination inside these pipes. The K-edge method is a noninvasive inspection technique that can provide accurate quantification of heavy metal contamination interior to an object. Of the seven drain lines inspected, one was found to have no significant contamination, three showed significant thorium deposits, two showed mercury contamination, and one line was found to contain mercury, thorium and uranium. The K-edge measurements were found to be consistent with readings from hand-held survey meters, and provided much greater detail on the location and amount of heavy metal contamination

  14. Improvements in the UT Inspection of vessel nozzles. Array application

    International Nuclear Information System (INIS)

    Tanarro, A.; Garcia, A.; Izquierdo, J.

    1998-01-01

    Automatic ultrasonic inspection of certain components in nuclear power plants, together with problems related to access of same, result in other difficulties due to the complexity of their geometry and the apparent orientation of possible defects. Array technology, recently developed on the basis of the theoretical principals of phased array technique, has meant that it is now possible to advance in the characterisation, localisation, and sizing of the defects in these components. This has been possible thanks to the discovery of synthetic materials which have allowed us to design and manufacture a new group of ultrasonic transducers. To these we may add new developments in electronics and computer sciences which have facilitated the building of high-powered control systems. This report discusses the work carried out by Tecnatom and Iberdrola in the field of automatic ultrasonic inspection of the vessel nozzles by means of array technology in the BWR at the Cofrentes Nuclear Power Station. The aims of this work were: - To facilitate the detection, characterisation, sizing and positioning of defects - To simplify and improve ultrasonic inspection in order to reduce acquisition times and the cost of same In order to achieve these results the following items were developed: - New array transducers were designed and manufactured - A new data acquisition system was developed - New programs for analysing data and for simulating ultrasonic testing was developed - The results have been validated in mock up. (Author)

  15. Luminescence Imaging Strategies for Drone-Based PV Array Inspection

    DEFF Research Database (Denmark)

    Benatto, Gisele Alves dos Reis; Riedel, Nicholas; Mantel, Claire

    2017-01-01

    ) acquisition under natural light conditions during several times of the day, under high sun irradiation, to unveil the sunlight noise characteristics towards an InGaAs detector. In order to bring more freedom to a drone-based inspection, we also show the preliminary results of a laser-line based...

  16. A study on non-contact ultrasonic technique for on-line inspection of CFRP

    International Nuclear Information System (INIS)

    Lee, Seung-Joon; Park, Won-Su; Lee, Joon-Hyun; Byun, Joon-Hyung

    2007-01-01

    The advantages of carbon fiber reinforced plastic materials (CFRP) are: they are light structure materials, they have corrosion resistance, and higher specific strength and elasticity. The recently developed 3-dimentional fiber placement system is able to produce a more complex and various shaped structures due to less limitations of a product shape according to the problem in conventional fabrication process. This fiber placement system stacks the narrow prepreg tape on the mold according to the designed sequence and thickness. Non-destructive evaluation was rquired for these composites to evaluate changes in strength caused by defects such as delamination and porosity. Additionally, the expectent quality should be satisfied for the high cost fabrication process using the fiber placement system. Therefore, an on line non-destructive evaluation system is required and real-time complement is needed when the defects are detected [1]. Defect imaging by the ultrasonic C-scan method is a useful technique for defect detection in CFRP. However, the conventional ultrasonic C-scan technique cannot be applied during the fabrication process because the test piece should be immersed into the water. Therefore, non-contact ultrasonic techniques should be applied during the fabricating process. For the development of non-contact ultrasonic techniques available in non-destructive evaluation of CFRP, a recent laser-generated ultrasonic technique and an air-coupled transducer that transmit and receive ultrasounds in the air are studied [2-3]. In this study, generating and receiving techniques of laser-generated ultrasound and the characteristics of received signals upon the internal defects of CFRO were studied for non-contact inspection

  17. Development of Inspection Technique for Socket Weld of Small Bore Piping in Nuclear Power Plant

    International Nuclear Information System (INIS)

    Yoon, Byungsik; Kim, Yongsik; Lee, Jeongseok

    2013-01-01

    The losses incurred by unplanned shutdowns are significant; consequently, early crack initiation and crack detection, including the detection of fillet weld manufacturing defects, is of the utmost importance. Current inspection techniques are not capable of reliably inspecting socket welds; therefore, new approaches are needed. The new technique must be sensitive to socket weld cracking, which usually initiates from the root, in order to detect the cracking during the early failure phase. In 2008, Kori unit 3 experienced leakage from the drain line socket weld of a steam generator. From this experience, KHNP enforced a management program to focus on enhancing the reliability of small bore socket weld piping inspections. Currently, conventional manual ultrasonic inspection techniques are used to detect service induced fatigue cracks. But there was uncertainty on manual ultrasonic inspection because of limited access to the welds and difficulties with contact between the ultrasonic probe and the OD surface of small bore piping. In this study, phased array ultrasonic inspection techniques are applied to increase inspection speed and reliability. Additionally a manually encoded scanner has been developed to enhance contact conditions and maintain constant signal quality. A phased array UT technique and system was developed to inspect small bore socket welds. The experimental results show all artificial flaws in the specimen were detected and measured. These experimental results show, that the newly developed inspection system, has improved the reliability and speed of small bore socket weld inspection. Based on these results, future works shall focus on additional experiments, with more realistic flaw responses. By applying this technique to the field, we expect that it can improve the integrity of small bore piping in nuclear power plants

  18. The ASME research task force on risk-based in-service inspection

    International Nuclear Information System (INIS)

    Balkey, K.R.; Chapman, O.J.V.

    1997-01-01

    The use of risk-based methods in the development of in-service inspection (ISI) and in-service testing (IST) programs for nuclear power plant and other industrial applications has been studied for the last several years through the American Society of Mechanical Engineers Centre for Research and Technology Development (ASME 1991, 1992, 1994, 1996). The results of this work are being used as a foundation to develop specific requirements for implementation of risk-based technology in ASME Codes and Standards, regulatory requirements and industry programs both in the U.S. and other countries. This paper provides a brief overview of the ASME Research Methodology and how it has been adapted for application to the inspection of piping within the USA. It also relates how the reliability of nondestructive examination (NDE) methods for pressure boundary components can impact the risk and discusses the relationship between this and NDE qualification/demonstration now being implemented in Europe and the USA. (orig.)

  19. Meat inspection for Trichinella in pork, horsemeat and game within the EU: available technology and its present implementation.

    Science.gov (United States)

    Webster, P; Maddox-Hyttel, C; Nöckler, K; Malakauskas, A; van der Giessen, J; Pozio, E; Boireau, P; Kapel, C M O

    2006-01-01

    A new EU directive relating to meat inspection for Trichinella, expected to come into force in 2006, imposes important modifications to current legislation. Nevertheless, several issues need more attention. Optimisation of methods, especially concerning sensitivity and digestibility of the meat to be inspected, along with further simplification of the legislation with regard to the number of techniques accepted, is recommended to guarantee that all member states of the EU will be given tools to perform inspection of consumer meat at the same high level. Additionally, there is a need for guidelines and protocols regarding optimal proficiency testing procedures. This paper presents an overview of the current methods for Trichinella meat inspection and their implementation in the EU, listing advantages and disadvantages for each method, including some suggestions for specific points of improvement.

  20. Fast Industrial Inspection of Optical Thin Film Using Optical Coherence Tomography

    Directory of Open Access Journals (Sweden)

    Muhammad Faizan Shirazi

    2016-09-01

    Full Text Available An application of spectral domain optical coherence tomography (SD-OCT was demonstrated for a fast industrial inspection of an optical thin film panel. An optical thin film sample similar to a liquid crystal display (LCD panel was examined. Two identical SD-OCT systems were utilized for parallel scanning of a complete sample in half time. Dual OCT inspection heads were utilized for transverse (fast scanning, while a stable linear motorized translational stage was used for lateral (slow scanning. The cross-sectional and volumetric images of an optical thin film sample were acquired to detect the defects in glass and other layers that are difficult to observe using visual inspection methods. The rapid inspection enabled by this setup led to the early detection of product defects on the manufacturing line, resulting in a significant improvement in the quality assurance of industrial products.

  1. Field Applications of Gamma Column Scanning Technology

    International Nuclear Information System (INIS)

    Aquino, Denis D.; Mallilin, Janice P.; Nuñez, Ivy Angelica A.; Bulos, Adelina DM.

    2015-01-01

    The Isotope Techniques Section (ITS) under the Nuclear Service Division (NSD) of the Philippine Nuclear Research Institute (PNRI) conducts services, research and development on radioisotope and sealed source application in the industry. This aims to benefit the manufacturing industries such as petroleum, petrochemical, chemical, energy, waste, column treatment plant, etc. through on line inspection and troubleshooting of a process vessel, column or pipe that could optimize the process operation and increase production efficiency. One of the most common sealed source techniques for industrial applications is the gamma column scanning technology. Gamma column scanning technology is an established technique for inspection, analysis and diagnosis of industrial columns for process optimization, solving operational malfunctions and management of resources. It is a convenient non-intrusive, cost effective and cost-efficient technique to examine inner details of an industrial process vessel such as a distillation column while it is in operation. The Philippine Nuclear Research Institute (PNRI) recognize the importance and benefits of this technology and has implemented activities to make gamma column scanning locally available to benefit the Philippine industries. Continuous effort for capacity building is being pursued thru the implementation of in-house and on-the-job training abroad and upgrading of equipment. (author)

  2. Application of phased arrays in basic and in-service inspection

    International Nuclear Information System (INIS)

    Gebhardt, W.; Schwarz, H.P.; Bonitz, F.; Woll, H.

    1985-01-01

    In the scope of the reactor safety research program of the Federal Ministry of Research and Technology a flexible microcomputer controlled phased array system was developed. Meanwhile, several industrial prototypes for simple and complicated applications are built up. The applicability of phased array systems in NDE for basic and inservice inspections of reactor pressure vessels is investigated. Methods for defect detection, reconstruction and classification are described

  3. Remote inspection system for hazardous sites

    International Nuclear Information System (INIS)

    Redd, J.; Borst, C.; Volz, R.A.; Everett, L.J.

    1999-04-01

    Long term storage of special nuclear materials poses a number of problems. One of these is a need to inspect the items being stored from time to time. Yet the environment is hostile to man, with significant radiation exposure resulting from prolonged presence in the storage facility. This paper describes research to provide a remote inspection capability, which could lead to eliminating the need for humans to enter a nuclear storage facility. While there are many ways in which an RI system might be created, this paper describes the development of a prototype remote inspection system, which utilizes virtual reality technology along with robotics. The purpose of this system is to allow the operator to establish a safe and realistic telepresence in a remote environment. In addition, it was desired that the user interface for the system be as intuitive to use as possible, thus eliminating the need for extensive training. The goal of this system is to provide a robotic platform with two cameras, which are capable of providing accurate and reliable stereographic images of the remote environment. One application for the system is that it might be driven down the corridors of a nuclear storage facility and utilized to inspect the drums inside, all without the need for physical human presence. Thus, it is not a true virtual reality system providing simulated graphics, but rather an augmented reality system, which performs remote inspection of an existing, real environment

  4. Robotics Inspection Vehicle for Advanced Storages

    Energy Technology Data Exchange (ETDEWEB)

    Ruiz, Emilio; Renaldi, Graziano; Puig, David; Franzetti, Michele; Correcher, Carlos [European Commission, Ispra (Italy). Inst. for the Protection and Security of the Citizen

    2003-05-01

    After the dismantling of nuclear weapons and the probable release of large quantities of weapon graded materials under international verification regimes, there will be a wide interest in unmanned, highly automated and secure storage areas. In such circumstances, robotics technologies can provide an effective answer to the problem of securing, manipulating and inventorying all stored materials. In view of this future application JRC's NPNS started the development and construction of an advanced robotics prototype and demonstration system, named Robotics Inspection Vehicle (RIV), for remote inspection, surveillance and remote handling in those areas. The system was designed to meet requirements of reliability, security, high availability, robustness against radiation effects, self-maintainability (i.e., auto-repair capability), and easy installation. Due to its innovative holonomic design, RIV is a highly maneuverable and agile platform able to move in any direction, including sideways. The platform carries on-board a five degree of freedom manipulator arm. The high maneuverability and operation modes take into account the needs for accessing in the most easy way materials in the storage area. The platform is prepared to operate in one of three modes: i) manual tele-operation, ii) semiautonomous and iii) fully autonomous. The paper describes RIV's main design features, and details its GENERIS based control software [JRC's software architecture for robotics] and embedded sensors (i.e., 3D laser range, transponder antenna, ultra-sound, vision-based robot guidance, force-torque sensors, etc.). RIV was designed to incorporate several JRC innovative surveillance and inspection technologies and reveals that the current state of technology is mature to effectively provide a solution to novel storage solutions. The system is available for demonstration at JRC's Rialto Laboratory.

  5. Development of inspection technology for inner wall pipe of aging nuclear power plant

    International Nuclear Information System (INIS)

    Ito, Fuyumi; Nishimura, Akihiko

    2013-01-01

    Careful inspection should be paid on aging nuclear power plants. Due to the Fukushima BWR accident, more advanced inspection techniques are now requested in Japan. To find SCC along welded sections by Ultrasonic Testing or Eddy Current Testing is difficult due to the low S/N. Here we propose to apply Magnetic particle Testing (MT) on the inspection. MT uses magnetic particles uniting fluorescent pigment. It is a weak point of MT that uniting particles and pigment is breakable. To extend the lifetime, we developed unique capsule for the magnetic particle to coexist with fluorescent pigment. In addition, Laser-Induced Breakdown Spectroscopy (LIBS) used for the laser cleaning of materials, is reported in this paper as a preliminary experiment. The intensity of 621nm peak gradually decreases over time. This result will become a measure of the degree of oxide layer removal. (author)

  6. International cooperation in production inspections

    International Nuclear Information System (INIS)

    Limousin, S.

    2009-01-01

    Nuclear pressure equipment, like the reactor pressure vessel or steam generators, are manufactured in many countries all around the world. As only few reactors were built in the 90's, most of the nuclear safety authorities have lost part of their know how in component manufacturing oversight. For these two reasons, vendor inspection is a key area for international cooperation. On the one hand, ASN has bilateral relationships with several countries (USA, Finland, China...) to fulfill specific purposes. On the other hand, ASN participates in international groups like the MDEP ( Multinational Design Evaluation Program). A MDEP working group dedicated to vendor inspection cooperation enables exchanges of informations (inspection program plan, inspection findings...) among the regulators. Join inspections are organized. International cooperation could lead in the long term to an harmonization of regulatory practices. (author)

  7. Waste Inspection Tomography (WIT)

    International Nuclear Information System (INIS)

    Bernardi, R.T.

    1995-01-01

    Waste Inspection Tomography (WIT) provides mobile semi-trailer mounted nondestructive examination (NDE) and assay (NDA) for nuclear waste drum characterization. WIT uses various computed tomography (CT) methods for both NDE and NDA of nuclear waste drums. Low level waste (LLW), transuranic (TRU), and mixed radioactive waste can be inspected and characterized without opening the drums. With externally transmitted x-ray NDE techniques, WIT has the ability to identify high density waste materials like heavy metals, define drum contents in two- and three-dimensional space, quantify free liquid volumes through density and x-ray attenuation coefficient discrimination, and measure drum wall thickness. With waste emitting gamma-ray NDA techniques, WIT can locate gamma emitting radioactive sources in two- and three-dimensional space, identify gamma emitting isotopic species, identify the external activity levels of emitting gamma-ray sources, correct for waste matrix attenuation, provide internal activity approximations, and provide the data needed for waste classification as LLW or TRU. The mobile feature of WIT allows inspection technologies to be brought to the nuclear waste drum storage site without the need to relocate drums for safe, rapid, and cost-effective characterization of regulated nuclear waste. The combination of these WIT characterization modalities provides the inspector with an unprecedented ability to non-invasively characterize the regulated contents of waste drums as large as 110 gallons, weighing up to 1,600 pounds. Any objects that fit within these size and weight restrictions can also be inspected on WIT, such as smaller waste bags and drums that are five and thirty-five gallons

  8. Assessment of NDE Technologies for Detection and Characterization of Stress Corrosion Cracking in LWRs

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, Ryan M.; Ramuhalli, Pradeep; Toloczko, Mychailo B.; Bond, Leonard J.; Montgomery, Robert O.

    2012-12-31

    Stress corrosion cracking (SCC) in light water reactors (LWRs) has been a persistent form of degradation in the nuclear industry. Examples of SCC can be found for a range of materials in boiling and pressurized water reactor environments, including carbon steels, stainless steels, and nickel-base stainless alloys. The evolution of SCC is often characterized by a long initiation stage followed by a phase of more rapid crack growth to failure. This provides a relatively short window of opportunity to detect the start of observable SCC, and it is conceivable that SCC could progress from initiation to failure between subsequent examinations when managed by applying periodic in-service inspection techniques. Implementation of advanced aging management paradigms in the current fleet of LWRs will require adaptation of existing measurement technologies and development of new technologies to perform on-line measurements during reactor operation to ensure timely detection of material degradation and to support the implementation of advanced diagnostics and prognostics. This paper considers several non-destructive examination (NDE) technologies with known sensitivity to detection of indicators for SCC initiation and/or propagation, and assesses these technologies with respect to their ability to detect and accurately characterize the significance of an SCC flaw. Potential strategies to improve SCC inspection or monitoring performance are offered to benefit management of SCC degradation in LWRs.

  9. Assessment of NDE technologies for detection and characterization of stress corrosion cracking in LWRs

    International Nuclear Information System (INIS)

    Meyer, R.M.; Ramuhalli, P.; Toloczko, M.B.; Bond, L.J.; Montgomery, R.O.

    2012-01-01

    Stress corrosion cracking (SCC) in light water reactors (LWRs) has been a persistent form of degradation in the nuclear industry. Examples of SCC can be found for a range of materials in boiling and pressurized water reactor environments, including carbon steels, stainless steels, and nickel-base alloys. The evolution of SCC is often characterized by a long initiation stage followed by a phase of more rapid crack growth to failure. This provides a relatively short window of opportunity to detect the start of observable SCC, and it is conceivable that SCC could progress from initiation to failure between subsequent examinations when managed by applying periodic in-service inspection techniques. Implementation of advanced aging management paradigms in the current fleet of LWRs will require adaptation of existing measurement technologies and development of new technologies to perform on-line measurements during reactor operation to ensure timely detection of material degradation and to support the implementation of advanced diagnostics and prognostics. This paper considers several non-destructive examination (NDE) technologies with known sensitivity to detection of indicators for SCC initiation and/or propagation, and assesses these technologies with respect to their ability to detect and accurately characterize the significance of an SCC flaw. Potential strategies to improve SCC inspection or monitoring performance are offered to benefit management of SCC degradation in LWRs. (author)

  10. Development and Applicability Demonstration of a Remote Inspection Module for Inspection of Reactor Internals in an SFR

    International Nuclear Information System (INIS)

    Kim, Hoewoong; Joo, Youngsang; Park, Changgyu; Kim, Jongbum; Bae, Jinho

    2014-01-01

    Since liquid sodium is optically opaque, the ultrasonic inspection technique has been mainly employed for inspection of reactor internals in a Sodium-cooled Fast Reactor (SFR). Until now, two types of ultrasonic sensors have been mainly developed; immersion and waveguide sensors. An immersion sensor can provide a high-resolution image, but it may have problems in terms of reliability and life time because the sensor is exposed to high temperature during inspection. On the other hand, a waveguide sensor can maintain its performance during long-term inspection in high temperature because it installs an ultrasonic transducer in a cold region even though such a high-frequency ultrasonic wave cannot be used owing to the long propagation distance [4-6]. In this work, a remote inspection module employing four 10 m long waveguide sensors was newly developed and several performance tests were carried out in water to demonstrate the applicability of the developed remote inspection module to inspection of reactor internals in an SFR. In this work, a remote inspection module for inspection of reactor internals in an SFR was newly developed. The developed remote inspection module employs four 10 m long waveguide sensors for multiple inspection applications: a horizontal beam waveguide sensor for ranging inspection, two vertical beam waveguide sensors for viewing inspection and a 45 .deg. angle beam waveguide sensor for identification inspection. Several performance tests such as ranging, viewing and identification inspections were carried out for simulated nuclear fuel assembly specimens in water, and the applicability of the developed remote inspection module to inspection of reactor internals in an SFR was demonstrated

  11. Development and Applicability Demonstration of a Remote Inspection Module for Inspection of Reactor Internals in an SFR

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hoewoong; Joo, Youngsang; Park, Changgyu; Kim, Jongbum [Korea Atomic Energy Research Institute, Daejeon (Korea, Republic of); Bae, Jinho [National Fusion Research Institute, Daejeon (Korea, Republic of)

    2014-05-15

    Since liquid sodium is optically opaque, the ultrasonic inspection technique has been mainly employed for inspection of reactor internals in a Sodium-cooled Fast Reactor (SFR). Until now, two types of ultrasonic sensors have been mainly developed; immersion and waveguide sensors. An immersion sensor can provide a high-resolution image, but it may have problems in terms of reliability and life time because the sensor is exposed to high temperature during inspection. On the other hand, a waveguide sensor can maintain its performance during long-term inspection in high temperature because it installs an ultrasonic transducer in a cold region even though such a high-frequency ultrasonic wave cannot be used owing to the long propagation distance [4-6]. In this work, a remote inspection module employing four 10 m long waveguide sensors was newly developed and several performance tests were carried out in water to demonstrate the applicability of the developed remote inspection module to inspection of reactor internals in an SFR. In this work, a remote inspection module for inspection of reactor internals in an SFR was newly developed. The developed remote inspection module employs four 10 m long waveguide sensors for multiple inspection applications: a horizontal beam waveguide sensor for ranging inspection, two vertical beam waveguide sensors for viewing inspection and a 45 .deg. angle beam waveguide sensor for identification inspection. Several performance tests such as ranging, viewing and identification inspections were carried out for simulated nuclear fuel assembly specimens in water, and the applicability of the developed remote inspection module to inspection of reactor internals in an SFR was demonstrated.

  12. Improvement of the reliability on nondestructive inspection

    International Nuclear Information System (INIS)

    Song, Sung Jin; Kim, Young H.; Lee, Hyang Beom; Shin, Young Kil; Jung, Hyun Jo; Park, Ik Keun; Park, Eun Soo

    2002-03-01

    Retaining reliabilities of nondestructive testing is essential for the life-time maintenance of Nuclear Power Plant. The nondestructive testing methods which are frequently used in the Nuclear Power Plant are eddy current testing for the inspection of steam generator tubes and ultrasonic testing for the inspection of weldments. In order to improve reliabilities of ultrasonic testing and eddy current testing, the subjects carried out in this study are as follows : development of BEM analysis technique for ECT of SG tube, development of neural network technique for the intelligent analysis of ECT flaw signals of SG tubes, development of RFECT technology for the inspection of SG tube, FEM analysis of ultrasonic scattering field, evaluation of statistical reliability of PD-RR test of ultrasonic testing and development of multi-Gaussian beam modeling technique to predict accurate signal of signal beam ultrasonic testing with the efficiency in calculation time

  13. Improvement of the reliability on nondestructive inspection

    Energy Technology Data Exchange (ETDEWEB)

    Song, Sung Jin; Kim, Young H. [Sungkyunkwan Univ., Suwon (Korea, Republic of); Lee, Hyang Beom [Soongsil Univ., Seoul (Korea, Republic of); Shin, Young Kil [Kunsan National Univ., Gunsan (Korea, Republic of); Jung, Hyun Jo [Wonkwang Univ., Iksan (Korea, Republic of); Park, Ik Keun; Park, Eun Soo [Seoul Nationl Univ., Seoul (Korea, Republic of)

    2002-03-15

    Retaining reliabilities of nondestructive testing is essential for the life-time maintenance of Nuclear Power Plant. The nondestructive testing methods which are frequently used in the Nuclear Power Plant are eddy current testing for the inspection of steam generator tubes and ultrasonic testing for the inspection of weldments. In order to improve reliabilities of ultrasonic testing and eddy current testing, the subjects carried out in this study are as follows : development of BEM analysis technique for ECT of SG tube, development of neural network technique for the intelligent analysis of ECT flaw signals of SG tubes, development of RFECT technology for the inspection of SG tube, FEM analysis of ultrasonic scattering field, evaluation of statistical reliability of PD-RR test of ultrasonic testing and development of multi-Gaussian beam modeling technique to predict accurate signal of signal beam ultrasonic testing with the efficiency in calculation time.

  14. Heat and Mass Transfer Remote Control in Bioreactors of Technological Lines

    Directory of Open Access Journals (Sweden)

    Viktorija M. Mel’nick

    2017-10-01

    Full Text Available Background. The main problems that arise when using equipment for cultivation are to ensure the heat and mass transfer processes in devices, presence of turbulent and stagnant zones, high-energy consumption, low heat transfer coefficients when working with viscous fluids. Objective. The aim of the paper is the experimental determination of the remote control heat transfer advantages in production line bioreactors using ultrasonic beam compared to contact methods. Methods. An experimental study of the heat and mass transfer process in a bioreactor on the stand with UZP-6-1 immersion unit of the ultrasonic radiator with radiation frequency 42 kHz is carried out. Results. Sound waves emitted into a liquid form a concentration zone of passable sound energy in the confocal vessel form of a cylindrical surface and force the liquid to move along the inner surface of the glass along the ascending cylindrical spiral, forming a motive flow throughout the volume, causing peripheral layers of liquid and bottom layers to move in a horizontal and vertical planes, without leaving stagnant zones. The closer to the coincidence angle is the directed ultrasonic beam the greater is the effectiveness of the driving flow. Conclusions. The use of sound waves allows obtaining a high-quality product in technological lines based on bioreactors with minimal risk for the technological process. Radiation parameters and working volume physic-mechanical properties change allow fully using the properties of resonant manifestations of the sound wave influence on the working liquid with minimal costs.

  15. Visual Inspection of the Flow Distribution Plate Bolts of a Nuclear Steam Generator

    International Nuclear Information System (INIS)

    Jeong, Woo Tae; Kim, Suk Tae; Sohn, Wook; Kang, Duk Won; Kang, Seok Chul

    2007-01-01

    To develop a system for visually inspecting the flow distribution plate (FDP) bolts of a nuclear steam generator, we reviewed several types of similar inspection equipment. The equipment which are currently available are mostly for inspecting lower part of a steam generator such as tube sheets and annulus except ELVS (Eggcrate Visual Inspection System). However, the design concept of ELVS could not be used for developing a device which enables the visual inspection of flow distribution plate bolts. Therefore, based on the current state of the art technology on the similar equipment, we conceptually designed a new inspection system for checking the FDP bolts

  16. Careful determination of inservice inspection of piping by computer analysis in nuclear power plant

    International Nuclear Information System (INIS)

    Lim, H. T.; Lee, S. L.; Lee, J. P.; Kim, B. C.

    1992-01-01

    Stress analysis has been performed using computer program ANSYS in the pressurizer surge line in order to predict possibility of crack generation due to thermal stratification phenomena in pipes connected to reactor coolant system of Nuclear power plants. Highly vulnerable area to crack generation has been chosen by the analysis of fatigue due to thermal stress in pressurizer surge line. This kind of result can be helpful to choose the location requiring intensive care during inservice inspection of nuclear power plants.

  17. Licensee contractor and vendor and vendor inspection status report. Quarterly report, July 1995--September 1995

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    1995-12-01

    This periodical covers the results of inspections performed by the NRC`s Special Inspection Branch, Vendor Inspection Section, that have been distributed to the inspected organizations during the period from July 1995 through September 1995. Vendors inspected include: (1) Continental Disc Corporation, (2) Divesco, (3) Eaton, (4) General Electric Nuclear Energy, (5) ITT Barton, (6) Liberty Technologies, (7) Mid-South Nuclear, (8) Power Distribution Services, (9) Rosemount, (10) Target-Rock, and (11) Westinghouse Electric.

  18. Licensee contractor and vendor and vendor inspection status report. Quarterly report, July 1995--September 1995

    International Nuclear Information System (INIS)

    1995-12-01

    This periodical covers the results of inspections performed by the NRC's Special Inspection Branch, Vendor Inspection Section, that have been distributed to the inspected organizations during the period from July 1995 through September 1995. Vendors inspected include: (1) Continental Disc Corporation, (2) Divesco, (3) Eaton, (4) General Electric Nuclear Energy, (5) ITT Barton, (6) Liberty Technologies, (7) Mid-South Nuclear, (8) Power Distribution Services, (9) Rosemount, (10) Target-Rock, and (11) Westinghouse Electric

  19. Chapter 4. Assessment and inspection of nuclear installations

    International Nuclear Information System (INIS)

    2001-01-01

    Supervisory activity of Nuclear Regulatory Authority of the Slovak Republic (UJD) upon the safety of nuclear installations in compliance with the 'Atomic Act' and other legal regulations includes also inspection and assessment activities of UJD. Assessment activity of UJD in relation to nuclear installations lies in assessment of safety documentation for constructions realised as nuclear installations, or constructions through which changes are realised on nuclear installations. The scope of safety documentation required for the assessment is stipulated in the Atomic Act. In 2000 the assessment activity focused first of all on Unit 1 of NPP Bohunice after completing its Gradual Reconstruction Programme, on National Repository of Radioactive waste in Mochovce and on radioactive waste conditioning and treatment technology in Jaslovske Bohunice. Activities of UJD in assessment focused mainly on control of compliance with requirements for nuclear safety, assessment of commissioning programmes, operating procedures, limits and conditions, etc. The assessment of changes, which influence nuclear safety of nuclear installations in operation, realisation of which is conditioned by the approval from UJD, is a significant part of the assessment activity of UJD. Mainly it is the assessment of design changes, changes in limits and conditions, operating procedures, changes in programmes of periodical testing of equipment important in terms of nuclear safety, changes in physical protection of nuclear equipment, etc. The assessment of nuclear installations operational safety, based on assessment of operational events, on maintaining limits and conditions of safe operation, on operational safety performance indicators and on inspection results is a separate category in the assessment activity of UJD. Inspection activity specified in the 'Atomic Act' is governed by an internal guideline, an important part of which is an annual inspection plan that considers the following types of

  20. Radionuclides for process control and inspection

    International Nuclear Information System (INIS)

    Hadden, R.J.B.

    1987-01-01

    Radiation sources have been used in process control for over 40 years. Their use in inspection, implying visual examination, although of much earlier origin in the form of gamma radiography, is also of recent emergence in the form of tomographic methods. This paper firstly reviews the justification for the continued world-wide usage of isotopic methods. It then reviews a selection of innovative process control applications, based on radiation sources, as illustrations of the present state of the art and also describes recent progress in inspection methods including progress in the development of on-line facilities. For all applications involving radiation sources, careful selection of parameters is required to achieve the highest efficiency compatible with an integrity suitable for the intended application. The paper concludes with a brief discussion of the common principles on which the fabrication of sources is based in order to satisfy national and international safety legislation. (author)

  1. Development of Ultrasonic Visual Inspection Program for In-Vessel Structures of SFR

    International Nuclear Information System (INIS)

    Joo, Y. S.; Park, C. G.; Lee, J. H.

    2009-02-01

    As the liquid sodium of a sodium-cooled fast reactor (SFR) is opaque to light, a conventional visual inspection is unavailable for the evaluation of the in-vessel structures under a sodium level. ASME Section XI Division 3 provides rules and guidelines for an in-service inspection (ISI) and testing of the components of SFR. For the ISI of in-vessel structures, the ASME code specifies visual examinations. An ultrasonic wave should be applied for an under-sodium visual inspection of the in-vessel structures. The plate-type waveguide sensor has been developed and the feasibility of the waveguide sensor technique has been successfully demonstrated for an ultrasonic visual inspection of the in-vessel structures of SFR. In this study, the C-scan image mapping program (Under-Sodium MultiView) is developed to apply this waveguide sensor technology to an under-sodium visual inspection of in-vessel structures in SFR by using a LabVIEW graphical programming language. The Under-Sodium MultiVIEW program has the functions of a double rotating scanner motion control, a high power pulser receiver control, a image mapping and a signal processing. The performance of Under-Sodium MultiVIEW program was verified by a C-scanning test

  2. Programmable - logic equipment for ultrasound periodic inspections of reactor pressure vessels

    International Nuclear Information System (INIS)

    Haniger, L.

    1980-01-01

    Two alternatives are presented of programmable logic corresponding to the 2nd generation of the apparatus for performing periodic ultrasonic inspections of power reactor pressure vessels and a solution is outlined of inspecting the circumferential weld on the pressure vessel head. The apparatus will allow using any measuring head taken into consideration for operational inspection. Command words are taken from a punched type reader. Czechoslovak made RAM memories are used. The algorithm of instrument function is supposed to be controlled by a microprocessor as soon as necessary preconditions for this technology are created in Czechoslovakia

  3. ASME section XI: rules for inservice inspection of nuclear power plants -an introspection

    Energy Technology Data Exchange (ETDEWEB)

    John, P K; Anto, Y; Mungikar, C P; Wagh, P M [Nuclear Power Corporation of India Ltd., Tarapur (India). Tarapur Atomic Power Station

    1994-12-31

    Section XI of the ASME BPV code is addressed to the examination, test and inspection requirements of the components of nuclear power plants (NPPs). Since its inception in 1970, this code section has undergone vast changes -probably the most among other ASME BPV code sections. Section XI is full fledged and lays down requirements with regard to all preservice inspections, inservice inspection, repair and replacement of components, tests of system etc. Tarapur Atomic Power Station (TAPS) has the distinction of being one of the earliest BWR type NPPs in the world that has an inservice inspection programme organised in line with the ASME section XI requirements. This paper summarises the experiences gained from time to time using this code section and a few suggestions to prospective users. An effort is also made to explain the philosophy of inservice inspection from ASME section XI point of view. 3 refs.

  4. ASME section XI: rules for inservice inspection of nuclear power plants -an introspection

    International Nuclear Information System (INIS)

    John, P.K.; Anto, Y.; Mungikar, C.P.; Wagh, P.M.

    1994-01-01

    Section XI of the ASME BPV code is addressed to the examination, test and inspection requirements of the components of nuclear power plants (NPPs). Since its inception in 1970, this code section has undergone vast changes -probably the most among other ASME BPV code sections. Section XI is full fledged and lays down requirements with regard to all preservice inspections, inservice inspection, repair and replacement of components, tests of system etc. Tarapur Atomic Power Station (TAPS) has the distinction of being one of the earliest BWR type NPPs in the world that has an inservice inspection programme organised in line with the ASME section XI requirements. This paper summarises the experiences gained from time to time using this code section and a few suggestions to prospective users. An effort is also made to explain the philosophy of inservice inspection from ASME section XI point of view. 3 refs

  5. Ultrasonic inspection

    International Nuclear Information System (INIS)

    Satittada, Gannaga

    1984-01-01

    Ultrasonic inspection is one of the most widely used methods for nondestructive inspection. The beam of high-frequency sound wave, ultrasonic wave, is introduced into the material. It travels through the material with some attendant loss of energy and can be reflected at interfaces. The reflected beam is detected and analyzed. Ultrasonic inspection is used to detect flaws in metal parts as well as in welded, brazed and bonded joints during research work and developing production and service. It is also used to detect and locate porosity, pipe, and flakes. In addition, it can be used for the measurement of metal thickness. Ultrasonic inspection is therefore used for quality control and material inspection in all major industries

  6. Report from the Light Water Reactor Sustainability Workshop on On-Line Monitoring Technologies

    International Nuclear Information System (INIS)

    Baldwin, Thomas; Tawfik, Magdy; Bond, Leonard

    2010-01-01

    In support of expanding the use of nuclear power, interest is growing in methods of determining the feasibility of longer term operation for the U.S. fleet of nuclear power plants, particularly operation beyond 60 years. To help establish the scientific and technical basis for such longer term operation, the DOE-NE has established a research and development (R and D) objective. This objective seeks to develop technologies and other solutions that can improve the reliability, sustain the safety, and extend the life of current reactors. The Light Water Reactor Sustainability (LWRS) Program, which addresses the needs of this objective, is being developed in collaboration with industry R and D programs to provide the technical foundations for licensing and managing the long-term, safe, and economical operation of nuclear power plants. The LWRS Program focus is on longer-term and higher-risk/reward research that contributes to the national policy objectives of energy and environmental security. In moving to identify priorities and plan activities, the Light Water Reactor Sustainability Workshop on On-Line Monitoring (OLM) Technologies was held June 10-12, 2010, in Seattle, Washington. The workshop was run to enable industry stakeholders and researchers to identify the nuclear industry needs in the areas of future OLM technologies and corresponding technology gaps and research capabilities. It also sought to identify approaches for collaboration that would be able to bridge or fill the technology gaps. This report is the meeting proceedings, documenting the presentations and discussions of the workshop and is intended to serve as a basis for a plan which is under development that will enable the I and C research pathway to achieve its goals. Benefits to the nuclear industry accruing from On Line Monitoring Technology cannot be ignored. Information gathered thus far has contributed significantly to the Department of Energy's Light Water Reactor Sustainability Program. DOE

  7. Report from the Light Water Reactor Sustainability Workshop on On-Line Monitoring Technologies

    Energy Technology Data Exchange (ETDEWEB)

    Thomas Baldwin; Magdy Tawfik; Leonard Bond

    2010-06-01

    In support of expanding the use of nuclear power, interest is growing in methods of determining the feasibility of longer term operation for the U.S. fleet of nuclear power plants, particularly operation beyond 60 years. To help establish the scientific and technical basis for such longer term operation, the DOE-NE has established a research and development (R&D) objective. This objective seeks to develop technologies and other solutions that can improve the reliability, sustain the safety, and extend the life of current reactors. The Light Water Reactor Sustainability (LWRS) Program, which addresses the needs of this objective, is being developed in collaboration with industry R&D programs to provide the technical foundations for licensing and managing the long-term, safe, and economical operation of nuclear power plants. The LWRS Program focus is on longer-term and higher-risk/reward research that contributes to the national policy objectives of energy and environmental security. In moving to identify priorities and plan activities, the Light Water Reactor Sustainability Workshop on On-Line Monitoring (OLM) Technologies was held June 10–12, 2010, in Seattle, Washington. The workshop was run to enable industry stakeholders and researchers to identify the nuclear industry needs in the areas of future OLM technologies and corresponding technology gaps and research capabilities. It also sought to identify approaches for collaboration that would be able to bridge or fill the technology gaps. This report is the meeting proceedings, documenting the presentations and discussions of the workshop and is intended to serve as a basis for a plan which is under development that will enable the I&C research pathway to achieve its goals. Benefits to the nuclear industry accruing from On Line Monitoring Technology cannot be ignored. Information gathered thus far has contributed significantly to the Department of Energy’s Light Water Reactor Sustainability Program. DOE has

  8. MACS as a tool for international inspections

    Energy Technology Data Exchange (ETDEWEB)

    Curtiss, J.A.; Indusi, J.P.

    1995-08-01

    The MACS/ACRS (Managed Access by Controlled Sensing/Access by Controlled Remote Sensing) system is a collection of communication devices, video capability, and distance-measuring equipment which can effectively substitute for the physical presence of a challenge inspector within a facility. The MACS design allows growth of the prototype, developed in response to the Chemical Weapons Convention (CWC), into a versatile device for inspection of sensitive nuclear facilities under other international arrangements, for example the proposed Fissile Material Cutoff Convention. A MACS/ACRS-type system in a standard, international-recognized configuration could resolve sensitive information and safety concerns through providing a means of achieving the goals of an inspection while excluding the inspector. We believe the technology used to develop MACS for the Defense Nuclear Agency, followed by ACRS for the Department of Energy, is universally adaptable for minimally-intrusive managed-access international inspections of sensitive sites.

  9. MACS as a tool for international inspections

    International Nuclear Information System (INIS)

    Curtiss, J.A.; Indusi, J.P.

    1995-01-01

    The MACS/ACRS (Managed Access by Controlled Sensing/Access by Controlled Remote Sensing) system is a collection of communication devices, video capability, and distance-measuring equipment which can effectively substitute for the physical presence of a challenge inspector within a facility. The MACS design allows growth of the prototype, developed in response to the Chemical Weapons Convention (CWC), into a versatile device for inspection of sensitive nuclear facilities under other international arrangements, for example the proposed Fissile Material Cutoff Convention. A MACS/ACRS-type system in a standard, international-recognized configuration could resolve sensitive information and safety concerns through providing a means of achieving the goals of an inspection while excluding the inspector. We believe the technology used to develop MACS for the Defense Nuclear Agency, followed by ACRS for the Department of Energy, is universally adaptable for minimally-intrusive managed-access international inspections of sensitive sites

  10. Pathology Residents Comprise Inspection Team for a CAP Self-Inspection

    Directory of Open Access Journals (Sweden)

    Stacy G. Beal MD

    2017-03-01

    Full Text Available We report our experience at the University of Florida in which residents and fellows served as the inspection team for a College of American Pathologists (CAP self-inspection. We aimed to determine whether the CAP self-inspection could serve as a learning opportunity for pathology residents and fellows. To prepare for the inspection, we provided a series of 4 lunchtime seminars covering numerous laboratory management topics relating to inspections and laboratory quality. Preparation for the inspection began approximately 4 months prior to the date of the inspection. The intent was to simulate a CAP peer inspection, with the exception that the date was announced. The associate residency program director served as the team leader. All residents and fellows completed inspector training provided by CAP, and the team leader completed the team leader training. A 20 question pre- and posttest was administered; additionally, an anonymous survey was given after the inspection. The residents’ and fellows’ posttest scores were an average of 15% higher than on the pretest ( P < .01. The surveys as well as subjective comments were overwhelmingly positive. In conclusion, the resident’s and fellow’s experience as an inspector during a CAP self-inspection was a useful tool to learn accreditation and laboratory management.

  11. Automated visual inspection of brake shoe wear

    Science.gov (United States)

    Lu, Shengfang; Liu, Zhen; Nan, Guo; Zhang, Guangjun

    2015-10-01

    With the rapid development of high-speed railway, the automated fault inspection is necessary to ensure train's operation safety. Visual technology is paid more attention in trouble detection and maintenance. For a linear CCD camera, Image alignment is the first step in fault detection. To increase the speed of image processing, an improved scale invariant feature transform (SIFT) method is presented. The image is divided into multiple levels of different resolution. Then, we do not stop to extract the feature from the lowest resolution to the highest level until we get sufficient SIFT key points. At that level, the image is registered and aligned quickly. In the stage of inspection, we devote our efforts to finding the trouble of brake shoe, which is one of the key components in brake system on electrical multiple units train (EMU). Its pre-warning on wear limitation is very important in fault detection. In this paper, we propose an automatic inspection approach to detect the fault of brake shoe. Firstly, we use multi-resolution pyramid template matching technology to fast locate the brake shoe. Then, we employ Hough transform to detect the circles of bolts in brake region. Due to the rigid characteristic of structure, we can identify whether the brake shoe has a fault. The experiments demonstrate that the way we propose has a good performance, and can meet the need of practical applications.

  12. New Swedish regulations in the area of plant inspection and in-service inspection

    International Nuclear Information System (INIS)

    Hansson, B.

    1998-01-01

    History and present status od Swedish regulations in the field of NPP inspection and in-service inspection are described. The presentation focuses on the development of regulations and establishing new ones. A description of different organisations involved is included

  13. Completion of development of robotics systems for inspecting unpiggable transmission pipelines.

    Science.gov (United States)

    2013-02-01

    This document presents the final report for a program focusing on the completion of the : research, development and demonstration effort, which was initiated in 2001, for the : development of two robotic systems for the in-line, live inspection of un...

  14. Remote inspection of steam turbine blades

    International Nuclear Information System (INIS)

    Anon.

    1987-01-01

    During the past five years Reinhart and Associates, Inc. has been involved in remote examination of L-0 and L-1 steam turbine blade rows of in-place LP turbines using visual and eddy current techniques. These tests have concentrated on the trailing edge and blade-to-rotor attachment (Christmas tree) areas. These remote nondestructive examinations were performed through hand access ports of the inner shell. Since the remote scanning system was in a prototype configuration, the inspection was highly operator-dependent. Refinement of the scanning equipment would considerably improve the efficiency of the test; however, the feasibility of remote in-place inspection of turbine blades was established. To further improve this technology, and to provide for remote inspection of other areas of the blade and additional turbine designs, EPRI is funding a one-year project with Reinhart and Associates, Inc. This project will develop a new system that employs state-of-the-art multifrequency eddy current techniques, a miniature charged coupled device (CCD) television camera, and remote positioning equipment. Project results from the first six months are presented

  15. Monitoring Interior and Exterior Wall Inspections within a Virtual Environment

    Directory of Open Access Journals (Sweden)

    A. Z. Sampaio

    2012-01-01

    Full Text Available This paper describes two prototype applications based on the Virtual Reality (VR technology for use in maintenance planning of buildings. In a building, the paint coating applied to interior walls and the different types of materials applied to façades convey their aesthetic character and also perform an important function of protection. This a construction component which is exposed to agents of deterioration related to its use, needing the regular evaluation of its state of repair. The applications support the performance of such periodic inspections and the monitoring of interior and exterior wall maintenance, using the VR technology. Used during an inspection visit, the applications allow users to consult a database of irregularities, normally associated with the coating, classified by the most probable causes and by the recommended repair methodologies. In addition, a chromatic scale related to the degree of deterioration of the coating, defined as a function of the time between the dates of the application of the paint and the scheduled repainting, can be attributed to each element of coating monitored. This use of the VR technology allows inspections and the evaluation of the degree of wear and tear of materials to be carried out in a highly direct and intuitive manner.

  16. Vision Based Autonomous Robotic Control for Advanced Inspection and Repair

    Science.gov (United States)

    Wehner, Walter S.

    2014-01-01

    The advanced inspection system is an autonomous control and analysis system that improves the inspection and remediation operations for ground and surface systems. It uses optical imaging technology with intelligent computer vision algorithms to analyze physical features of the real-world environment to make decisions and learn from experience. The advanced inspection system plans to control a robotic manipulator arm, an unmanned ground vehicle and cameras remotely, automatically and autonomously. There are many computer vision, image processing and machine learning techniques available as open source for using vision as a sensory feedback in decision-making and autonomous robotic movement. My responsibilities for the advanced inspection system are to create a software architecture that integrates and provides a framework for all the different subsystem components; identify open-source algorithms and techniques; and integrate robot hardware.

  17. RPII Inspection and Licensing Activities and Annual Inspection Programme for 2011

    International Nuclear Information System (INIS)

    2010-07-01

    The objective of this report is to provide an overview of inspection activities of the Radiological Protection Institute of Ireland, to examine the evolution in licensee numbers and to outline the rationale in developing annual inspection programmes. All inspection activities are now carried out within the framework of a quality management system including: inspection planning, the training of inspectors, the conduct of inspections as well as inspection follow up and review. The report also provides an overview of the main features of the quality system

  18. RPII Inspection and Licensing Activities and Annual Inspection Programme for 2013

    International Nuclear Information System (INIS)

    2013-04-01

    The objective of this report is to provide an overview of inspection activities of the Radiological Protection Institute of Ireland RPII, to examine the evolution in licensee numbers and to outline the rationale in developing annual inspection programmes. All inspection activities are now carried out within the framework of a quality management system including inspection planning, the training of inspectors, the conduct of inspections as well as post inspection follow up and review. This report also provides an overview of the main features of the quality system

  19. Careful Determination of Inservice Inspection of piping by Computer Analysis in Nuclear Power Plant

    International Nuclear Information System (INIS)

    Lim, H. T.; Lee, S. L.; Lee, J. P.; Kim, B. C.

    1992-01-01

    Stress analysis has been performed using computer program ANSYS in the pressurizer surge line in accordance with ASME Sec. III in order to predict possibility of fatigue failure due to thermal stratification phenomena in pipes connected to reactor coolant system of nuclear power plants. Highly vulnerable area to crack generation has been chosen by the analysis of fatigue due to thermal stress in pressurizer surge line. This kind of result can be helpful to choose the location requiring intensive care during inservice inspection of nuclear power plants

  20. Inspection of Emergency Arrangements

    International Nuclear Information System (INIS)

    2013-01-01

    NPPs. - Where RBs have an influence over land use around NPPs, they use the knowledge of their local or resident inspectors to inform decisions. - Inspectors check that appropriate action levels or criteria to identify an actual or radiological emergency are clearly defined and readily available to decision makers. - When observing emergency exercises inspectors check that: an appropriate and timely declaration is made, plant operators respond in accordance with the emergency plan and emergency instructions, and an appropriate level of response is initiated on and off-site. - RBs conduct follow-up inspections after real events, to ensure that the correct emergency response has been followed. - Inspectors verify that reliable data will be used to support the evaluation of environmental impact from a nuclear or radiological emergency, to the extent that this is within the jurisdiction of the RB. - Routine inspections and emergency exercise observations include a check that lines of communication are sufficiently resilient. - When observing emergency exercises inspectors, where practicable, check that timely, accurate and consistent information is provided to the public, in accordance with the off-site emergency plan. - RBs inspect the ability of the operator to give accurate medical and radiological information about casualties, to enable the correct treatment to be given. - Additional emergency equipment that is held in reserve off-site, provided to enhance resilience (post Fukushima), is included in the RB planned inspection and/or emergency exercise programme. - Inspectors check that licensee staff are adequately trained to connect and use additional equipment provided to enhance resilience. - Inspectors utilise the NPP operator's approved on-site emergency plan when inspecting on-site emergency arrangements. - RBs include human factors staff in emergency exercise evaluation teams to consider safety culture and human performance. - RBs consider the need for exercise

  1. EXTENDING THE DEEP PACKET INSPECTION MODEL TO THE GCC/MENA REGION

    Directory of Open Access Journals (Sweden)

    Alfred H. Miller

    2013-12-01

    Full Text Available This study seeks to explore extending the technology acceptance model (DPAM from a 2011 quantitative study—Modeling Intention to Use Deep Packet Inspection Technology in the United Arab Emirates, to the cyber security practitioner community of the Gulf Cooperation Council (GCC and greater Middle East North Africa (MENA Region. Analysis of regression between independent variable model factors of computer self efficacy, attitude toward ICT, perceived usefulness of ecommerce, intention to use ecommerce, societal trust and Internet filtration toward the dependent variable intention to use deep packet inspection, to determine parsimony, using confirmatory factor analysis (CFA, multinomial regression to assess correlation of independent and dependent variables, and assessment of the cross-suitability of DPAM across the MENA/GCC states through a MANOVA assessment. A qualitative component of the instrument enables collection of data about specific hardware and software deployed for deep packet inspection and cyber security systems.

  2. Improved plant availability by advanced condition based inspections

    International Nuclear Information System (INIS)

    Hulshof, Harry J.M.; Noteboom, Jan W.; Welberg, Paul G.M.; Bruijn, Leo E.

    2004-01-01

    An industrial plant has to operate safely, reliably and efficiently at the lowest possible cost. Plant availability plays an important role regarding economic life optimisation. Industrial installations that are under pressure and are operating at high temperatures have a limited life due to creep and fatigue. It is, therefore, of critical importance to know the location of any possible weak spots in the installation. To avoid safety risks, unplanned plant shutdown and, as a consequence, high costs for unavailability, cycling and repair, periodic inspections and strain measurements are recommended. A Speckle Image Correlation Analysis (SPICA) system enables on-stream measurement of deformation due to creep in critical areas like the heat-affected zone in welds. Plant management and operators use the strain measurements to take action when necessary and, consequently, prevent failures. In those plants that have been provided with SPICA-technology for some years plant availability has improved significantly as a result. Another important development for yielding improved availability concerns steam drums. During some 20 years, KEMA has been performing automated ultrasonic steam drum inspections from outside. The Dutch authorities accepted this methodology in this period as an alternative (rather than an addition) after several pilot projects. An advantage of this inspection methodology is the possibility to record of the inspection results and possibility of thus trending these data. The resulting reduction of through time appeared a major benefit for plant owners. Since the authorities adopted the RBI approach during the last 10 years, another advantage of the inspection methodology became apparent: complete scanning and recording of the inspection data of circumferential and longitudinal (butt and fillet) welds, inspection of nozzle welds and inner radius as well as corrosion mapping has been covering all higher risk areas in these drums. This enhanced inspection

  3. Improved plant availability by advanced condition based inspections

    Energy Technology Data Exchange (ETDEWEB)

    Hulshof, Harry J.M.; Noteboom, Jan W; Welberg, Paul G.M.; Bruijn, Leo E

    2004-06-01

    An industrial plant has to operate safely, reliably and efficiently at the lowest possible cost. Plant availability plays an important role regarding economic life optimisation. Industrial installations that are under pressure and are operating at high temperatures have a limited life due to creep and fatigue. It is, therefore, of critical importance to know the location of any possible weak spots in the installation. To avoid safety risks, unplanned plant shutdown and, as a consequence, high costs for unavailability, cycling and repair, periodic inspections and strain measurements are recommended. A Speckle Image Correlation Analysis (SPICA) system enables on-stream measurement of deformation due to creep in critical areas like the heat-affected zone in welds. Plant management and operators use the strain measurements to take action when necessary and, consequently, prevent failures. In those plants that have been provided with SPICA-technology for some years plant availability has improved significantly as a result. Another important development for yielding improved availability concerns steam drums. During some 20 years, KEMA has been performing automated ultrasonic steam drum inspections from outside. The Dutch authorities accepted this methodology in this period as an alternative (rather than an addition) after several pilot projects. An advantage of this inspection methodology is the possibility to record of the inspection results and possibility of thus trending these data. The resulting reduction of through time appeared a major benefit for plant owners. Since the authorities adopted the RBI approach during the last 10 years, another advantage of the inspection methodology became apparent: complete scanning and recording of the inspection data of circumferential and longitudinal (butt and fillet) welds, inspection of nozzle welds and inner radius as well as corrosion mapping has been covering all higher risk areas in these drums. This enhanced inspection

  4. SmartInspect: Smart Contract Inspection Technical Report

    OpenAIRE

    Bragagnolo , Santiago; Rocha , Henrique ,; Denker , Marcus; Ducasse , Stéphane

    2017-01-01

    Smart contracts are embedded procedures stored with the data they act upon. Debugging deployed Smart Contracts is a difficult task since once deployed, the code cannot be reexecuted and inspecting a simple attribute is not easily possible because data is encoded. In this technical report, we present SmartInspect to address the lack of inspectability of a deployed contract. Our solution analyses the contract state by using decompilation techniques and a mirror-based architecture to represent t...

  5. Improving of methods and organization of the inspection activity of The Bank of Russia

    Directory of Open Access Journals (Sweden)

    Fedulov Vladislav Igorevich

    2011-10-01

    Full Text Available The article presents information about the main causes of bank failures at present, that has been taken to find some lacks of modern organization of the Bank of Russia inspection activity. In order to eliminate the revealed lacks we supplied some methods for increasing the effectiveness of the unscheduled narrowly focused thematic inspections that are held to update opinions of supervisor about the risks and to obtain quickly reliable information about specific areas of the bank. Such an approach to organization of inspection activities is more in line with the concept of risk-focused supervision.

  6. Integration of design and inspection

    Science.gov (United States)

    Simmonds, William H.

    1990-08-01

    Developments in advanced computer integrated manufacturing technology, coupled with the emphasis on Total Quality Management, are exposing needs for new techniques to integrate all functions from design through to support of the delivered product. One critical functional area that must be integrated into design is that embracing the measurement, inspection and test activities necessary for validation of the delivered product. This area is being tackled by a collaborative project supported by the UK Government Department of Trade and Industry. The project is aimed at developing techniques for analysing validation needs and for planning validation methods. Within the project an experimental Computer Aided Validation Expert system (CAVE) is being constructed. This operates with a generalised model of the validation process and helps with all design stages: specification of product requirements; analysis of the assurance provided by a proposed design and method of manufacture; development of the inspection and test strategy; and analysis of feedback data. The kernel of the system is a knowledge base containing knowledge of the manufacturing process capabilities and of the available inspection and test facilities. The CAVE system is being integrated into a real life advanced computer integrated manufacturing facility for demonstration and evaluation.

  7. In-Service Inspection system for coolant channels of Indian PHWRS - evolution and experience

    International Nuclear Information System (INIS)

    Puri, R.K.; Singh, M.

    2006-01-01

    In-Service Inspection (ISI) is the most important of all periodic monitoring and surveillance activities for assuring the structural integrity of coolant channels in the life extension and management of pressurized heavy water reactors (PHWR-CANDU). Indian PHWRs (220 MWe) are characterized by consists by 306 coolant channels in each unit. These channels have to be inspected for various parameters over the operating life of the reactor. ISI of coolant channels necessitated the indigenous development of an inspection system called BARCIS (BARC Channel Inspection System) at Bhabha Atomic Research Center. BARCIS consists of mainly three parts; drive and control unit, special sealing plug and an inspection head carrying various NDT sensors. Five such systems have been built and deployed at various power plants. The paper deals with the development of the BARCIS system for meeting the ISI requirements of coolant channels, development cycle of this system from its conception to evolution to the present state, challenges, data generated and experience gained (ISI of nearly 900 coolant channels has been completed). Prior to BARCIS, pressure tube gauging equipment for pre-service inspection of coolant tubes was developed in 1980. Moreover a tool for ISI of coolant channels in dry condition was developed in 1990. The paper also describes evolution of various contingency procedures and devices developed over the last one decade. Future plans taking into account technological advancement, changes in the scope of inspection due to design and operating experiences and plant layout will also be covered. The paper describes the efforts put in to develop drive and control mechanism to suit the different vault layouts. The drive mechanism is responsible for linear and rotary movement of the inspection head to carry out 100% volumetric inspection. Special emphasis has been laid on the safety devices required during the inspection activity. Special measures for heavy water retention in

  8. Leak detection technologies for oil and gas pipelines; Tecnologias para deteccao e localizacao de vazamento em dutos de oleo e ou gas

    Energy Technology Data Exchange (ETDEWEB)

    Alonso, Julio R. [MTT Aselco Automacao Ltda., Sao Paulo, SP (Brazil)

    2005-07-01

    Two concepts are available for leak detection in oil and/or gas pipelines: On-line leak detection system and off-line leak detection technique. The off-line leak detection technique is, usually, portable and does net configure a 'system'. This technique includes hydro-test, acoustic emission of high frequency, tracer of chemical substances, ultrasonic flow meter (UT), thermographic infra-red mapping, electromagnetic offset registration, etc. Since most of those methods requests stop of the system or depend on direct and detailed inspection of the whole monitored piping they are limited to the off-line inspection. In the current days there are only two technologies applied to detect and locate leaks on-line: The acoustic Leak Detection System and the modeling of computerized simulation also called as RTM (Real Time Modeling), RTTM or Mass Balance. There are still other techniques in the market, as acoustic emission, pressure analysis (PPA) beyond other rough techniques, without good results. Even some of these techniques are working without success, they are still used to accomplish with government standards. (author)

  9. Qualification of the Improved rotating probe process for steam generator tubes inspection

    International Nuclear Information System (INIS)

    Caston, D.

    2002-01-01

    In 1997, EDF called for bids to Eddy Current (EC) probes manufacturers to supply rotating probes in order to improve the inspection of the Roll Transition Zone of Steam Generator tubes. Several probes met EDF requirements, and after full assessment, EDF chose one between several EC rotating probe prototypes. For the state of its technical study, EDF chose CEGELEC NDTs services among French ISI SG NDT providers, to inspect a limited number of tubes on two French NPP in 2000 with this prototype. Improved Rotating Probe process technical requirements were provided by EDF with the SG contract specifications in June 2000. They dictate technique performances level and acquisition rate of this new process using two techniques at the same time: - STL classic technique applied for detection and sizing of axial cracks; - STT technique, applied for detection and Sizing of circumferential cracks and wear. It has to be used, instead of classic STL process, without increasing inspection duration and SG occupancy. In competition for the qualification, CEGELEC NDT decided to design a new probe with its providers, including the two EC sensors and meeting EDF's requirements. Two another new equipment, designed in CEGELEC NDT laboratories, have been integrated into Improved Rotating Probe Process: - 'STL Lift', new rotating probe push-puller for Roll Transition Zone inspection; - 'ANASTL', on-line STL and STT data quality check, on-line data processing and analysis software. Without talking about performances of the technique and results obtained on site, this paper presents the new equipment, the different phases of the qualification conducted according to RSE-M rules, the first field experiences in August 2001 and the feedback experience of following site inspections. (author)

  10. On-Site Inspection RadioIsotopic Spectroscopy (Osiris) System Development

    Energy Technology Data Exchange (ETDEWEB)

    Caffrey, Gus J. [Idaho National Laboratory, Idaho Falls, ID (United States); Egger, Ann E. [Idaho National Laboratory, Idaho Falls, ID (United States); Krebs, Kenneth M. [Idaho National Laboratory, Idaho Falls, ID (United States); Milbrath, B. D. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Jordan, D. V. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Warren, G. A. [Pacific Northwest National Lab. (PNNL), Richland, WA (United States); Wilmer, N. G. [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2015-09-01

    We have designed and tested hardware and software for the acquisition and analysis of high-resolution gamma-ray spectra during on-site inspections under the Comprehensive Nuclear-Test-Ban Treaty (CTBT). The On-Site Inspection RadioIsotopic Spectroscopy—Osiris—software filters the spectral data to display only radioisotopic information relevant to CTBT on-site inspections, e.g.,132I. A set of over 100 fission-product spectra was employed for Osiris testing. These spectra were measured, where possible, or generated by modeling. The synthetic test spectral compositions include non-nuclear-explosion scenarios, e.g., a severe nuclear reactor accident, and nuclear-explosion scenarios such as a vented underground nuclear test. Comparing its computer-based analyses to expert visual analyses of the test spectra, Osiris correctly identifies CTBT-relevant fission product isotopes at the 95% level or better.The Osiris gamma-ray spectrometer is a mechanically-cooled, battery-powered ORTEC Transpec-100, chosen to avoid the need for liquid nitrogen during on-site inspections. The spectrometer was used successfully during the recent 2014 CTBT Integrated Field Exercise in Jordan. The spectrometer is controlled and the spectral data analyzed by a Panasonic Toughbook notebook computer. To date, software development has been the main focus of the Osiris project. In FY2016-17, we plan to modify the Osiris hardware, integrate the Osiris software and hardware, and conduct rigorous field tests to ensure that the Osiris system will function correctly during CTBT on-site inspections. The planned development will raise Osiris to technology readiness level TRL-8; transfer the Osiris technology to a commercial manufacturer, and demonstrate Osiris to potential CTBT on-site inspectors.

  11. On-Site Inspection RadioIsotopic Spectroscopy (Osiris) System Development

    International Nuclear Information System (INIS)

    Caffrey, Gus J.; Egger, Ann E.; Krebs, Kenneth M.; Milbrath, B. D.; Jordan, D. V.; Warren, G. A.; Wilmer, N. G.

    2015-01-01

    We have designed and tested hardware and software for the acquisition and analysis of high-resolution gamma-ray spectra during on-site inspections under the Comprehensive Nuclear-Test-Ban Treaty (CTBT). The On-Site Inspection RadioIsotopic Spectroscopy-Osiris-software filters the spectral data to display only radioisotopic information relevant to CTBT on-site inspections, e.g.,132I. A set of over 100 fission-product spectra was employed for Osiris testing. These spectra were measured, where possible, or generated by modeling. The synthetic test spectral compositions include non-nuclear-explosion scenarios, e.g., a severe nuclear reactor accident, and nuclear-explosion scenarios such as a vented underground nuclear test. Comparing its computer-based analyses to expert visual analyses of the test spectra, Osiris correctly identifies CTBT-relevant fission product isotopes at the 95% level or better.The Osiris gamma-ray spectrometer is a mechanically-cooled, battery-powered ORTEC Transpec-100, chosen to avoid the need for liquid nitrogen during on-site inspections. The spectrometer was used successfully during the recent 2014 CTBT Integrated Field Exercise in Jordan. The spectrometer is controlled and the spectral data analyzed by a Panasonic Toughbook notebook computer. To date, software development has been the main focus of the Osiris project. In FY2016-17, we plan to modify the Osiris hardware, integrate the Osiris software and hardware, and conduct rigorous field tests to ensure that the Osiris system will function correctly during CTBT on-site inspections. The planned development will raise Osiris to technology readiness level TRL-8; transfer the Osiris technology to a commercial manufacturer, and demonstrate Osiris to potential CTBT on-site inspectors.

  12. Final Report Inspection of Aged/Degraded Containments Program.

    Energy Technology Data Exchange (ETDEWEB)

    Naus, Dan J [ORNL; Ellingwood, B R [Georgia Institute of Technology; Oland, C Barry [ORNL

    2005-09-01

    The Inspection of Aged/Degraded Containments Program had primary objectives of (1) understanding the significant factors relating corrosion occurrence, efficacy of inspection, and structural capacity reduction of steel containments and liners of reinforced concrete containments; (2) providing the United States Nuclear Regulatory Commission (USNRC) reviewers a means of establishing current structural capacity margins or estimating future residual structural capacity margins for steel containments, and concrete containments as limited by liner integrity; (3) providing recommendations, as appropriate, on information to be requested of licensees for guidance that could be utilized by USNRC reviewers in assessing the seriousness of reported incidences of containment degradation; and (4) providing technical assistance to the USNRC (as requested) related to concrete technology. Primary program accomplishments have included development of a degradation assessment methodology; reviews of techniques and methods for inspection and repair of containment metallic pressure boundaries; evaluation of high-frequency acoustic imaging, magnetostrictive sensor, electromagnetic acoustic transducer, and multimode guided plate wave technologies for inspection of inaccessible regions of containment metallic pressure boundaries; development of a continuum damage mechanics-based approach for structural deterioration; establishment of a methodology for reliability-based condition assessments of steel containments and liners; and fragility assessments of steel containments with localized corrosion. In addition, data and information assembled under this program has been transferred to the technical community through review meetings and briefings, national and international conference participation, technical committee involvement, and publications of reports and journal articles. Appendix A provides a listing of program reports, papers, and publications; and Appendix B contains a listing of

  13. Non-destructive inspection protocol for reinforced concrete barriers and bridge railings

    Energy Technology Data Exchange (ETDEWEB)

    Chintakunta, Satish R. [Engineering and Software Consultants, Inc., 14123 Robert Paris Ct., Chantilly, VA 20151 (United States); Boone, Shane D. [Federal Highway Administration, Turner Fairbank Highway Research Center, 6300 Georgetown Pike, McLean, VA 22101 (United States)

    2014-02-18

    Reinforced concrete highway barriers and bridge railings serve to prevent errant vehicles from departing the travel way at grade separations. Despite the important role that they play in maintaining safety and their ubiquitous nature, barrier inspection rarely moves beyond visual inspection. In August 2008, a tractor-trailer fatally departed William Preston Lane, Jr. Memorial Bridge after it dislodged a section of the bridge barrier. Investigations following the accident identified significant corrosion of the anchor bolts attaching the bridge railing to the bridge deck. As a result of the information gathered during its investigation of the accident, the National Transportation Safety Board (NTSB) made recommendations to the Federal Highway Administration concerning Non-Destructive Evaluation (NDE) of concrete bridge railings. The Center for nondestructive evaluation (NDE) at Turner Fairbank Highway Research Center in McLean, VA is currently evaluating feasibility of using four technologies - ground penetrating radar (GPR), ultrasonic pulse-echo, digital radiography and infrared thermal imaging methods to develop bridge inspection methods that augment visual inspections, offer reliable measurement techniques, and are practical, both in terms of time and cost, for field inspection work. Controlled samples containing predefined corrosion levels in reinforcing steel were embedded at barrier connection points for laboratory testing. All four NDE techniques were used in the initial phase I testing. An inspection protocol for detecting and measuring the corrosion of reinforced steel embedded in the anchorage system will be developed as part of phase II research. The identified technologies shall be further developed for field testing utilizing a structure with a barrier in good condition and a structure with a barrier in poor condition.

  14. Non-destructive inspection protocol for reinforced concrete barriers and bridge railings

    Science.gov (United States)

    Chintakunta, Satish R.; Boone, Shane D.

    2014-02-01

    Reinforced concrete highway barriers and bridge railings serve to prevent errant vehicles from departing the travel way at grade separations. Despite the important role that they play in maintaining safety and their ubiquitous nature, barrier inspection rarely moves beyond visual inspection. In August 2008, a tractor-trailer fatally departed William Preston Lane, Jr. Memorial Bridge after it dislodged a section of the bridge barrier. Investigations following the accident identified significant corrosion of the anchor bolts attaching the bridge railing to the bridge deck. As a result of the information gathered during its investigation of the accident, the National Transportation Safety Board (NTSB) made recommendations to the Federal Highway Administration concerning Non-Destructive Evaluation (NDE) of concrete bridge railings. The Center for nondestructive evaluation (NDE) at Turner Fairbank Highway Research Center in McLean, VA is currently evaluating feasibility of using four technologies - ground penetrating radar (GPR), ultrasonic pulse-echo, digital radiography and infrared thermal imaging methods to develop bridge inspection methods that augment visual inspections, offer reliable measurement techniques, and are practical, both in terms of time and cost, for field inspection work. Controlled samples containing predefined corrosion levels in reinforcing steel were embedded at barrier connection points for laboratory testing. All four NDE techniques were used in the initial phase I testing. An inspection protocol for detecting and measuring the corrosion of reinforced steel embedded in the anchorage system will be developed as part of phase II research. The identified technologies shall be further developed for field testing utilizing a structure with a barrier in good condition and a structure with a barrier in poor condition.

  15. Visual inspection of vessel internals; Visuelle Inspektion von Kerneinbauten

    Energy Technology Data Exchange (ETDEWEB)

    Rabe, G. [Siemens AG KWU, Erlangen (Germany)

    1999-08-01

    Visual inspection has matured to a qualified testing method and has become a standard method for inspection of reactor pressure vessels. Until today, all known defects in RPV internals have been detected by visual inspection. The codes KTA 3204 and DIN 25435-4 describe the framework conditions and requirements for visual inspections, which should be adhered to to the most possible extent. Visual inspections are carried by now at all RPV internals, also at those where access is difficult and limited. The inspection robot SUSI is applied in most cases. The camera and manipulator technology meanwhile has been upgraded to a standard performance quality allowing reliable, fast and easy visual inspection. The personnel is trained accordingly, so as to keep abreast with enhancements. Qualification of the inspection system has been simplified and standardised to a large extent. (orig/CB) [Deutsch] Die Sichtpruefung ist zu einem qualifizierten Pruefverfahren gereift und hat bei der Inspektion der RDB-Einbauten einen festen Platz eingenommen. Bisher wurden alle bekannten Schaeden an den RDB-Einbauten bei der Sichtpruefung festgestellt. In der KTA 3204 und der DIN 25435-4 sind die Rahmenbedingungen und Anforderungen an die Sichtpruefung beschrieben, die es gilt, weitestgehend einzuhalten. Mittlerweile werden an allen RDB-Einbauten, auch an den nur bedingt zugaenglichen, Sichtpruefungen vorgenommen. Dabei hat das Inspektionsfahrzeug SUSI inzwischen den breitesten Raum eingenommen. Die Entwicklung der Kamera- und Manipulatortechnik hat inzwischen einen Stand erreicht, der eine sichere, schnelle und einfache Sichtpruefung zulaesst. Das Pruefpersonal wird laufend fuer die Sichtpruefung geschult und qualifiziert. Die Qualifizierung des Inspektionssystems wurde weitestgehend vereinfacht und standardisiert. (orig.)

  16. EUV actinic defect inspection and defect printability at the sub-32 nm half pitch

    Energy Technology Data Exchange (ETDEWEB)

    Huh, Sungmin; Kearney, Patrick; Wurm, Stefan; Goodwin, Frank; Han, Hakseung; Goldberg, Kenneth; Mochi, Iacopp; Gullikson, Eric M.

    2009-08-01

    Extreme ultraviolet (EUV) mask blanks with embedded phase defects were inspected with a reticle actinic inspection tool (AIT) and the Lasertec M7360. The Lasertec M7360, operated at SEMA TECH's Mask Blank Development Center (MBDC) in Albany, NY, has a sensitivity to multilayer defects down to 40-45 nm, which is not likely sufficient for mask blank development below the 32 nm half-pitch node. Phase defect printability was simulated to calculate the required defect sensitivity for a next generation blank inspection tool to support reticle development for the sub-32 nm half-pitch technology node. Defect mitigation technology is proposed to take advantage of mask blanks with some defects. This technology will reduce the cost of ownership of EUV mask blanks. This paper will also discuss the kind of infrastructure that will be required for the development and mass production stages.

  17. A quadcopter with heterogeneous sensors for autonomous bridge inspection.

    Science.gov (United States)

    2014-02-01

    Continuously monitoring a bridges health by sensor technologies has been widely used to maintain the operation of : a : roadwork while protecting public users safety. However, monitoring and inspecting numerous bridges in a state is a labor : -...

  18. Inspection and repair of reactor pressure vessel (RPV) internals

    International Nuclear Information System (INIS)

    Bohmann, W.; Poetz, F.; Nicolai, M.

    1996-01-01

    The past 10 years of operation of light water reactors were characterized by intensive inspection- and repair work on vital components. For boiling water reactors (BWR) it was typical to totally replace the piping system and for pressurized water reactors (PWR) it was the step to complete steam generator (SG) replacement - besides the development of increasingly diligent inspection and repair methods for SG tubes. It can be expected that in the 10 years to come the development of inspection- and repair methods will be aimed mainly at the core internals of BWR's as well as PWR's. Our prediction is that before the end of this decade a first complete replacement of these components will be performed. Already to date a broad range of techniques are available which enable the utilities to carry out inspections and repair of components of core internals in a relatively short time and acceptable expenses. Using examples such as Fuel Alignment Pin Inspection and Replacement, Baffle Former Bolt Inspection and Replacement, Core Barrel Former Bolt Inspection which are typical for PWR's we will in the following describe the existing methods, their development and - last but not least - their successful utilization. What is going to happen in the future? Ageing of the operating plants will continue, thus requesting the plant operators as well as the service companies to work on advanced technologies to fulfill the needs of the industry. (author)

  19. Assessment of the Automotive Inspection, Maintenance, and Repair Industry

    Science.gov (United States)

    1981-02-01

    The study describes the current status of the Inspection/Maintenance/Repair Industry in the U.S., the impact of technological changes and legislation, and related approaches used in Germany and Sweden. Based on these findings suggestions are provided...

  20. In-service inspection of pressurized water reactors

    International Nuclear Information System (INIS)

    Rapin, M.; Saglio, R.

    1983-01-01

    French legislation, which is more demanding than in other countries, had led Electricite de France, the State-owned utility, to acquire better performance in-service inspection facilities than those which existed previously. This fact has spurred the industrial development of the new technical facilities which are used worldwide today. This article presents the ''in-service inspection machine'' (MIS) for the inspection of the welds of a PWR vessel, and the inspection device of steam generator tubes; the MIS allow a remote-viewing, ultrasonic and gamma-graphic inspection; Foucault currents are the only one method adapted to the inspection steam generator tubes [fr

  1. Inspection of bottom and lid welds for disposal canisters

    International Nuclear Information System (INIS)

    Pitkaenen, J.

    2010-09-01

    This report presents the inspection techniques of copper electron beam and friction stir welds. Both welding methods are described briefly and a more detailed description of the defects occurring in each welding methods is given. The defect types form a basis for the design of non-destructive testing. The inspection of copper material is challenging due to the anisotropic properties of the weld and local changes in the grain size of the base material. Four different methods are used for inspection. Ultrasonic and radiographic testing techniques are used for inspection of volume. Eddy current and visual testing techniques are used for inspection of the surface and near surface area. All these methods have some limitations which are related to the physics of the used method. All inspection methods need to be carried out remotely because of the radiation from the spent nuclear fuel. All methods have been described in detail and the use of the chosen inspection techniques has been justified. Phased array technology has been applied in ultrasonic testing. Ultrasonic phased array technology enables the electrical modification of the sound field during inspection so that the sound field can be adjusted dynamically for different situations and detection of different defect types. The frequency of the phased array probe has been chosen to be 3.5 MHz. It is a compromise between good sizing and defect detectability. It must be taken into account that ultrasonic testing is not suitable for detection of defect types which are in the direction of the beam. Ultrasonic and radiographic testing techniques complement each other in case of planar defects. Positioning of the indication in the radial direction is rather limited in radiographic testing. Surface inspection has been added to the inspection routine because indications from the outer surface of the canister cannot be distinguished from weld defects in the radiographic image. A 9 MeV linear accelerator has been used in the

  2. Inspection device in liquid

    International Nuclear Information System (INIS)

    Nagaoka, Etsuo.

    1996-01-01

    The present invention provides an inspection device in PWR reactor core in which inspection operations are made efficient by stabilizing a posture of the device in front-to-back, vertical and left-to-right directions by a simple structure. When the device conducts inspection while running in liquid, the front and the back directions of the device main body are inspected using a visual device while changing the posture by operating a front-to-back direction propulsion device and a right-to-left direction propulsion device, and a vertical direction propulsion device against to rolling, pitching and yawing of the device main body. In this case, a spherical magnet moves freely in the gravitational direction in a vibration-damping fluid in a non-magnetic spherical shell following the change of the posture of the device main body, in which the vibrations due to the movement of the spherical magnet is settled by the vibration-damping fluid thereby stabilizing the posture of the device main body. At a typical inspection posture, the settling effect is enhanced by the attraction force between the spherical magnets in the spherical shell and each of magnetic force-attracted magnetic members disposed to the outer circumference of the shell, and the posture of the device main body can be confirmed in front-to-back, right-to-left and vertical directions by each of the posture confirming magnetic sensors. (N.H.)

  3. Software Formal Inspections Guidebook

    Science.gov (United States)

    1993-01-01

    The Software Formal Inspections Guidebook is designed to support the inspection process of software developed by and for NASA. This document provides information on how to implement a recommended and proven method for conducting formal inspections of NASA software. This Guidebook is a companion document to NASA Standard 2202-93, Software Formal Inspections Standard, approved April 1993, which provides the rules, procedures, and specific requirements for conducting software formal inspections. Application of the Formal Inspections Standard is optional to NASA program or project management. In cases where program or project management decide to use the formal inspections method, this Guidebook provides additional information on how to establish and implement the process. The goal of the formal inspections process as documented in the above-mentioned Standard and this Guidebook is to provide a framework and model for an inspection process that will enable the detection and elimination of defects as early as possible in the software life cycle. An ancillary aspect of the formal inspection process incorporates the collection and analysis of inspection data to effect continual improvement in the inspection process and the quality of the software subjected to the process.

  4. Use of artificial intelligence techniques for visual inspection systems prototyping. Application to magnetoscopy

    International Nuclear Information System (INIS)

    Pallas, Christophe

    1987-01-01

    The automation of visual inspection is a complex task that requires collaboration between experts, for example inspection specialist, vision specialist. on-line operators. Solving such problems through prototyping promotes this collaboration: the use of a non specific programming environment allows rapid, concrete checking of method validity, thus leading incrementally to the final system. In this context, artificial intelligence techniques permit easy, extensible, and modular design of the prototype, together with heuristic solution building. We define and achieve the SPOR prototyping environment, based on object-oriented programming and rules-basis managing. The feasibility and the validity of an heuristic method for automated visual inspection in fluoroscopy have been proved through prototyping in SPOR. (author) [fr

  5. A single-pixel X-ray imager concept and its application to secure radiographic inspections

    Science.gov (United States)

    Gilbert, Andrew J.; Miller, Brian W.; Robinson, Sean M.; White, Timothy A.; Pitts, William Karl; Jarman, Kenneth D.; Seifert, Allen

    2017-07-01

    Imaging technology is generally considered too invasive for arms control inspections due to the concern that it cannot properly secure sensitive features of the inspected item. However, this same sensitive information, which could include direct information on the form and function of the items under inspection, could be used for robust arms control inspections. The single-pixel X-ray imager (SPXI) is introduced as a method to make such inspections, capturing the salient spatial information of an object in a secure manner while never forming an actual image. The method is built on the theory of compressive sensing and the single pixel optical camera. The performance of the system is quantified using simulated inspections of simple objects. Measures of the robustness and security of the method are introduced and used to determine how robust and secure such an inspection would be. In particular, it is found that an inspection with low noise ( 256 ×) exhibits high robustness and security.

  6. Development of a handling technology for underwater inspection and dismantling

    International Nuclear Information System (INIS)

    Rose, N.

    1994-01-01

    For the purpose of underwater inspection and dismantling of nuclear facilities, a prototype of a freely submersible, remote-controlled handling system was developed and tested under laboratory conditions. Particular interest was taken in the specific boundary conditions of the area of application and the methodological concept. The system was developed in three phases; in each phase, a prototype was constructed and tested. (orig.) [de

  7. Field experience of cleanliness inspection for secondary-side in PWR steam generators

    International Nuclear Information System (INIS)

    Ding Xunshen

    1997-05-01

    The mechanical cleaning and TV inspection technology for secondary-side in steam generators of Daya Bay Nuclear Power Plant has been used for preventing the heat transfer tubes from damage caused by residues in steam generator and foreign objects. A lancing has been used for steam generators. The high-pressure jet sent from the central lane to the inside of the bundle has two objectives: (a) the mechanical energy in the jet breaks up the deposit, and (b) the particles are then carried to the periphery of the generator, where they are collected by a water circulation system. The TV inspection consists of the inspection before lancing and after lancing. The former includes the inspection of outer tube lane and central tube lane, and the extraction of foreign objects; the latter includes the inspection of inter tube area, outer tube lane and central tube lane, and the extraction of foreign objects. Video cassette are visualized by a specialist who is qualified to realize a map representative of the cleanliness state of the tubesheet, and to judge if it is or not acceptable. The TV inspection obtained a cleanliness conclusion according to acceptance criteria. So, it is important to work out cleanliness acceptance criteria suit for every operation stages. The site practices shown that after lancing, although hard deposit existed and height of hard deposit in some local place exceeded 5 mm, but the sludge remaining on tubesheet was less. If we can conduct periodic lancing to steam generator, the increase rate of hard deposit will be small. (7 refs., 12 figs.)

  8. Automated reticle inspection data analysis for wafer fabs

    Science.gov (United States)

    Summers, Derek; Chen, Gong; Reese, Bryan; Hutchinson, Trent; Liesching, Marcus; Ying, Hai; Dover, Russell

    2009-04-01

    To minimize potential wafer yield loss due to mask defects, most wafer fabs implement some form of reticle inspection system to monitor photomask quality in high-volume wafer manufacturing environments. Traditionally, experienced operators review reticle defects found by an inspection tool and then manually classify each defect as 'pass, warn, or fail' based on its size and location. However, in the event reticle defects are suspected of causing repeating wafer defects on a completed wafer, potential defects on all associated reticles must be manually searched on a layer-by-layer basis in an effort to identify the reticle responsible for the wafer yield loss. This 'problem reticle' search process is a very tedious and time-consuming task and may cause extended manufacturing line-down situations. Often times, Process Engineers and other team members need to manually investigate several reticle inspection reports to determine if yield loss can be tied to a specific layer. Because of the very nature of this detailed work, calculation errors may occur resulting in an incorrect root cause analysis effort. These delays waste valuable resources that could be spent working on other more productive activities. This paper examines an automated software solution for converting KLA-Tencor reticle inspection defect maps into a format compatible with KLA-Tencor's Klarity Defect(R) data analysis database. The objective is to use the graphical charting capabilities of Klarity Defect to reveal a clearer understanding of defect trends for individual reticle layers or entire mask sets. Automated analysis features include reticle defect count trend analysis and potentially stacking reticle defect maps for signature analysis against wafer inspection defect data. Other possible benefits include optimizing reticle inspection sample plans in an effort to support "lean manufacturing" initiatives for wafer fabs.

  9. The development of three dimensional inspection and tracking system for the maintenance of pipes in the nuclear power plants

    International Nuclear Information System (INIS)

    Hwang, Suk Young; Kim, Chul Jung; Baik, Sung Hoon; Cho, Jai Wan; Park, Seung Kyu

    1999-12-01

    We developed 3D laser camera sensors for weld seam tracking and inspection of radioactive NPP pipes. The developed sensor's optical system adopts the optical triangulation method with the line beam generation and imaging optics. A laser line extraction algorithm accompanying preprocessing of noise reduction has been developed on images captured from the sensor. Experimental results validate the physical accuracy of the sensor hardware and the robustness of the image processing algorithms. A 3D shape reconstruction algorithm from multiple laser lines was proposed and the resulting 3D shape was visualized on the developed 3D graphic program environment utilizing OpenGL graphic libraries. And also, two D.O.F precise servo controlled mechanism was developed. The experimental results on weld seam tracking and inspection tasks show the practical feasibility of the developed sensors and the image processing algorithms. (author)

  10. The development of three dimensional inspection and tracking system for the maintenance of pipes in the nuclear power plants

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Suk Young; Kim, Chul Jung; Baik, Sung Hoon; Cho, Jai Wan; Park, Seung Kyu

    1999-12-01

    We developed 3D laser camera sensors for weld seam tracking and inspection of radioactive NPP pipes. The developed sensor's optical system adopts the optical triangulation method with the line beam generation and imaging optics. A laser line extraction algorithm accompanying preprocessing of noise reduction has been developed on images captured from the sensor. Experimental results validate the physical accuracy of the sensor hardware and the robustness of the image processing algorithms. A 3D shape reconstruction algorithm from multiple laser lines was proposed and the resulting 3D shape was visualized on the developed 3D graphic program environment utilizing OpenGL graphic libraries. And also, two D.O.F precise servo controlled mechanism was developed. The experimental results on weld seam tracking and inspection tasks show the practical feasibility of the developed sensors and the image processing algorithms. (author)

  11. A novel AOI system for OLED panel inspection

    International Nuclear Information System (INIS)

    Perng, D B; Chen, Y C; Lee, M K

    2005-01-01

    Organic light emitting diode (OLED) technology uses substances that emit red, green, blue or white light. An OLED panel consists of stacks of several thin layers of different materials, as such it is not easy to inspect the common OLED defects. In this paper, an autooptical inspection (AOI) system which can detect such defects effectively and robustly was proposed and developed. The proposed system can also identify, in which layer the defect occurred. Meanwhile, a moving mechanism coupled with a lighting mechanism was proposed and implemented for grabbing clear images. The proposed AOI system would provide great help in improving the OLED production process and the quality control process

  12. A study on ultrasonic inspection of long steel pipes using lamb waves

    International Nuclear Information System (INIS)

    Park, Moon Ho

    1996-02-01

    An ultrasonic inspection technique with use of Lamb waves was evaluated to detect and determine the exact location of flaws present in long steel pipes. Since multiple modes of Lamb waves are generated in the inspected pipes due to their dispersive characteristics, selection of a specific Lamb wave mode is very important for inspection of flaws. Experimental studies of flaw detectability with use of each Lamb wave mode, namely, A 0 , S 0 , A 1 , and S 1 mode and their ultrasonic attenuation characteristics were conducted. Experimental results showed that A 0 mode is the most effective for detection and exact determination of the location of flaws. A lucite wedge containing water column that generates the A 0 Lamb wave mode was developed and used in the present inspection study. It was found that the ultrasonic beam divergence after its wrapping around once the inspected pipe interferes with exact determination of the location of flaws and that maximum reflection signals are obtained when the transducer is located axially offset from the straight line with the position of the flaw. The present study showed feasibility of ultrasonic inspection with use of Lamb waves for detection of flaws in several meters long insulated or inaccessible steel pipes

  13. In-service inspections of V-230 reactor

    International Nuclear Information System (INIS)

    Prepechal, J.

    1984-01-01

    It is stated that despite certain constraints the configuration of the WWER-440 is such that it allows to make in-service inspections on a fully satisfactory scale. Three factors are discussed whose existence is necessary for the implementation of in-service inspections. The program defining the scale of inspections is satisfactory with regard to the safety and reliability of reactor operation. Its further development must result in reducing time consumption and radiation burden of personnel. Regulations for the implementation and evaluation of inspections represent the weakest link in the system of in-service inspections. At present, various organizations are dealing with the said problem within international cooperation. Equipment for in-service inspections of WWER-440 reactors is relatively good. The most important knowledge is summed up gained from the ten pre-service and in-service inspections of reactors of this type made so far. (Z.M.)

  14. Risk informed In-service Inspection

    International Nuclear Information System (INIS)

    Corak, Z.

    2003-01-01

    Safety of nuclear power plants is one of the most important conditions for their acceptance. Safety is being acheived by numerous methods and techniques in phase of design, manufacturing and maintenance of the nuclear power plants. In-service Inspection (ISI) has a significant role in avoidances of failure in components of nuclear power plants just the same as in assurance of their integrity. Non-destructive examinations are performed periodically in accordance with 10 CFR 50 50.55a and ASME Boiler and Pressure Vessel Code section XI which is referenced by 10 CFR 50.55a. Nondestructive examinations provide information about a current condition of equipment at nuclear power plants and about any damage, defect or degradation mechanism. A lot of effort is often spent in situations in which the probability of failure and their effects on safety have a very low impact. Practical experience shows that failures can often occur at locations where the inspection has never been performed. Costs and expenses of in-service inspections are very high. Therefore, the accent has to be on locations with significant risk to safety. Many years of nuclear power plants' operation and maintenance have resulted in a more broad knowledge of degradation mechanism and the most susceptible locations and huge databases of different nuclear power plants' components. U.S. Nuclear Regulatory Commission (NRC) and the nuclear industry have recognized that probabilistic risk assessment (PRA) has developed and changed to be more useful in improvement of traditional engineering approaches in nuclear power plants regulation. After the publication of its policy statement on the use of PRA in nuclear regulatory activities, the Commission ordered the NRC staff to develop a regulatory framework that incorporated risk insights. The American Society of Mechanical Engineers (ASME) initiated Code Case N-560, N-577, and N-578 that address the importance of categorization and inspection of piping using risk

  15. Portable reconfigurable line sensor (PRLS) and technology transfer

    International Nuclear Information System (INIS)

    MacKenzie, D.P.; Buckle, T.H.; Blattman, D.A.

    1993-01-01

    The Portable Reconfigurable Line Sensor (PRLS) is a bistatic, pulsed-Doppler, microwave intrusion detection system developed at Sandia National Laboratories for the US Air Force. The PRLS is rapidly and easily deployed, and can detect intruders ranging from a slow creeping intruder to a high speed vehicle. The system has a sharply defined detection zone and will not falsely alarm on nearby traffic. Unlike most microwave sensors, the PRLS requires no alignment or calibration. Its portability, battery operation, ease of setup, and RF alarm reporting capability make it an excellent choice for perimeter, portal, and gap-filler applications in the important new field of rapidly-deployable sensor systems. In October 1992, the US Air Force and Racon, Inc., entered into a Cooperative Research and Development Agreement (CRADA) to commercialize the PRLS, jointly sharing government and industry resources. The Air Force brings the user's perspective and requirements to the cooperative effort. Sandia, serving as the technical arm of the Air Force, adds the actual PRLS technology to the joint effort, and provides security systems and radar development expertise. Racon puts the Air Force requirements and Sandia technology together into a commercial product, making the system meet important commercial manufacturing constraints. The result is a true ''win-win'' situation, with reduced government investment during the commercial development of the PRLS, and industry access to technology not otherwise available

  16. Remote visual inspection of nuclear fuel pellets with fiber optics and video image processing

    International Nuclear Information System (INIS)

    Moore, F.W.

    1987-01-01

    Westinghouse Hanford Company has designed and constructed a nuclear fuel fabrication process line for the U.S. Department of Energy. This process line includes a system that remotely inspects the cylindrical surface of nuclear fuel pellets for surface spots, flaws, or discoloration. The pellets are inspected on a 100% basis after pellet sintering. A feeder delivers the pellets directly to a fiber optic inspection head, which views one pellet surface at a time and images it to a closed-circuit color television camera (CCTV). The output signal of the CCTV is input to a digital imaging processor that stores approximately 25 pellet images at a time. A human operator visually examines the images of the pellet surfaces on a high resolution monitor and accepts or rejects the pellets based on visual standards. The operator uses a digitizing tablet to record the location of rejected pellets, which are then automatically removed from the product stream. The system is expandable to automated disposition of the pellet surface image

  17. Robotic fabrication and inspection for power plants

    International Nuclear Information System (INIS)

    Date, Ranjit

    2002-01-01

    The usage of Robotic Automation is now an integral part of the modern manufacturing systems. Applications in nuclear power plants is no exception. As a matter of fact, as a result of the hazards of radiations for the human workers makes automation of the on-site working highly desirable. This presentation will focus on the broad benefits by use of automation in Power plants. Various processes and technologies for robotic applications in fabrication, maintenance and inspection will be highlighted. The specific technology features for use in nuclear environments will be highlighted

  18. Development of a remote inspection robot for high pressure structures

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae C.; Kim, Jae H.; Choi, Yu R.; Moon, Soon S

    1999-10-01

    The high pressure structures in industrial plants must be periodically inspected for ensure their safety. Currently, the examination of them is manually performed by human inspectors, and there are many restrictions to examine the large containers which enclose dangerous chemicals or radioactive materials. We developed a remotely operated robot to examine these structures using recent mobile robot and computer technologies. Our robot has two magnetic caterpillars that make the robot can adhere to the structures made of steel like materials. The robot moves to the position for examination, and scans that position using ultrasonic probes equipped on it's arm, and transmits the result to the inspector according to his/her commands. Without building any auxiliary structures the robot can inspect the places where manual inspection can't reach. Therefore the robot can make shortening the inspection time as well as preventing the inspector from an accident. (author)

  19. Development of a remote inspection robot for high pressure structures

    International Nuclear Information System (INIS)

    Lee, Jae C.; Kim, Jae H.; Choi, Yu R.; Moon, Soon S.

    1999-10-01

    The high pressure structures in industrial plants must be periodically inspected for ensure their safety. Currently, the examination of them is manually performed by human inspectors, and there are many restrictions to examine the large containers which enclose dangerous chemicals or radioactive materials. We developed a remotely operated robot to examine these structures using recent mobile robot and computer technologies. Our robot has two magnetic caterpillars that make the robot can adhere to the structures made of steel like materials. The robot moves to the position for examination, and scans that position using ultrasonic probes equipped on it's arm, and transmits the result to the inspector according to his/her commands. Without building any auxiliary structures the robot can inspect the places where manual inspection can't reach. Therefore the robot can make shortening the inspection time as well as preventing the inspector from an accident. (author)

  20. Experiences concerning reactor pressure vessel head penetration inspections; Erfahrungen mit Pruefungen von Reaktordruckbehaelter-Deckeldurchfuehrungen

    Energy Technology Data Exchange (ETDEWEB)

    Debnar, Angelika [Westinghouse Electric Germany GmbH, Mannheim (Germany)

    2009-07-01

    Globally observed damage at the control rod drive mechanism nozzles in PWR-type reactors (Bugey-3, Oconee 1,2,3 and ANO-1, David Besse) have triggered enhanced inspection of reactor pressure vessel (RPV) head penetrations. In Germany the regulations require a periodic inspection especially of dissimilar welds. Westinghouse has developed an automated measuring system for RPV heads aimed to inspect welded joints at open nozzles of nozzles with thermosleeves. The testing technology with remote controlled robotics is supposed to perform a weld inspection as complete as possible, restraints result from constructive difficulties for the accessibility. The new gap-scanner DE2008 was qualified at the mock-up and was implemented into the periodic in-service inspection of Neckarwestheim-1.

  1. GRI testing facility available for pipeline inspection devices

    International Nuclear Information System (INIS)

    Anon.

    1990-01-01

    As part of a program to help improve detection and characterization of defects that may occur in pipelines, the Gas Research Institute has announced the completion of the first phase of a testing facility for the evaluation of new and existing pipeline inspection technologies. GRI is a private, not-for-profit membership organization based in Chicago. The first phase of the facility consists of a pull rig which includes four 300-foot lengths of pipe with diameters of 12, 24, 30, and 36 inches. NDE inspection devices can be pulled through these pipe segments by a winch at speeds up to 25 miles per hour

  2. A compliant mechanism for inspecting extremely confined spaces

    Science.gov (United States)

    Mascareñas, David; Moreu, Fernando; Cantu, Precious; Shields, Daniel; Wadden, Jack; El Hadedy, Mohamed; Farrar, Charles

    2017-11-01

    We present a novel, compliant mechanism that provides the capability to navigate extremely confined spaces for the purpose of infrastructure inspection. Extremely confined spaces are commonly encountered during infrastructure inspection. Examples of such spaces can include pipes, conduits, and ventilation ducts. Often these infrastructure features go uninspected simply because there is no viable way to access their interior. In addition, it is not uncommon for extremely confined spaces to possess a maze-like architecture that must be selectively navigated in order to properly perform an inspection. Efforts by the imaging sensor community have resulted in the development of imaging sensors on the millimeter length scale. Due to their compact size, they are able to inspect many extremely confined spaces of interest, however, the means to deliver these sensors to the proper location to obtain the desired images are lacking. To address this problem, we draw inspiration from the field of endoscopic surgery. Specifically we consider the work that has already been done to create long flexible needles that are capable of being steered through the human body. These devices are typically referred to as ‘steerable needles.’ Steerable needle technology is not directly applicable to the problem of navigating maze-like arrangements of extremely confined spaces, but it does provide guidance on how this problem should be approached. Specifically, the super-elastic nitinol tubing material that allows steerable needles to operate is also appropriate for the problem of navigating maze-like arrangements of extremely confined spaces. Furthermore, the portion of the mechanism that enters the extremely confined space is completely mechanical in nature. The mechanical nature of the device is an advantage when the extremely confined space features environmental hazards such as radiation that could degrade an electromechanically operated mechanism. Here, we present a compliant mechanism

  3. Drum inspection robots: Application development

    International Nuclear Information System (INIS)

    Hazen, F.B.; Warner, R.D.

    1996-01-01

    Throughout the Department of Energy (DOE), drums containing mixed and low level stored waste are inspected, as mandated by the Resource Conservation and Recovery Act (RCRA) and other regulations. The inspections are intended to prevent leaks by finding corrosion long before the drums are breached. The DOE Office of Science and Technology (OST) has sponsored efforts towards the development of robotic drum inspectors. This emerging application for mobile and remote sensing has broad applicability for DOE and commercial waste storage areas. Three full scale robot prototypes have been under development, and another project has prototyped a novel technique to analyze robotically collected drum images. In general, the robots consist of a mobile, self-navigating base vehicle, outfitted with sensor packages so that rust and other corrosion cues can be automatically identified. They promise the potential to lower radiation dose and operator effort required, while improving diligence, consistency, and documentation

  4. Enhancement of submarine pressure hull steel ultrasonic inspection using imaging and artificial intelligence

    Science.gov (United States)

    Hay, D. Robert; Brassard, Michel; Matthews, James R.; Garneau, Stephane; Morchat, Richard

    1995-06-01

    The convergence of a number of contemporary technologies with increasing demands for improvements in inspection capabilities in maritime applications has created new opportunities for ultrasonic inspection. An automated ultrasonic inspection and data collection system APHIUS (automated pressure hull intelligent ultrasonic system), incorporates hardware and software developments to meet specific requirements for the maritime vessels, in particular, submarines in the Canadian Navy. Housed within a hardened portable computer chassis, instrumentation for digital ultrasonic data acquisition and transducer position measurement provide new capabilities that meet more demanding requirements for inspection of the aging submarine fleet. Digital data acquisition enables a number of new important capabilites including archiving of the complete inspection session, interpretation assistance through imaging, and automated interpretation using artificial intelligence methods. With this new reliable inspection system, in conjunction with a complementary study of the significance of real defect type and location, comprehensive new criteria can be generated which will eliminate unnecessary defect removal. As a consequence, cost savings will be realized through shortened submarine refit schedules.

  5. Review of design principles for ITER VV remote inspection in magnetic field

    International Nuclear Information System (INIS)

    Izard, Jean-Baptiste; Perrot, Yann; Friconneau, Jean-Pierre

    2009-01-01

    Because ITER magnet system has a limited number of mechanical and thermal stress cycles, shut down number of the toroidal field is limited during lifetime of ITER. Any inspection device able to withstand the toroidal field between two plasma shots will enhance the inspection frequency capacity of ITER during operation phase. In addition to the high magnetic field the system should also cope with high temperature, ultra-high vacuum and high radiation, in order to keep the reactor availability high. Radiation, ultra-high vacuum and temperature constraints already addressed by on going R and D activities within Europe-considering the required level of radiation is to date the highest encountered in remote handling, and that facing all these constraints at once is an additional issue to overcome. Whereas, operating remote handling systems in high magnetic field is quite new field of investigation. This paper aims to be a guideline for future designers to help them choose among options the adequate solution for an ITER relevant inspection device. It provides the designer an objective view of the different effects that stem from technical choices and help them deciding whether a technology is relevant or not depending on the task's requirements. We have selected a set of technologies and products available for structural design, actuation, sensing and data transmission in order to design inspection remote handling equipment for ITER in the given constraints. These different solutions are commented with specific considerations and directions to have them fit in the specifications. Different design strategies to cope with magnetic field are then discussed, which imply either insensitive design or using the magnetic field as a potential energy source and as a positioning help. This analysis is the first result of one of the projects in the PREFIT partnership, part of the European Fusion Training Scheme.

  6. Inspection of internal tank welds using the ACFM inspection method

    International Nuclear Information System (INIS)

    Topp, D.A.; Lugg, M.C.

    2009-01-01

    The paper describes recent developments of the ACFM technique and describes several case studies where ACFM has been used to inspect the internal plate welds on large steel storage tanks in refineries. For weld inspection, conventional methods such as magnetic particle inspection or vacuum box testing are generally used. This paper presents comparisons of the results from ACFM with those from the conventional methods, from which conclusions are drawn as to the benefits this technique offers in terms of cost, time savings and inspection reliability. (author)

  7. General inspection strategy for fault diagnosis-minimizing the inspection costs

    International Nuclear Information System (INIS)

    Reinertsen, Rune; Wang Xiaozhong

    1995-01-01

    In this paper, a general inspection strategy for system fault diagnosis is presented. The procedure presented in this paper, is an improvement of methods described in papers by , and . This general strategy provides the optimal inspection procedure when the inspections require unequal effort and the minimum cut set probabilities are unequal. This feature makes the procedure described in this paper more useful for practical applications than the most recent procedure presented in the paper by Najmus-Saqib and Ishaque, which requires the minimum cut set probabilities to be equal. The inspection strategy described in this paper is based on first inspecting the basic event that will provide the maximum information gain per unit cost invested. The information gain is measured by the decrease of Shannon entropy. A detailed tutorial example is presented

  8. THE LINE FOR PRODUCTION OF DRIED APPLES, PEARS, CARROTS, PUMPKIN AND CHIPS

    Directory of Open Access Journals (Sweden)

    G. V. Kalashnikov

    2015-01-01

    Full Text Available The line is intended for processing of fruit and vegetable raw materials and receiving dried apples, pears, carrots, pumpkins and the fruit-and-vegetable of chips. The line solves problems of improvement of quality of a ready-made product and thermal production efficiency due to more rational alternation of the technological modes of a moisture increment and dehumidification with high extent of use of an energy potential of the heat carrier, use of the inert heat carrier (steam identical by the form for technological thermal processes, decrease in specific energy consumption and metal consumption, and also an intensification of moisture evaporation and creation of the compact multipurpose technological line for production of fruit and vegetable products with the expanded range. The technological production line of dried apples, pears, carrots, pumpkin and fruit and vegetable chips contains the jet washer, the inspection conveyor, the size grader, the car for removal of a seed nest and the device are sharp fruits and vegetables on plates, the sulfiter, the dryer and the packing automatic packing machine. Thus the line contains the combined toroidal device for heatmoisture of handling continuous action divided into sections: section of heating of raw materials, section of convective drying, section of preliminary hydration, which is located between microwave drying sections, and the section of cooling of the dried-up product intended for bringing a product to final readiness. The equipment complex from the drum car with the washing block and multipurpose installation with crushing of raw materials and office of sunflower seeds taking into account raw materials type is provided in lines. Are used recirculation a contour, the heating of the initial raw material fulfilled after drying of pairs and a condensate in the closed contour for creation energy-saving of the "know-how" of a ready product. The line represents modular blocks and is recustomized

  9. RPII Inspection and Licensing Activities and Annual Inspection Programme for 2012

    International Nuclear Information System (INIS)

    2012-04-01

    The purpose of this document is to promote transparency in the activities of the RPII. It explains aspects of the internal workings of the Regulation and Information Management Division of the Institute to aid understanding of the processes and decisions of that Division which may impact on licensees and other interested parties. The objective of the report is to provide an overview of inspection activities of the RPII, to examine the evolution in licensee numbers and to outline the rationale in developing annual inspection programmes. All inspection activities are now carried out within the framework of a quality management system including; inspection planning, the training of inspectors, the conduct of inspections, as well as post inspection follow up and review. This report also provides an overview of the main features of the quality system

  10. Results from a new 193nm die-to-database reticle inspection platform

    Science.gov (United States)

    Broadbent, William H.; Alles, David S.; Giusti, Michael T.; Kvamme, Damon F.; Shi, Rui-fang; Sousa, Weston L.; Walsh, Robert; Xiong, Yalin

    2010-05-01

    A new 193nm wavelength high resolution reticle defect inspection platform has been developed for both die-to-database and die-to-die inspection modes. In its initial configuration, this innovative platform has been designed to meet the reticle qualification requirements of the IC industry for the 22nm logic and 3xhp memory generations (and shrinks) with planned extensions to the next generation. The 22nm/3xhp IC generation includes advanced 193nm optical lithography using conventional RET, advanced computational lithography, and double patterning. Further, EUV pilot line lithography is beginning. This advanced 193nm inspection platform has world-class performance and the capability to meet these diverse needs in optical and EUV lithography. The architecture of the new 193nm inspection platform is described. Die-to-database inspection results are shown on a variety of reticles from industry sources; these reticles include standard programmed defect test reticles, as well as advanced optical and EUV product and product-like reticles. Results show high sensitivity and low false and nuisance detections on complex optical reticle designs and small feature size EUV reticles. A direct comparison with the existing industry standard 257nm wavelength inspection system shows measurable sensitivity improvement for small feature sizes

  11. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  12. FY 2000 research cooperation project on plastic processing technology/quality inspection technology; 2000 nendo kenkyu kyoryoku jigyo. Plastic kako gijutsu hinshitsukensa gijutsu ni kansuru kenkyu kyoryoku

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    For the purpose of improving the production technology of plastic products in Saudi Arabia, the joint development was made of the formation technology/quality inspection technology of agricultural use and food packaging use polyolefin film optimum to environmental conditions of the site, in the light of the needs there, and the FY 2000 results were reported. In the field survey/joint study, for the xenon type weather resistant testing machine and the extruder of the inflation film forming machine which were transported from Japan, the following were carried out: confirmation of the situation of accepting them on the site, functional test of computer of the extruder, installation of the machine testing weather resistance, and the trial operation. In the domestic support study, the extrusion test at laboratory was conducted using the polyethylene resin produced on the site to acquire the basic data for formation stability. Further, the film formation test was made using the equipment with the same specifications as those of the equipment introduced to the site to study the performance of screw extrusion and the formation stability of film. Also conducted were the analytical test/quality evaluation of resin materials/film. (NEDO)

  13. Conceptual design of EAST flexible in-vessel inspection system

    International Nuclear Information System (INIS)

    Peng, X.B.; Song, Y.T.; Li, C.C.; Lei, M.Z.; Li, G.

    2010-01-01

    Remote handling technology, especially the flexible in-vessel inspection system (FIVIS) without breaking the working condition of the vacuum vessel, has been identified as one major challenge on the maintenance for the future tokamak fusion reactor. The FIVIS introduced here is specially developed for EAST superconducting tokamak that has actively cooled plasma facing components (PFCs). It aims flexible close-up inspection of EAST PFCs to help the understanding of operation issues that could occur in the vacuum vessel. This paper resumes the preliminary work of the FIVIS project, including the requirement analysis and the development of the conceptual design. The FIVIS consists out of a long reach multi-articulated manipulator and a process tool. The manipulator has a modular design for its subsystems and can reach all areas of the first wall in the distance of 15 mm and in the range of ±90 o along toroidal direction. It will be folded and hidden in the designated horizontal port during plasma discharge period.

  14. Randomization of inspections

    International Nuclear Information System (INIS)

    Markin, J.T.

    1989-01-01

    As the numbers and complexity of nuclear facilities increase, limitations on resources for international safeguards may restrict attainment of safeguards goals. One option for improving the efficiency of limited resources is to expand the current inspection regime to include random allocation of the amount and frequency of inspection effort to material strata or to facilities. This paper identifies the changes in safeguards policy, administrative procedures, and operational procedures that would be necessary to accommodate randomized inspections and identifies those situations where randomization can improve inspection efficiency and those situations where the current nonrandom inspections should be maintained. 9 refs., 1 tab

  15. Analysis of risk of nonconformities and applied quality inspection methods in the process of aluminium profiles coating based on FMEA results

    OpenAIRE

    Krzysztof Knop

    2017-01-01

    The article presents the results of risk analysis associated with nonconformities of aluminium profiles in the process of coating and quality inspection methods used to their detection. Analysis of risk was done based on results of FMEA method. Evaluated quality inspection methods were distinguished based on the term of inspection in the ISO 9000:2005 norm. Manufacturing process of aluminium profile in micro-technological approach was presented. Triple quantification of nonconformities risk b...

  16. Manufacturing inspection of electrical steels using Magnetic Barkhausen Noise: residual stress detection

    Energy Technology Data Exchange (ETDEWEB)

    Samimi, A.A., E-mail: 9aa8@queensu.ca [Queen' s Univ., Applied Magnetics Group, Kingston, Ontario (Canada); Krause, T.W. [Royal Military College of Canada, NDE Lab., Kingston, Ontario (Canada); Clapham, L. [Queen' s Univ., Applied Magnetics Group, Kingston, Ontario (Canada); Gallaugher, M.; Ding, Y.; Chromik, R. [McGill Univ., Dept. of Mining and Materials Engineering, Montreal, Quebec (Canada)

    2016-09-15

    Non-oriented Electrical Steel (NOES) is the magnetic core lamination material used for flux transfer in rotary machines. The presence of residual stress associated with material processing may be detrimental to magnetic domain structure refinement and as a result, magnetic performance of NOES. Therefore, manufacturing inspection of NOES that identifies the presence of residual stress could contribute to the production of more energy efficient cores. However, standard materials evaluation is limited to destructive and off-line techniques. The present work employed Magnetic Barkhausen Noise (MBN) for nondestructive identification of local residual stress associated with stages in material processing. Analysis of MBN from single strips of NOES demonstrated clear response to applied tensile stress, mechanical shearing, the presence of an insulating coating and punching. The results establish the potential of MBN as a nondestructive testing technology for quality control of electrical steels at various stages of manufacture. (author)

  17. Nuclear Technology. Course 28: Welding Inspection. Module 28-6, Process Controls.

    Science.gov (United States)

    Espy, John

    This sixth in a series of ten modules for a course titled Welding Inspection describes procedures review, process monitoring, and weld defect analysis. The module follows a typical format that includes the following sections: (1) introduction, (2) module prerequisites, (3) objectives, (4) notes to instructor/student, (5) subject matter, (6)…

  18. The development of in-process inventory walk-through examination system in the process at borrowing inspection between LEU fuel fabrication plants

    International Nuclear Information System (INIS)

    Nakamura, Norihito; Namekawa, Masaru; Owada, Isao; Kikuchi, Masaru; Kodani, Yoshiki; Nozawa, Yukio

    2005-01-01

    Since the Nuclear Material Control Center (NMCC) was designed the safeguards inspection organization by Ministry of Education, Culture, Sports, Science and Technology (MEXT) in December 1999, the NMCC has been performing safeguards inspection for the Nuclear Facilities in Japan. The NMCC has carried out the safeguards inspections to LEU Fuel Fabrication Plants (FFPs) and the NMCC has improved the method of safeguards inspection as it has changed over to the integrated safeguards from the year of 2005. Concerning the Borrowing inspection between LEU FFPs, which is the precondition to change over to the integrated safeguards, it is needed to estimate the entire inventory in the facility within the limited time. Therefore, the NMCC has developed the system called IWES (In-process inventory Walk-through Examination System) to examine the inventory in process smoothly, quickly and correctly at borrowing inspection, check the entire inventory quantity and evaluate them. This report describes how IWES aiming at effective/efficient confirmation of in-process inventory has been developed and how it is applied to the borrowing inspection activities. (author)

  19. The application of three-dimensional reconstruction technology in industrial computed tomography

    International Nuclear Information System (INIS)

    Zhang Aidong; Sun Lingxia; Zhou Ying; Ye Yunchang

    2009-01-01

    It's an important research aspect in domestic ICT field, that the 3-D visualization of continuous ICT images reconstructed by 3-D reconstruction technology. The contour lines are joint by triangles in the course of 3-D reconstructions of the continuous equidistant ICT images. After the stereo images of the scanned objects are displayed, some special functions including inspections of the objects from different angles and orientations, nondestructive measurement of some 3-D parameters and so on will be carried out just by operating the computer. The inspectors can get more detailed structural information by the reconstructed images. So in this way the convenience and veracity of the non-detection have been promoted. (authors)

  20. A study on low-cost, high-accuracy, and real-time stereo vision algorithms for UAV power line inspection

    Science.gov (United States)

    Wang, Hongyu; Zhang, Baomin; Zhao, Xun; Li, Cong; Lu, Cunyue

    2018-04-01

    Conventional stereo vision algorithms suffer from high levels of hardware resource utilization due to algorithm complexity, or poor levels of accuracy caused by inadequacies in the matching algorithm. To address these issues, we have proposed a stereo range-finding technique that produces an excellent balance between cost, matching accuracy and real-time performance, for power line inspection using UAV. This was achieved through the introduction of a special image preprocessing algorithm and a weighted local stereo matching algorithm, as well as the design of a corresponding hardware architecture. Stereo vision systems based on this technique have a lower level of resource usage and also a higher level of matching accuracy following hardware acceleration. To validate the effectiveness of our technique, a stereo vision system based on our improved algorithms were implemented using the Spartan 6 FPGA. In comparative experiments, it was shown that the system using the improved algorithms outperformed the system based on the unimproved algorithms, in terms of resource utilization and matching accuracy. In particular, Block RAM usage was reduced by 19%, and the improved system was also able to output range-finding data in real time.

  1. In-service inspection and periodic testing

    International Nuclear Information System (INIS)

    Eisele, H.; Meyer, F.A.; Zipser, R.R.

    1980-01-01

    In-service inspections are performed to verify the operational safety, and maintenance work is performed to guarantee the availability. In the present paper, the typical in-service inspections of a light-water reactor NPP (operated on a pressurized-water reactor/PWR/ or on a boiling-water reactor/BWR/) are described with details and examples of typical inspections, especially of recurrent performance tests of the systems. (orig./RW)

  2. A replacement LH2 recirculation line before installation in Discovery

    Science.gov (United States)

    1999-01-01

    A spare four-inch diameter LH2 recirculation line (shown in photo) will be used to replace a damaged LH2 line in the orbiter Discovery. The line recirculates hydrogen from the Shuttle main engines back to the external tank during prelaunch engine conditioning. Workers noted a dent in the line during routine aft compartment inspections Tuesday, Dec. 7. The dent measures 12 inches long and about =-inch deep. Managers expect the replacement work to take about 3 days, followed by system retests and final aft compartment close-outs. Preliminary assessments reflect a launch date of Space Shuttle Discovery on mission STS-103 no earlier than Dec. 16. STS-103 is the third servicing mission for the Hubble Space Telescope.

  3. Health products inspection

    International Nuclear Information System (INIS)

    Stoltz, M.

    2009-01-01

    To protect public health, the Health Products Inspection is a public service mission where the application of regulations concerning activities on human health products and cosmetic products is verified. This mission permits a global approach to assess the health products risk-based benefit and, in monitoring by laboratory testing and by on site inspections, to verify their compliance with appropriate regulations. The seventy five inspectors perform about eight hundred inspections per year, in France and abroad. These inspections are related to data provided in the health products assessment and also to manufacturing and delivery practices. The French inspection body is also involved in the training of foreign inspectors and in the harmonization of national, European and international practices either for operators than for the competent authorities. (author)

  4. In-service inspection as an aid to steel pressure vessel reliability

    International Nuclear Information System (INIS)

    Nichols, R.W.

    1975-01-01

    In-service inspection has played an important role in non-nuclear pressure vessel technology, being a legal requirement in many countries. Evidence from surveys of reliability of non-nuclear plant has suggested that such inspections can be effective in reducing the risk of subsequent failures. Recent requirements of the ASME XI code which will be summarised have important implications on the techniques to be used for in-service inspection, and so on design and fabrication aspects. Moreover, in-service inspection can only be an effective procedure if its possible weaknesses are recognised. The first problem is to ensure that an ultrasonic technique is used which is capable of detecting defects of an order of magnitude smaller than the critical size for each particular situation, in whatever defect orientation is important. The potential of different ultrasonic techniques will be compared. Next it is necessary to ensure coverage of all the relevant material. In this respect machine operation is superior to manual scanning, so that manipulation and scanning devices have to be developed. Problems of local geometry and of deviations in geometry have to be discussed with designer and fabricator; plate and clad quality have to be controlled (with respect to surface contour, metallurgical condition and freedom from interfering defects) to ensure inspectability in depth. The reliability of the mechanical and electronic equipment has to be assessed and designed to meet high requirements. Some presentational aids to detection and interpretation will be discussed. Having located a potential defect, the application of fracture mechanics treatments requires knowledge of size, shape and orientation. Some of the problems will be discussed together with possible solutions. (author)

  5. Tax Evasion Dynamics in Romania Reflected by Fiscal Inspection Activities

    Directory of Open Access Journals (Sweden)

    CORINA-MARIA ENE

    2010-06-01

    Full Text Available The paper aims to provide a panoramic view of the dynamics of tax evasion in Romania, reflected in terms of fiscal inspection activities. The author used the official data published by the institutions with attributions on the line of identification and fighting against tax evasion (National Agency of Fiscal Administration and Financial Guard with the view to reflect the real situation concerning the number of inspections, quantify and sanction tax evasion for 2003-2008 periods. Although the number of fiscal inspections and the number of tax payers who have violated the rules of fiscal discipline decreased compared with 2003, the frequency of tax evasion remained. At the same time, based on the data referring to the level and dynamics of the tax dodger phenomenon appreciations have been made regarding the fiscal discipline of the Romanian tax payer and to the attitude of the qualified institutions in discovering and sanctioning the fraudulent tax evasion. In this respect, the author observed that the level of willingness of tax legislation in relation to the Romanian tax payer has not changed considerably.The level of identified tax evasion reported to real GDP increased slightly. This situation can be interpreted as a success of institutions in charge of identification and fighting of tax evasion, a result of the increase of fiscal inspection number and detection probability, but also a result of GDP growth at a rate lower than the identified tax evasion. The author has also tried to find a causality relation between the option for tax evasion and corruption. The author found that a corrupt environment facilitates the decision to evade depending on detection probability, penalty system and bribery level as discouraging factors for tax evasion. The level of identified tax evasion is smaller than the real level of entire tax evasion, an important part being impossible to determine because of corruption.

  6. In-service inspection and periodic testing

    International Nuclear Information System (INIS)

    Eisele, H.; Meyer, F.A.; Zipser, R.R.

    1981-01-01

    In-service inspections are performed to verify the operational safety, and maintenance work is performed to guarantee the availability. Below, the typical in-service inspections of a light-water reactor NPP (operated on a pressurized-water reactor/PWR/ or on a boiling-water reactor/BWR/) are described with details and examples of typical inspections, especially of recurrent performance tests of the systems. (orig./RW)

  7. Regulatory inspection of the egyptian gamma irradiator using a proposed inspection checklist

    International Nuclear Information System (INIS)

    Abdel-Ghani, A.H.

    2000-01-01

    Regulatory inspections are one of the most important elements of a regulatory programme. It shall be performed in all areas of the regulatory responsibility and during all phases of siting, design, construction, commissioning, operation, maintenance and decommissioning of an irradiator facility. The egyptian mega gamma irradiator operating since december 1979 has a Co-60 source of about 400 kilo curies and is used mainly for sterilization of medical supplies and pharmaceuticals and for preservation of some food stuffs. The constructor (Nordion international Inc.) recommended an extensive inspection programme. In accordance with the international and national (2.3) regulations as well as the licensing conditions, the competent authority (NCNSRC) shall perform regulatory inspections both announced and unannounced. In order to facilitate the inspection task and to harmonize the inspection reports a checklist for inspection is proposed which can be used also as an inspection report form

  8. FPGA based image processing for optical surface inspection with real time constraints

    Science.gov (United States)

    Hasani, Ylber; Bodenstorfer, Ernst; Brodersen, Jörg; Mayer, Konrad J.

    2015-02-01

    Today, high-quality printing products like banknotes, stamps, or vouchers, are automatically checked by optical surface inspection systems. In a typical optical surface inspection system, several digital cameras acquire the printing products with fine resolution from different viewing angles and at multiple wavelengths of the visible and also near infrared spectrum of light. The cameras deliver data streams with a huge amount of image data that have to be processed by an image processing system in real time. Due to the printing industry's demand for higher throughput together with the necessity to check finer details of the print and its security features, the data rates to be processed tend to explode. In this contribution, a solution is proposed, where the image processing load is distributed between FPGAs and digital signal processors (DSPs) in such a way that the strengths of both technologies can be exploited. The focus lies upon the implementation of image processing algorithms in an FPGA and its advantages. In the presented application, FPGAbased image-preprocessing enables real-time implementation of an optical color surface inspection system with a spatial resolution of 100 μm and for object speeds over 10 m/s. For the implementation of image processing algorithms in the FPGA, pipeline parallelism with clock frequencies up to 150 MHz together with spatial parallelism based on multiple instantiations of modules for parallel processing of multiple data streams are exploited for the processing of image data of two cameras and three color channels. Due to their flexibility and their fast response times, it is shown that FPGAs are ideally suited for realizing a configurable all-digital PLL for the processing of camera line-trigger signals with frequencies about 100 kHz, using pure synchronous digital circuit design.

  9. Rapid Inspection of Aerospace Structures - Is It Autonomous Yet?

    Science.gov (United States)

    Bar-Cohen, Yoseph; Backes, Paul; Joffe, Benjamin

    1996-01-01

    The trend to increase the usage of aging aircraft added a great deal of urgency to the ongoing need for low-cost, rapid, simple-to-operate, reliable and efficient NDE methods for detection and characterization of flaws in aircraft structures. In many cases, the problem of inspection is complex due to the limitation of current technology and the need to disassemble aircraft structures and testing them in lab conditions. To overcome these limitations, reliable field inspection tools are being developed for rapid NDE of large and complex-shape structures, that can operate at harsh, hostal and remote conditions with minimum human interface. In recent years, to address the need for rapid inspection in field conditions, numerous portable scanners were developed using NDE methods, including ultrasonics, shearography, thermography. This paper is written with emphasis on ultrasonic NDE scanners, their evolution and the expected direction of growth.

  10. An intelligent inspection and survey robot

    International Nuclear Information System (INIS)

    Byrd, J.; Holland, J.M.

    1995-01-01

    ARIES (Autonomous Robotic Inspection Experimental System) is a semi-autonomous robotic system intended for use in the automatic inspection of stored containers of low level nuclear waste. The project is being performed by a team under the SCUREF (South Carolina University Research and Education Foundation) comprised of the University of South Carolina, and Clemson University, and their industrial partner Cybermotion Inc., with funding from METC, Morgantown, WV. The ARIES program is unusual in the level of cooperation between the universities and Cybermotion. By maintaining daily communications via telephone and E-Mall, participating in frequent meetings with each other and the end users, and by developing an open flow of (sometimes sensitive) technical information, the team has been able to build on a very broad base of intellectual strengths and existing technology without wasteful duplication. This base includes all of the navigation and control software and hardware developed by Cybermotion over nearly a decade and the deep technology resources of the university partners. It is anticipated that the result will be a technically advanced system that is much closer to a deployable configuration than is typical for this stage of research. In this decade of shrinking budgets, such relationships can provide a crucial advantage for all participants

  11. Non-periodic inspection optimization of multi-component and k-out-of-m systems

    International Nuclear Information System (INIS)

    Hajipour, Yassin; Taghipour, Sharareh

    2016-01-01

    This paper proposes a model to find the optimal non-periodic inspection interval over a finite planning horizon for two types of multi-component repairable systems. The first system contains hard-type and soft-type components, and the second system is a k-out-of-m system with m identical components. The failures of components in both systems follow a non-homogeneous Poisson process. A component can be a single part such as battery or line cord, or a subsystem, such as circuit breaker or charger in an infusion pump, which depending on their failures could be either replaced or minimally repaired according to their ages at failure. The systems are inspected at scheduled inspections or when an event of opportunistic inspection or a system failure occur. We develop a model to find the optimal inspection scheme for each system, which results in the minimum total expected cost over the system's lifecycle. We first develop a simulation model to obtain the total expected cost for a given non-periodic inspection scheme, and then integrate the simulation model with a genetic algorithm to obtain the optimal scheme more efficiently. - Highlights: • Non-periodic inspection optimization of two complex systems. • One system consists of soft-type and hard-type components. • The second system is a k-out-of-m system. • Integration of a simulation model and the genetic algorithm. • The model can be used when inspection is challenging or costly.

  12. Inspection of licensee activities in emergency planning

    International Nuclear Information System (INIS)

    Van Binnebeek, J.J.; Gutierrez Ruiz, Luis Miguel; Bouvrie, E. des; Aro, Ilari; Gil, J.; Balloffet, Yves; Forsberg, Staffan; Klonk, H.; Lang, Hans-Guenter; Fichtinger, G.; Warren, T.; Manzella, P.; Gallo, R.; Koizumi, Hiroyoshi; Johnson, M.; Pittermann, P.

    1998-01-01

    The CNRA believes that safety inspections are a major element in the regulatory authority's efforts to ensure the safe operation of nuclear facilities. Considering the importance of these issues, the Committee has established a special Working Group on Inspection Practices (WGIP). The purpose of WGIP, is to facilitate the exchange of information and experience related to regulatory safety inspections between CNRA Member countries Following discussions at several meetings on the topic of what is expected by the regulatory body regarding inspection criteria, WGIP proposed putting together a compilation of Member countries practices on regulatory inspection practices with respect to licensee emergency planning. CNRA approved this task and this report. Information was collected from a questionnaire which was issued in 1996. The report presents information on regulatory inspection activities with respect to emergency planning in NEA Member countries. The focus of the report is on the third section. It reviews the similarities and differences in inspection practices to evaluate compliance with the requirements over which the regulatory body (RB) has jurisdiction

  13. A locomotive inspection robot for turbine building interior inspection in nuclear power plants

    International Nuclear Information System (INIS)

    Obama, M.; Ozaki, F.; Asano, K.

    1985-01-01

    A locomotive inspection robot, named Turbine Building Inspection System (TBIS), has been developed for turbine building interior inspections in nuclear power plants. This robot is made up of a vehicle, a telescopic support, turning head and a multijoint arm which has dual TV cameras and a diagnostic rod on its tip. The multijoint arm has 17 degrees of freedom and its length is 243 cm. Minimum and maximum heights for the multijoint arm shoulder are 1.5 meter and 4 meters respectively. The total degree of freedom in the combination of the multijoint arm, turning head and telescopic support is 19 and the area, it is capable of inspecting, is equal to the cylindrical dome whose height and diameter are 6.4 meters and 4.8 meters respectively. The design philosophy, hardware structure and operation method of the TBIS are described. 2 refs.; 10 figs

  14. Inspection of additive manufactured parts using laser ultrasonics

    Science.gov (United States)

    Lévesque, D.; Bescond, C.; Lord, M.; Cao, X.; Wanjara, P.; Monchalin, J.-P.

    2016-02-01

    Additive manufacturing is a novel technology of high importance for global sustainability of resources. As additive manufacturing involves typically layer-by-layer fusion of the feedstock (wire or powder), an important characteristic of the fabricated metallic structural parts, such as those used in aero-engines, is the performance, which is highly related to the presence of defects, such as cracks, lack of fusion or bonding between layers, and porosity. For this purpose, laser ultrasonics is very attractive due to its non-contact nature and is especially suited for the analysis of parts of complex geometries. In addition, the technique is well adapted to online implementation and real-time measurement during the manufacturing process. The inspection can be performed from either the top deposited layer or the underside of the substrate and the defects can be visualized using laser ultrasonics combined with the synthetic aperture focusing technique (SAFT). In this work, a variety of results obtained off-line on INCONEL® 718 and Ti-6Al-4V coupons that were manufactured using laser powder, laser wire, or electron beam wire deposition are reported and most defects detected were further confirmed by X-ray micro-computed tomography.

  15. Simulation Design for Off-Line Training of Practical Lean Manufacturing Concepts for Visual Inspection

    Science.gov (United States)

    Tetteh, Edem; McWilliams, Douglas

    2010-01-01

    Customer needs for high-quality goods and the risk of product-liability litigation against businesses have made companies look for a way to sustain quality assurance in their products and services. Lean manufacturing is the latest and most successful system being used by companies to turn their business around. Visual inspection plays an important…

  16. Optical fiber inspection system

    Science.gov (United States)

    Moore, Francis W.

    1987-01-01

    A remote optical inspection system including an inspection head. The inspection head has a passageway through which pellets or other objects are passed. A window is provided along the passageway through which light is beamed against the objects being inspected. A plurality of lens assemblies are arranged about the window so that reflected light can be gathered and transferred to a plurality of coherent optical fiber light guides. The light guides transfer the light images to a television or other image transducer which converts the optical images into a representative electronic signal. The electronic signal can then be displayed on a signal viewer such as a television monitor for inspection by a person. A staging means can be used to support the objects for viewing through the window. Routing means can be used to direct inspected objects into appropriate exit passages for accepted or rejected objects. The inspected objects are advantageously fed in a singular manner to the staging means and routing means. The inspection system is advantageously used in an enclosure when toxic or hazardous materials are being inspected.

  17. Risk-Informed Decisions Optimization in Inspection and Maintenance

    International Nuclear Information System (INIS)

    Robertas Alzbutas

    2002-01-01

    The Risk-Informed Approach (RIA) used to support decisions related to inspection and maintenance program is considered. The use of risk-informed methods can help focus the adequate in-service inspections and control on the more important locations of complex dynamic systems. The focus is set on the highest risk measured as conditional core damage frequency, which is produced by the frequencies of degradation and final failure at different locations combined with the conditional failure consequence probability. The probabilities of different degradation states per year and consequences are estimated quantitatively. The investigation of inspection and maintenance process is presented as the combination of deterministic and probabilistic analysis based on general risk-informed model, which includes the inspection and maintenance program features. Such RIA allows an optimization of inspection program while maintaining probabilistic and fundamental deterministic safety requirements. The failure statistics analysis is used as well as the evaluation of reliability of inspections. The assumptions regarding the effectiveness of the inspection methods are based on a classification of the accessibility of the welds during the inspection and on the different techniques used for inspection. The probability of defect detection is assumed to depend on the parameters either through logarithmic or logit transformation. As example the modeling of the pipe systems inspection process is analyzed. The means to reduce a number of inspection sites and the cumulative radiation exposure to the NPP inspection personnel with a reduction of overall risk is presented together with used and developed software. The developed software can perform and administrate all the risk evaluations and ensure the possibilities to compare different options and perform sensitivity analysis. The approaches to define an acceptable level of risk are discussed. These approaches with appropriate software in

  18. Robotic inspection of fiber reinforced composites using phased array UT

    Science.gov (United States)

    Stetson, Jeffrey T.; De Odorico, Walter

    2014-02-01

    Ultrasound is the current NDE method of choice to inspect large fiber reinforced airframe structures. Over the last 15 years Cartesian based scanning machines using conventional ultrasound techniques have been employed by all airframe OEMs and their top tier suppliers to perform these inspections. Technical advances in both computing power and commercially available, multi-axis robots now facilitate a new generation of scanning machines. These machines use multiple end effector tools taking full advantage of phased array ultrasound technologies yielding substantial improvements in inspection quality and productivity. This paper outlines the general architecture for these new robotic scanning systems as well as details the variety of ultrasonic techniques available for use with them including advances such as wide area phased array scanning and sound field adaptation for non-flat, non-parallel surfaces.

  19. Elaboration and installation of technology of on-line diagnostics of important equipment damage as a procedure of NPP lifetime management

    International Nuclear Information System (INIS)

    Bakirov, M.; Povarov, V.

    2012-01-01

    In contrast to conventional approaches used for diagnostics (i.e. when inspection results are used as data for numerical calculative strength analysis) the specific feature of the new proposed approach consists in a fact that the approach is based on application of the 'inverse problem' principle. As regards to implementation of the proposed new approach, first of all a detailed numerical calculative finite-element model of the monitored equipment must be developed. Results of preliminary calculations allow to make reasonable selection of definite installation places and types of control sensors intended for more effective and precise work of the calculative model. As a rule, the control sensors are high-temperature strain gauges, temperature probes, pressure, acceleration and displacement sensors, as well as acoustic-emission and ultrasonic sensors used for monitoring of actual defectiveness kinetics in the zone of potential damaging. All the sensors work in the on-line mode during several years of operation, the optimal frequency of data records is selected, all recorded data after prompt processing are transferred to the finite-element calculative modulus for strength calculations of a monitored zone. Software for strength calculation must be based on an individual calculative code, since it should also work in the on-line mode. Comprehensible strength analysis in conjunction with obtained results of defectiveness kinetics monitoring allow not only to foresee the most unfavorable scenario resulting to damaging, but also to have a possibility for prompt analysis and elaboration of compensating measures allowing to reduce operational loadings. In the report the results of development and practical application of the new approach at NPPs and corresponding technology are presented. (author)

  20. Final Report, Next-Generation Mega-Voltage Cargo-Imaging System for Cargo Conainer Inspection, March 2007

    Energy Technology Data Exchange (ETDEWEB)

    Dr. James Clayton, Ph.D., Varian Medical Systems-Security & Inspection Products; Dr. Emma Regentova, Ph.D, University of Nevada Las Vegas; Dr. Evangelos Yfantis, Ph.D., University of Nevada, Las Vegas

    2007-03-27

    The UNLV Research Foundation, as the primary award recipient, teamed with Varian Medical Systems-Security & Inspection Products and the University of Nevada Las Vegas (UNLV) for the purpose of conducting research and engineering related to a "next-generation" mega-voltage imaging (MVCI) system for inspection of cargo in large containers. The procurement and build-out of hardware for the MVCI project has been completed. The K-9 linear accelerator and an optimized X-ray detection system capable of efficiently detecting X-rays emitted from the accelerator after they have passed through the device is under test. The Office of Science financial assistance award has made possible the development of a system utilizing a technology which will have a profound positive impact on the security of U.S. seaports. The proposed project will ultimately result in critical research and development advances for the "next-generation" Linatron X-ray accelerator technology, thereby providing a safe, reliable and efficient fixed and mobile cargo inspection system, which will very significantly increase the fraction of cargo containers undergoing reliable inspection as the enter U.S. ports. Both NNSA/NA-22 and the Department of Homeland Security's Domestic Nuclear Detection Office are collaborating with UNLV and its team to make this technology available as soon as possible.

  1. Final Report, Next-Generation Mega-Voltage Cargo-Imaging System for Cargo Container Inspection, March 2007

    International Nuclear Information System (INIS)

    Dr. James Clayton, Ph.D., Varian Medical Systems-Security and Inspection Products; Dr. Emma Regentova, Ph.D, University of Nevada Las Vegas; Dr. Evangelos Yfantis, Ph.D., University of Nevada, Las Vegas

    2007-01-01

    The UNLV Research Foundation, as the primary award recipient, teamed with Varian Medical Systems-Security and Inspection Products and the University of Nevada Las Vegas (UNLV) for the purpose of conducting research and engineering related to a ''next-generation'' mega-voltage imaging (MVCI) system for inspection of cargo in large containers. The procurement and build-out of hardware for the MVCI project has been completed. The K-9 linear accelerator and an optimized X-ray detection system capable of efficiently detecting X-rays emitted from the accelerator after they have passed through the device is under test. The Office of Science financial assistance award has made possible the development of a system utilizing a technology which will have a profound positive impact on the security of U.S. seaports. The proposed project will ultimately result in critical research and development advances for the ''next-generation'' Linatron X-ray accelerator technology, thereby providing a safe, reliable and efficient fixed and mobile cargo inspection system, which will very significantly increase the fraction of cargo containers undergoing reliable inspection as the enter U.S. ports. Both NNSA/NA-22 and the Department of Homeland Security's Domestic Nuclear Detection Office are collaborating with UNLV and its team to make this technology available as soon as possible

  2. Investigation with automatic ultrasonic equipment to trace flaws in a large test piece, and experience gained in carrying out inspections

    International Nuclear Information System (INIS)

    Lindner, J.P.

    1975-01-01

    Based on the FRG codes providing guide lines for the Reactor Safety Commission regarding the size and location of flaws to be detected during in-service inspections, investigations were carried out into the possibility of detecting defects in thick-walled reactor pressure vessel components with the aid of ultrasonic inspection systems. A large test rig was used and, in a similar manner to the in-service inspections on a reactor, the tests were carried out with remote-controlled, automatically guided inspection equipment. For this purpose, a test specimen weighing about 10 tons was produced and provided with two weld seams having a large number of artificial defects. Essential parameters for the various reflectors in the test specimen were the size, location, angle and roughness or structure of the reflecting surfaces. As it is known that austenitic cladding has a considerable influence on flaw detection, the tests were undertaken first without cladding and then with cladding. A manipulator was designed for automatic remote-controlled inspection with which the inspection system travels on a meandering route over the area to be inspected. The inspection system employed was of the same type as the one used for baseline tests during external inspections of reactor vessel walls with parallel surfaces. Digital data collection was by a magnetic tape recorder designed to store both the data of the ultrasonic inspection system as well as the allied position data. The data stored on the tape are evaluated with electronic data processing programmes especially developed for this purpose. These programmes allow locally coherent indication patterns to be prepared, thus simplifying the interpretation of the data obtained. The author initially describes the equipment with the aid of which the studies were undertaken. A detailed discussion is then presented on the design of the test specimen and the inspection systems employed. Following this, the results obtained are explained and

  3. X-ray inspection of foreign bodies in foods

    International Nuclear Information System (INIS)

    Ohira, Norihiro; Hongjun, Zhou; Sakamaki, Kazumi; Kamimura, Kunio; Shimizu, Hideaki; Saiki, Hideo

    2008-01-01

    X-ray inspection system of foreign bodies in foods has become is necessary for our society in recent years, with the expectation that they could inspect not only metals but also other bodies like glass, rubber, nylon, etc. Calculation algorithms for X-ray inspection of foreign bodies in foods have been reported. In food inspection systems, it is important that inspection is high precision, with high speed that is as fast as conveyor belts. Using Statistical methods, we construct a higher precision method than usual methods. We focused on Kittler's minimum error thresholding. In the usual Kittler's method, order n-squared calculations are required for distributed calculation, so it is not suitable for high speed food inspection. We find that using approximations of variances in Kittler's method is not too critical for practical use. Using it, we be come able to inspect for foreign bodies that were not able to be inspected for so far. (author)

  4. Stereo imaging and random array stratified imaging for cargo radiation inspecting

    International Nuclear Information System (INIS)

    Wang Jingjin; Zeng Yu

    2003-01-01

    This paper presents a Stereo Imaging and Random Array Stratified Imaging for cargo container radiation Inspecting. By using dual-line vertical detector array scan, a stereo image of inspected cargo can be obtained and watched with virtual reality view. The random detector array has only one-row of detectors but distributed in a certain horizontal dimension randomly. To scan a cargo container with this random array detector, a 'defocused' image is obtained. By using 'anti-random focusing', one layer of the image can be focused on the background of all defocused images from other layers. A stratified X-ray image of overlapped bike wheels is presented

  5. Inspection of training activities by the NRC's Office of Inspection and Enforcement

    International Nuclear Information System (INIS)

    Ruhlman, W.A.

    1975-01-01

    New requirements in the Code of Federal Regulations, the issuance of new Regulatory Guides and ANSI Standards, have indicated the increased emphasis being placed on training by forces in the Nuclear Industry and the Nuclear Regulatory Commission. A brief description is presented of the functions of the Office of Inspection and Enforcement in the training areas. Three areas are examined: general inspection techniques; training inspection scope; and training inspection bases

  6. Preventive maintenance technology for nuclear power stations

    International Nuclear Information System (INIS)

    Miyazawa, Tatsuo

    1992-01-01

    With the increase of the number of nuclear power plants in operation and the number of years of operation, the improvement of reliability and the continuation of safe operation have become more important, and the expectation for preventive maintenance technology has also heightened. The maintenance of Japanese nuclear power plants is based on the time schedule maintenance mainly by the regular inspection carried out every year, but the monitoring of the conditions of various machinery and equipment in operation has been performed widely. In this report, the present state of checkup and inspection technologies and the monitoring and diagnostic technologies for operational condition, which are the key technologies of preventive maintenance, are described. As the checkup and inspection technologies, ultrasonic flow detection technology, phased array technology, Amplituden und Laufzeit Orts Kurven method and X-ray CT, and as the monitoring and diagnostic technologies for operational condition, the diagnosis support system for BWR plants 'PLADIS', those for rotary machines, those for turbogenerators, those for solenoid valves, the mechanization of patrol works and the systematizing technology are reported. (K.I.)

  7. ITER articulated inspection arm (AIA): R and d progress on vacuum and temperature technology for remote handling

    International Nuclear Information System (INIS)

    Perrot, Y.; Cordier, J.J.; Friconneau, J.P.; Gargiulo, L.; Martin, E.; Palmer, J.D.; Tesini, A.

    2005-01-01

    This paper is part of the remote handling (RH) activities for the future fusion reactor ITER. The aim of the R and D program performed under the European Fusion Development Agreement (EFDA) work program is to demonstrate the feasibility of close inspection tasks such as viewing or leak testing of the Divertor cassettes and the Vacuum Vessel (VV) first wall of ITER. It is assumed that a long reach, limited payload carrier penetrates the ITER chamber through the openings evenly distributed around the machine such as In-Vessel Viewing System (IVVS) access or through upper port plugs. To perform an intervention a short time after plasma shut down, the operation of the robot should be realised under ITER conditioning i.e. under high vacuum and temperature conditions (120 o C). The feasibility analysis drove the design of the so-called articulated inspection arm (AIA) which is a 8.2 m long robot made of five modules with a 11 actuated joints kinematics. A single module prototype was designed in detail and manufactured to be tested under ITER realistic conditions at CEA-Cadarache test facility. As well as demonstrating the potential for the application of an AIA type device in ITER, this program is also dedicated to explore the necessary robotic technologies required to ITER's IVVS deployment system. This paper presents the whole AIA robot concept, the first results of the test campaign on the prototype vacuum and temperature demonstrator module

  8. ITER articulated inspection arm (AIA): R and d progress on vacuum and temperature technology for remote handling

    Energy Technology Data Exchange (ETDEWEB)

    Perrot, Y. [Robotics and Interactive Systems Unit-CEA/LIST, BP6 F-92265 Fontenay aux Roses Cedex (France)]. E-mail: yann.perrot@cea.fr; Cordier, J.J. [DRFC-CEA Cadarache, 13108 Saint Paul Lez Durance Cedex (France); Friconneau, J.P. [Robotics and Interactive Systems Unit-CEA/LIST, BP6 F-92265 Fontenay aux Roses Cedex (France); Gargiulo, L. [DRFC-CEA Cadarache, 13108 Saint Paul Lez Durance Cedex (France); Martin, E. [ITER International Team, Boltzmannstrasse 2, 85748 Garching (Germany); Palmer, J.D. [EFDA CSU Garching, Boltzmannstrasse 2, 85748 Garching (Germany); Tesini, A. [ITER International Team, ITER Naka Joint Work Site, 801-1, Muouyama, Naka-machi, Naka-gun, Iberaki-ken 311-0193 (Japan)

    2005-11-15

    This paper is part of the remote handling (RH) activities for the future fusion reactor ITER. The aim of the R and D program performed under the European Fusion Development Agreement (EFDA) work program is to demonstrate the feasibility of close inspection tasks such as viewing or leak testing of the Divertor cassettes and the Vacuum Vessel (VV) first wall of ITER. It is assumed that a long reach, limited payload carrier penetrates the ITER chamber through the openings evenly distributed around the machine such as In-Vessel Viewing System (IVVS) access or through upper port plugs. To perform an intervention a short time after plasma shut down, the operation of the robot should be realised under ITER conditioning i.e. under high vacuum and temperature conditions (120 {sup o}C). The feasibility analysis drove the design of the so-called articulated inspection arm (AIA) which is a 8.2 m long robot made of five modules with a 11 actuated joints kinematics. A single module prototype was designed in detail and manufactured to be tested under ITER realistic conditions at CEA-Cadarache test facility. As well as demonstrating the potential for the application of an AIA type device in ITER, this program is also dedicated to explore the necessary robotic technologies required to ITER's IVVS deployment system. This paper presents the whole AIA robot concept, the first results of the test campaign on the prototype vacuum and temperature demonstrator module.

  9. A study on the crack inspection signal characteristics for power plant components by phased array UT

    International Nuclear Information System (INIS)

    Cho, Yong Sang; Lim, Sang Gyu; Kil, Du Song

    2001-01-01

    Phased array ultrasonic testing system has become available for practical application in complicated geometry such as turbine blade root, tenon, disc in power industry. This research describes the characteristics of phased array UT signal for various type of blade roots in thermal Power Plant turbines. This application of Phased array ultrasonic testing system has been promoted mainly to save inspection time and labor cost of turbine inspection. The characteristic of phase array UT signal for power plant component is very simple to understand but to difficult for perform the inspection. Since our sophisticated inspection technique and systems are essential for the inspection of steam turbine blade roots that require high reliability, we intend to develop new technology and improve phased array technique based on the wide and much experience for the inspection of turbine components.

  10. In-service inspection guidelines for composite aerospace structures

    International Nuclear Information System (INIS)

    Heida, Jaap H.; Platenkamp, Derk J.

    2012-01-01

    The in-service inspection of composite aerospace structures is reviewed, using the results of a evaluation of promising, mobile non-destructive inspection (NDI) methods. The evaluation made use of carbon fibre reinforced specimens representative for primary composite aerospace structures, including relevant damage types such as impact damage, delaminations and disbonds. A range of NDI methods were evaluated such as visual inspection, vibration analysis, phased array ultrasonic inspection, shearography and thermography inspection. Important aspects of the evaluation were the capability for defect detection and characterization, portability of equipment, field of view, couplant requirements, speed of inspection, level of training required and the cost of equipment. The paper reviews the damage tolerance design approach for composites, and concludes with guidelines for the in-service inspection of composite aerospace structures.

  11. Towards operations on Tore Supra of an ITER relevant inspection robot and associated processes

    International Nuclear Information System (INIS)

    Laurent Gargiulo, L.; Cordier, J.-J.; Samaille, F.; Grisolia, Ch.; Perrot, Y.; Olivier, D.; Friconneau, J.-P.; Palmer, J.

    2006-01-01

    The aim of the project is to demonstrate on Tore Supra the reliability of a multi-purpose in-vessel Remote Handling inspection system using a long reach, limited payload carrier. This project called AIA (Articulated Inspection Arm) is currently being developed at CEA under a European EFDA work program. The paper describes the detailed design, the manufacturing processes and the results of the first module test campaign in the CEA Tore Supra ME60 facility, at representative vacuum, temperature and nominal loading conditions. The second part of this work that is reported in the paper, concerns the description of the whole integration of the device on the Tore Supra tokamak that is foreseen to be operated on Tore Supra early 2007. The deployer system and the 10 m long storage vacuum vessel are presented. The robot prototype is fully representative of the deployment carrier system that could be required on ITER. The demonstration on Tore Supra will help in the understanding of operation issues that could occur in the tokamak vacuum vessel equipped of actively cooled components. The viewing process that is currently under development is presented in the paper. It will allow close inspection of the Tore Supra Plasma Facing Components that are representative of the ITER divertor targets in terms of confined environment and identification of possible tiles failure of CFC carbon tiles. Such viewing process could be used on ITER during the early stage of operation under a limited radiation level. The AIA technology is also showing promising potential for generic application in alternative systems for ITER. The feasibility study for viewing inspection of the beam line components in the neutral beam test facility is presented. One of the other potential inspection processes that is foreseen to be tested using the AIA carrier in Tore Supra is the laser ablation system of the CFC armour. It could be fully relevant for the ITER wall detritiation issues. Such process can be

  12. Fuel inspection device

    International Nuclear Information System (INIS)

    Tsuji, Tadashi.

    1990-01-01

    The fuel inspection device of the present invention has a feature of obtaining an optimum illumination upon fuel rod interval inspection operation in a fuel pool. That is, an illumination main body used underwater is connected to a cable which is led out on a floor. A light control device is attached to the other end of the cable and an electric power cable is connected to the light control device. A light source (for example, incandescent lamp) is incorporated in the casing of the illumination main body, and a diffusion plate is disposed at the front to provide a plane light source. The light control device has a light control knob capable of remote-controlling the brightness of the light of the illumination main body. In the fuel inspection device thus constituted, halation is scarcely caused on the image screen upon inspection of fuels by a submerged type television camera to facilitate control upon inspection. Accordingly, efficiency of the fuel inspection can be improved to shorten the operation time. (I.S.)

  13. OSE inspection of protection program operations field perspective of inspections

    International Nuclear Information System (INIS)

    Brown, R.W.; Martin, H.R.

    1987-01-01

    Protection Program Operations includes three functional areas: Physical Protection Systems, Protective Forces, and System Performance Testing. The Office of Security Evaluations (OSE) inspects field offices in these areas by evaluating programs relative to Standards and Criteria and by performing a variety of exercises and other types of tests to assure protective systems are effective and maintained at a proper level to meet the defined threat. Their perception of the OSE inspections has been positive. The approach taken by ID, with key areas/activities emphasized, during each phase of the field inspection process is described in this report. The most important areas for field offices to concentrate are: inspection preparations through self-evaluation, improving communications, assigning knowledgeable trusted agents, increasing awareness of facility procedures and operations, and assuring daily validations of inspected areas. Emphasis is placed on striving for a balance in reporting both positive and negative findings, and for consistency between ratings and the importance of report findings. OSE efforts to develop improved rating methodologies are encouraged

  14. Inspection qualification as a tool to risk based ET ISI of VVER type SG tubes

    International Nuclear Information System (INIS)

    Horacek, L.

    2002-01-01

    A Pilot study on Eddy current inspection qualification of VVER 440 steam generator tubes, discussed in this paper, followed the ENIQ methodology principles and covered briefly the assumed scope of ET qualification, relevant elaborated qualification documents, known ISI limitations and a review of input information on component and defects determined for Eddy current inspection qualification of VVER 440 steam generator tubes. The information includes the fabrication of the test blocks with SG tube segments provided by intended defect simulations of realistic SCC type and basic data on the realistic SCC type defects manufacturing technology. Lessons learned from the development of manufacturing technology of SSC type of defects, regional blind tests, elaboration of the preliminary technical justification for Eddy current automated inspections, potential optimisation of inspection procedures, laboratory and practical open trials are summarised in the paper. The results of the Pilot study also especially in relation to POD curve being determined seem to be useful for practical operational ISI programme and Risk informed ISI decisions and the establishment of plugging criteria of VVER 440 and VVER 1000 type steam generator tubes. (orig.)

  15. Development of a risk-based in-service inspection program for an LMR

    International Nuclear Information System (INIS)

    King, R.W.; Buschman, H.W.

    1996-01-01

    The emerging application of risk-based assessment technology to the operation and maintenance of nuclear power plants holds considerable promise for improving efficiency and reducing operating costs. Experimental Breeder Reactor II (EBR-II) is a liquid-metal-cooled fast reactor (LMR) that operated for 30 yr before shutting down in September 1994 due to program termination. Prior to the shutdown of EBR-II, an in-service inspection (ISI) program was developed that exploited certain advantages of the LMR design. For example, it demonstrated passive response to plant upset events, low-pressure primary coolant, and compatibility of the coolant and reactor materials. This ISI program was based on work currently being done by an American Society of Mechanical Engineers (ASME) Research Task Force on Risk-Based Inspection

  16. Probability of Detection Study to Assess the Performance of Nondestructive Inspection Methods for Wind Turbine Blades.

    Energy Technology Data Exchange (ETDEWEB)

    Roach, Dennis P. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Rice, Thomas M. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States); Paquette, Joshua [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States)

    2017-07-01

    Wind turbine blades pose a unique set of inspection challenges that span from very thick and attentive spar cap structures to porous bond lines, varying core material and a multitude of manufacturing defects of interest. The need for viable, accurate nondestructive inspection (NDI) technology becomes more important as the cost per blade, and lost revenue from downtime, grows. NDI methods must not only be able to contend with the challenges associated with inspecting extremely thick composite laminates and subsurface bond lines, but must also address new inspection requirements stemming from the growing understanding of blade structural aging phenomena. Under its Blade Reliability Collaborative program, Sandia Labs quantitatively assessed the performance of a wide range of NDI methods that are candidates for wind blade inspections. Custom wind turbine blade test specimens, containing engineered defects, were used to determine critical aspects of NDI performance including sensitivity, accuracy, repeatability, speed of inspection coverage, and ease of equipment deployment. The detection of fabrication defects helps enhance plant reliability and increase blade life while improved inspection of operating blades can result in efficient blade maintenance, facilitate repairs before critical damage levels are reached and minimize turbine downtime. The Sandia Wind Blade Flaw Detection Experiment was completed to evaluate different NDI methods that have demonstrated promise for interrogating wind blades for manufacturing flaws or in-service damage. These tests provided the Probability of Detection information needed to generate industry-wide performance curves that quantify: 1) how well current inspection techniques are able to reliably find flaws in wind turbine blades (industry baseline) and 2) the degree of improvements possible through integrating more advanced NDI techniques and procedures. _____________ S a n d i a N a t i o n a l L a b o r a t o r i e s i s a m u l t i

  17. Automated visual inspection for polished stone manufacture

    Science.gov (United States)

    Smith, Melvyn L.; Smith, Lyndon N.

    2003-05-01

    Increased globalisation of the ornamental stone market has lead to increased competition and more rigorous product quality requirements. As such, there are strong motivators to introduce new, more effective, inspection technologies that will help enable stone processors to reduce costs, improve quality and improve productivity. Natural stone surfaces may contain a mixture of complex two-dimensional (2D) patterns and three-dimensional (3D) features. The challenge in terms of automated inspection is to develop systems able to reliably identify 3D topographic defects, either naturally occurring or resulting from polishing, in the presence of concomitant complex 2D stochastic colour patterns. The resulting real-time analysis of the defects may be used in adaptive process control, in order to avoid the wasteful production of defective product. An innovative approach, using structured light and based upon an adaptation of the photometric stereo method, has been pioneered and developed at UWE to isolate and characterize mixed 2D and 3D surface features. The method is able to undertake tasks considered beyond the capabilities of existing surface inspection techniques. The approach has been successfully applied to real stone samples, and a selection of experimental results is presented.

  18. INSPECT: a package of computer programs for planning safeguards inspections

    International Nuclear Information System (INIS)

    Wincek, M.A.; Mullen, M.F.

    1979-04-01

    As part of the U.S. program to provide technical assistance to the International Atomic Energy Agency, a package of computer programs was developed for use in planning safeguards inspections of various types of nuclear facilities. The INSPECT software package is a set of five interactive FORTRAN programs which can be used to calculate the variance components of the MUF (Material Unaccounted For) statistic, the variance components of the D (difference) statistic, attribute and variables sampling plans, a measure of the effectiveness of the inspection, and a measurement of the cost of implementing the inspection plan. This report describes the programs and explains how to use them

  19. Scarab III Remote Vehicle Deployment for Waste Retrieval and Tank Inspection

    International Nuclear Information System (INIS)

    Burks, B.L.; Falter, D.D.; Noakes, M.; Vesco, D.

    1999-01-01

    The Robotics Technology Development Program now known as the Robotics Crosscut Program, funded the development and deployment of a small remotely operated vehicle for inspection and cleanout of small horizontal waste storage tanks that have limited access. Besides the advantage of access through tank risers as small as 18-in. diameter, the small robotic system is also significantly less expensive to procure and to operate than larger remotely operated vehicle (ROV) systems. The vehicle specified to support this activity was the ROV Technologies, Inc., Scarab. The Scarab is a tracked vehicle with an independently actuated front and rear ''toe'' degree-of-freedom which allows the stand-off and angle of the vehicle platform with respect to the floor to be changed. The Scarab is a flexible remote tool that can be used for a variety of tasks with its primary uses targeted for inspection and small scale waste retrieval. The vehicle and any necessary process equipment are mounted in a deployment and containment enclosure to simplify deployment and movement of the system from tank to tank. This paper outlines the technical issues related to the Scarab vehicle and its deployment for use in tank inspection and waste retrieval operation

  20. Critical parameters affecting the design of high frequency transmission lines in standard CMOS technology

    KAUST Repository

    Al Attar, Talal; Alshehri, Abdullah; Almansouri, Abdullah Saud Mohammed; Al-Turki, Abdullah Turki

    2017-01-01

    Different structures of transmission lines were designed and fabricated in standard CMOS technology to estimate some critical parameters including the RMS value of the surface roughness and the loss tangent. The input impedances for frequencies up to 50 GHz were modeled and compared with measurements. The results demonstrated a strong correlation between the used model with the proposed coefficients and the measured results, attesting the robustness of the model and the reliability of the incorporated coefficients values.