WorldWideScience

Sample records for implanted tio2 thin

  1. Thin TiO2 films deposited by implantation and sputtering in RF inductively coupled plasmas

    International Nuclear Information System (INIS)

    Valencia-Alvarado, R; López-Callejas, R; Barocio, S R; Mercado-Cabrera, A; Peña-Eguiluz, R; Muñoz-Castro, A E; Rodríguez-Méndez, B G; De la Piedad-Beneitez, A; De la Rosa-Vázquez, J M

    2012-01-01

    The achievement of titanium dioxide (TiO 2 ) thin films in the rutile crystalline phase is reported. The samples result from the implantation of oxygen ions of Ti in argon/oxygen plasma generated by inductively coupled RF at a commercial 13.56 MHz frequency. Simultaneously, a sputtering process is conducted on the titanium target in order to produce TiO 2 thin films in the anatase phase over silicon and glass substrates. Both implantation and sputtering processes shared the same 500 W plasma with the target, polarized between 0 and -3 kV. The substrates were placed between 2 and 3 cm from the target, this distance being found to be determinant of the TiO 2 deposition rate. The rutile phase in the target was obtained at temperatures in the order of 680 degrees C and the anatase (unbiased) one at about 300 degrees C without any auxiliary heating. The crystalline phases were characterized by x ray diffraction and Raman spectroscopy. The morphology and average roughness were established by means of scanning electronic and atomic force microscopy, whereas the reaction products generated during the oxidation process were analyzed by mass spectrometry. Finally, the stoichiometric composition was measured by means of X-ray photoelectron spectroscopy.

  2. Atomic layer deposited TiO2 for implantable brain-chip interfacing devices

    International Nuclear Information System (INIS)

    Cianci, E.; Lattanzio, S.; Seguini, G.; Vassanelli, S.; Fanciulli, M.

    2012-01-01

    In this paper we investigated atomic layer deposition (ALD) TiO 2 thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 °C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al 2 O 3 buffer layer between TiO 2 and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  3. Study of TiO2 nanotubes as an implant application

    International Nuclear Information System (INIS)

    Hazan, Roshasnorlyza; Sreekantan, Srimala; Mydin, Rabiatul Basria S. M. N.; Mat, Ishak; Abdullah, Yusof

    2016-01-01

    Vertically aligned TiO 2 nanotubes have become the primary candidates for implant materials that can provide direct control of cell behaviors. In this work, 65 nm inner diameters of TiO 2 nanotubes were successfully prepared by anodization method. The interaction of bone marrow stromal cells (BMSC) in term of cell adhesion and cell morphology on bare titanium and TiO 2 nanotubes is reported. Field emission scanning electron microscopy (FESEM) analysis proved interaction of BMSC on TiO 2 nanotubes structure was better than flat titanium (Ti) surface. Also, significant cell adhesion on TiO 2 nanotubes surface during in vitro study revealed that BMSC prone to attach on TiO 2 nanotubes. From the result, it can be conclude that TiO 2 nanotubes are biocompatible to biological environment and become a new generation for advanced implant materials

  4. Photocatalytic properties of porous TiO2/Ag thin films

    International Nuclear Information System (INIS)

    Chang, C.-C.; Chen, J.-Y.; Hsu, T.-L.; Lin, C.-K.; Chan, C.-C.

    2008-01-01

    In this study, nanocrystalline TiO 2 /Ag composite thin films were prepared by a sol-gel spin-coating technique. By introducing polystyrene (PS) spheres into the precursor solution, porous TiO 2 /Ag thin films were prepared after calcination at a temperature of 500 deg. C for 4 h. Three different sizes (50, 200, and 400 nm) of PS spheres were used to prepare porous TiO 2 films. The as-prepared TiO 2 and TiO 2 /Ag thin films were characterized by X-ray diffractometry (XRD) and by scanning electron microscopy to reveal structural and morphological differences. In addition, the photocatalytic properties of these films were investigated by degrading methylene blue under UV irradiation. When PS spheres of different sizes were introduced after calcination, the as-prepared TiO 2 films exhibited different porous structures. XRD results showed that all TiO 2 /Ag films exhibited a major anatase phase. The photodegradation of porous TiO 2 thin films prepared with 200 nm PS spheres and doped with 1 mol% Ag exhibited the best photocatalytic efficiency where ∼ 100% methylene blue was decomposed within 8 h under UV exposure

  5. Nanometer-thin TiO2 enhances skeletal muscle cell phenotype and behavior

    Directory of Open Access Journals (Sweden)

    Ishizaki K

    2011-10-01

    Full Text Available Ken Ishizaki*, Yoshihiko Sugita*, Fuminori Iwasa, Hajime Minamikawa, Takeshi Ueno, Masahiro Yamada, Takeo Suzuki, Takahiro OgawaLaboratory for Bone and Implant Sciences, The Jane and Jerry Weintraub Center for Reconstructive Biotechnology, Division of Advanced Prosthodontics, Biomaterials and Hospital Dentistry, UCLA School of Dentistry, Los Angeles, CA, USA*Authors contributed equally to this workBackground: The independent role of the surface chemistry of titanium in determining its biological properties is yet to be determined. Although titanium implants are often in contact with muscle tissue, the interaction of muscle cells with titanium is largely unknown. This study tested the hypotheses that the surface chemistry of clinically established microroughened titanium surfaces could be controllably varied by coating with a minimally thin layer of TiO2 (ideally pico-to-nanometer in thickness without altering the existing topographical and roughness features, and that the change in superficial chemistry of titanium is effective in improving the biological properties of titanium.Methods and results: Acid-etched microroughened titanium surfaces were coated with TiO2 using slow-rate sputter deposition of molten TiO2 nanoparticles. A TiO2 coating of 300 pm to 6.3 nm increased the surface oxygen on the titanium substrates in a controllable manner, but did not alter the existing microscale architecture and roughness of the substrates. Cells derived from rat skeletal muscles showed increased attachment, spread, adhesion strength, proliferation, gene expression, and collagen production at the initial and early stage of culture on 6.3 nm thick TiO2-coated microroughened titanium surfaces compared with uncoated titanium surfaces.Conclusion: Using an exemplary slow-rate sputter deposition technique of molten TiO2 nanoparticles, this study demonstrated that titanium substrates, even with microscale roughness, can be sufficiently chemically modified to

  6. Electrochemical Behavior of TiO2 Nanoparticle Doped WO3 Thin Films

    Directory of Open Access Journals (Sweden)

    Suvarna R. Bathe

    2014-01-01

    Full Text Available Nanoparticle TiO2 doped WO3 thin films by pulsed spray pyrolysis technique have been studied on fluorine tin doped (FTO and glass substrate. XRD shows amorphous nature for undoped and anatase phase of TiO2 having (101 plane for nanoparticle TiO2 doped WO3 thin film. SEM shows microfibrous reticulated porous network for WO3 with 600 nm fiber diameter and nanocrystalline having size 40 nm for TiO2 nanoparticle doped WO3 thin film. TiO2 nanoparticle doped WO3 thin film shows ~95% reversibility due to may be attributed to nanocrystalline nature of the film, which helpful for charge insertion and deinsertion process. The diffusion coefficient for TiO2 nanoparticle doped WO3 film is less than undoped WO3.

  7. Synthesis of nanocrystalline TiO2 thin films by liquid phase ...

    Indian Academy of Sciences (India)

    WINTEC

    goes degradation efficiently in presence of TiO2 thin films by exposing its aqueous solution to .... Figure 6. Photodegradation of IGOR organic dye by a. bare TiO2 thin film and b. ... Meng L-J and Dos Santos M P 1993 Thin Solid Films 226 22.

  8. TiO2 and Cu/TiO2 Thin Films Prepared by SPT

    Directory of Open Access Journals (Sweden)

    S. S. Roy

    2015-12-01

    Full Text Available Titanium oxide (TiO2 and copper (Cu doped titanium oxide (Cu/TiO2 thin films have been prepared by spray pyrolysis technique. Titanium chloride (TiCl4 and copper acetate (Cu(CH3COO2.H2O were used as source of Ti and Cu. The doping concentration of Cu was varied from 1-10 wt. %. The X-ray diffraction studies show that TiO2 thin films are tetragonal structure and Cu/TiO2 thin films implies CuO has present with monoclinic structure. The optical properties of the TiO2 thin films have been investigated as a function of Cu-doping level. The optical transmission of the thin films was found to increase from 88 % to 94 % with the addition of Cu up to 8 % and then decreases for higher percentage of Cu doping. The optical band gap (Eg for pure TiO2 thin film is found to be 3.40 eV. Due to Cu doping, the band gap is shifted to lower energies and then increases further with increasing the concentration of Cu. The refractive index of the TiO2 thin films is found to be 2.58 and the variation of refractive index is observed due to Cu doped. The room temperature resistivity of the films decreases with increasing Cu doping and is found to be 27.50 - 23.76 W·cm. It is evident from the present study that the Cu doping promoted the thin film morphology and thereby it is aspect for various applications.

  9. Anchorage of TiO2-blasted, HA-coated, and machined implants: an experimental study with rabbits

    DEFF Research Database (Denmark)

    Gotfredsen, K; Wennerberg, A; Johansson, C

    1995-01-01

    The purpose of this study was to evaluate the histometrical and biomechanical anchorage of TiO2-blasted implants and TiO2-blasted implants coated with hydroxyapatite. The control implants were machined. Twenty-six rabbits had a total of 156 implants placed in the proximal part of the tibia. Each...... rabbit had a machined, a TiO2-blasted, and a TiO2-blasted, HA-coated implant placed in each tibia. After a healing period of 3 and 12 weeks, respectively, the implants placed in the right tibia were used for removal torque test, and the implants placed in the left tibia were used for histomorphometrical...

  10. Preparation and characterization of nanocrystalline porous TiO2/WO3 composite thin films

    International Nuclear Information System (INIS)

    Hsu, C.-S.; Lin, C.-K.; Chan, C.-C.; Chang, C.-C.; Tsay, C.-Y.

    2006-01-01

    TiO 2 materials possessing not only photocatalytic but also electrochromic properties have attracted many research and development interests. Though WO 3 exhibits excellent electrochromic properties, the much higher cost and water-sensitivity of WO 3 as compared with the TiO 2 may restrict the practical application of WO 3 materials. In the present study, the feasibility of preparing nanocrystalline porous TiO 2 /WO 3 composite thin films was investigated. Precursors of sols TiO 2 and/or WO 3 and polystyrene microspheres were used to prepare nanocrystalline pure TiO 2 , WO 3 , and composite TiO 2 /WO 3 thin films by spin coating. The spin-coated thin films were amorphous and, after heat treating at a temperature of 500 o C, nanocrystalline TiO 2 , TiO 2 /WO 3 , and WO 3 thin films with or without pores were prepared successfully. The heat-treated thin films were colorless and coloration-bleaching phenomena can be observed during cyclic voltammetry tests. The heat-treated thin films exhibited good reversible electrochromic behavior while the porous TiO 2 /WO 3 composite film exhibited improved electrochromic properties

  11. TiO2 thin-films on polymer substrates and their photocatalytic activity

    International Nuclear Information System (INIS)

    Yang, Jae-Hun; Han, Yang-Su; Choy, Jin-Ho

    2006-01-01

    We have developed dip-coating process for TiO 2 -thin film on polymer substrates (acrylonitrile-butadiene-styrene polymer: ABS, polystyrene: PS). At first, a monodispersed and transparent TiO 2 nano-sol solution was prepared by the controlled hydrolysis of titanium iso-propoxide in the presence of acetylacetone and nitric acid catalyst at 80 deg. C. Powder X-ray diffraction patterns of the dried particles are indicative of crystalline TiO 2 with anatase-type structure. According to the XRD and transmission electron microscopy (TEM) studies, the mean particle size was estimated to be ca. 5 nm. The transparent thin films on ABS and PS substrates were fabricated by dip-coating process by changing the processing variables, such as the number of dip-coating and TiO 2 concentration in nano-sol solution. Scanning electron microscopic (SEM) analysis for the thin film samples reveals that the acetylacetone-modified TiO 2 nano-sol particles are effective for enhancing the interfacial adherence between films and polymeric substrates compared to the unmodified one. Photocatalytic degradation of methylene blue (MB) on the TiO 2 thin-films has also been systematically investigated

  12. Strategies to prepare TiO2 thin films, doped with transition metal ions, that exhibit specific physicochemical properties to support osteoblast cell adhesion and proliferation

    International Nuclear Information System (INIS)

    Dhayal, Marshal; Kapoor, Renu; Sistla, Pavana Goury; Pandey, Ravi Ranjan; Kar, Satabisha; Saini, Krishan Kumar; Pande, Gopal

    2014-01-01

    Metal ion doped titanium oxide (TiO 2 ) thin films, as bioactive coatings on metal or other implantable materials, can be used as surfaces for studying the cell biological properties of osteogenic and other cell types. Bulk crystallite phase distribution and surface carbon–oxygen constitution of thin films, play an important role in determining the biological responses of cells that come in their contact. Here we present a strategy to control the polarity of atomic interactions between the dopant metal and TiO 2 molecules and obtain surfaces with smaller crystallite phases and optimal surface carbon–oxygen composition to support the maximum proliferation and adhesion of osteoblast cells. Our results suggest that surfaces, in which atomic interactions between the dopant metals and TiO 2 were less polar, could support better adhesion, spreading and proliferation of cells. - Highlights: • Electrochemical properties of dopants control the nature of TiO 2 thin films. • A model explains the correlation of dopant properties and behaviour of TiO 2 films. • Dopants with less polar interaction with TiO 2 exhibit better biological activity

  13. Preparation of anatase TiO2 thin films by vacuum arc plasma evaporation

    International Nuclear Information System (INIS)

    Miyata, Toshihiro; Tsukada, Satoshi; Minami, Tadatsugu

    2006-01-01

    Anatase titanium dioxide (TiO 2 ) thin films with high photocatalytic activity have been prepared with deposition rates as high as 16 nm/min by a newly developed vacuum arc plasma evaporation (VAPE) method using sintered TiO 2 pellets as the source material. Highly transparent TiO 2 thin films prepared at substrate temperatures from room temperature to 400 deg. C exhibited photocatalytic activity, regardless whether oxygen (O 2 ) gas was introduced during the VAPE deposition. The highest photocatalytic activity and photo-induced hydrophilicity were obtained in anatase TiO 2 thin films prepared at 300 deg. C, which correlated to the best crystallinity of the films, as evidenced from X-ray diffraction. In addition, a transparent and conductive anatase TiO 2 thin film with a resistivity of 2.6 x 10 -1 Ω cm was prepared at a substrate temperature of 400 deg. C without the introduction of O 2 gas

  14. Optical studies of cobalt implanted rutile TiO2 (110) surfaces

    International Nuclear Information System (INIS)

    Joshi, Shalik Ram; Padmanabhan, B.; Chanda, Anupama; Mishra, Indrani; Malik, V.K.; Mishra, N.C.; Kanjilal, D.; Varma, Shikha

    2016-01-01

    Highlights: • The present study displays formation of nanostructures after Co implantation on TiO 2 surfaces. • Preferential sputtering leads to the creation of oxygen vacancies on the surface. • A large enhancement in visible light absorbance (nearly 5 times compared to pristine) is observed. • Creation of self-organized nanostructures and Ti 3+ oxygen vacancies promote photoabsorption. • Formation of Co-nanoclusters and Co–Ti–O phase play concerted role in enhancing photo-absorption. - Abstract: Present study investigates the photoabsorption properties of single crystal rutile TiO 2 (110) surfaces after they have been implanted with low fluences of cobalt ions. The surfaces, after implantation, demonstrate fabrication of nanostructures and anisotropic nano-ripple patterns. Creation of oxygen vacancies (Ti 3+ states), development of cobalt nano-clusters as well as band gap modifications have also been observed. Results presented here demonstrate that fabrication of self organized nanostructures, upon implantation, along with the development of oxygen vacancies and ligand field transitions of cobalt ion promote the enhancement of photo-absorbance in both UV (∼2 times) and visible (∼5 times) regimes. These investigations on nanostructured TiO 2 surfaces can be important for photo-catalysis.

  15. Optical Properties and Surface Morphology of Nano-composite PMMA: TiO2 Thin Films

    International Nuclear Information System (INIS)

    Lyly Nyl Ismail; Ahmad Fairoz Aziz; Habibah Zulkefle

    2011-01-01

    There are two nano-composite PMMA: TiO 2 solutions were prepared in this research. First solution is nano-composite PMMA commercially available TiO 2 nanopowder and the second solution is nano-composite PMMA with self-prepared TiO 2 powder. The self-prepared TiO 2 powder is obtained by preparing the TiO 2 sol-gel. Solvo thermal method were used to dry the TiO 2 sol-gel and obtained TiO 2 crystal. Ball millers were used to grind the TiO 2 crystal in order to obtained nano sized powder. Triton-X was used as surfactant to stabilizer the composite between PMMA: TiO 2 . Besides comparing the nano-composite solution, we also studied the effect of the thin films thickness on the optical properties and surface morphology of the thin films. The thin films were deposited by sol-gel spin coating method on glass substrates. The optical properties and surface characterization were measured with UV-VIS spectrometer equipment and atomic force microscopy (AFM). The result showed that nano-composite PMMA with self prepared TiO 2 give high optical transparency than nano-composite PMMA with commercially available TiO 2 nano powder. The results also indicate as the thickness is increased the optical transparency are decreased. Both AFM images showed that the agglomerations of TiO 2 particles are occurred on the thin films and the surface roughness is increased when the thickness is increased. High agglomeration particles exist in the AFM images for nano-composite PMMA: TiO 2 with TiO 2 nano powder compare to the other nano-composite solution. (author)

  16. Superhydrophilicity of TiO2 nano thin films

    International Nuclear Information System (INIS)

    Mohammadizadeh, M.R.; Ashkarran, A.A.

    2007-01-01

    Full text: Among the several oxide semiconductors, titanium dioxide has a more helpful role in our environmental purification due to its photocatalytic activity, photo-induced superhydrophilicity, and as a result of them non-toxicity, self cleaning, and antifogging effects. After the discovery of superhydrophilicity of titanium dioxide in 1997, several researches have been performed due to its nature and useful applications. The superhydrophilicity property of the surface allows water to spread completely across the surface rather than remains as droplets, thus making the surface antifog and easy-to-clean. The distinction of photo-induced catalytic and hydrophilicity properties of TiO 2 thin films has been accepted although, the origin of hydrophilicity property has not been recognized completely yet. TiO 2 thin films on soda lime glass were prepared by the sol-gel method and spin coating process. The calcination temperature was changed from 100 to 550 C. XRD patterns show increasing the content of polycrystalline anatase phase with increasing the calcination temperature. The AFM results indicate granular morphology of the films, which particle size changes from 22 to 166 nm by increasing the calcination temperature. The RBS, EDX and Raman spectroscopy of the films show the ratio of Ti:O∼0.5, and diffusion of sodium ions from substrate into the layer, by increasing the calcination temperature. The UV/Vis. spectroscopy of the films indicates a red shift by increasing the calcination temperature. The contact angle meter experiment shows that superhydrophilicity of the films depends on the formation of anatase crystal structure and diffused sodium content from substrate to the layer. The best hydrophilicity property was observed at 450 C calcination temperature, where the film is converted to a superhydrophilic surface after 10 minutes under 2mW/cm 2 UV irradiation. TiO 2 thin film on Si(111), Si(100), and quartz substrates needs less time to be converted to

  17. Nano structured TiO2 thin films by polymeric precursor method

    International Nuclear Information System (INIS)

    Stroppa, Daniel Grando; Giraldi, Tania Regina; Leite, Edson Roberto; Varela, Jose Arana; Longo, Elson

    2008-01-01

    This work focuses in optimizing setup for obtaining TiO 2 thin films by polymeric precursor route due to its advantages on stoichiometric and morphological control. Precursor stoichiometry, synthesis pH, solids concentration and rotation speed at deposition were optimized evaluating thin films morphology and thickness. Thermogravimetry and NMR were applied for precursor's characterization and AFM, XRD and ellipsometry for thin films evaluation. Results showed successful attainment of homogeneous nanocrystalline anatase TiO 2 thin films with outstanding control over morphological characteristics, mean grain size of 17 nm, packing densities between 57 and 75%, estimated surface areas of 90 m 2 /g and monolayers thickness within 20 and 128 nm. (author)

  18. Visible-light photocatalytic activity of nitrided TiO2 thin films

    International Nuclear Information System (INIS)

    Camps, Enrique; Escobar-Alarcon, L.; Camacho-Lopez, Marco Antonio; Casados, Dora A. Solis

    2010-01-01

    TiO 2 thin films have been applied in UV-light photocatalysis. Nevertheless visible-light photocatalytic activity would make this material more attractive for applications. In this work we present results on the modification of titanium oxide (anatase) sol-gel thin films, via a nitriding process using a microwave plasma source. After the treatment in the nitrogen plasma, the nitrogen content in the TiO 2 films varied in the range from 14 up to 28 at%. The titanium oxide films and the nitrided ones were characterized by XPS, micro-Raman spectroscopy and UV-vis spectroscopy. Photocatalytic activity tests were done using a Methylene Blue dye solution, and as catalyst TiO 2 and nitrided TiO 2 films. The irradiation of films was carried out with a lamp with emission in the visible (without UV). The results showed that the nitrided TiO 2 films had photocatalytic activity, while the unnitrided films did not.

  19. Transparent nanostructured Fe-doped TiO2 thin films prepared by ultrasonic assisted spray pyrolysis technique

    Science.gov (United States)

    Rasoulnezhad, Hossein; Hosseinzadeh, Ghader; Ghasemian, Naser; Hosseinzadeh, Reza; Homayoun Keihan, Amir

    2018-05-01

    Nanostructured TiO2 and Fe-doped TiO2 thin films with high transparency were deposited on glass substrate through ultrasonic-assisted spray pyrolysis technique and were used in the visible light photocatalytic degradation of MB dye. The resulting thin films were characterized by scanning electron microscopy (SEM), Raman spectroscopy, photoluminescence spectroscopy, x-ray diffraction (XRD), and UV-visible absorption spectroscopy techniques. Based on Raman spectroscopy results, both of the TiO2 and Fe-doped TiO2 films have anatase crystal structure, however, because of the insertion of Fe in the structure of TiO2 some point defects and oxygen vacancies are formed in the Fe-doped TiO2 thin film. Presence of Fe in the structure of TiO2 decreases the band gap energy of TiO2 and also reduces the electron–hole recombination rate. Decreasing of the electron–hole recombination rate and band gap energy result in the enhancement of the visible light photocatalytic activity of the Fe-doped TiO2 thin film.

  20. TiO 2 Thin Films Prepared via Adsorptive Self-Assembly for Self-Cleaning Applications

    KAUST Repository

    Xi, Baojuan

    2012-02-22

    Low-cost controllable solution-based processes for preparation of titanium oxide (TiO 2) thin films are highly desirable, because of many important applications of this oxide in catalytic decomposition of volatile organic compounds, advanced oxidation processes for wastewater and bactericidal treatments, self-cleaning window glass for green intelligent buildings, dye-sensitized solar cells, solid-state semiconductor metal-oxide solar cells, self-cleaning glass for photovoltaic devices, and general heterogeneous photocatalysis for fine chemicals etc. In this work, we develop a solution-based adsorptive self-assembly approach to fabricate anatase TiO 2 thin films on different glass substrates such as simple plane glass and patterned glass at variable compositions (normal soda lime glass or solar-grade borofloat glass). By tuning the number of process cycles (i.e., adsorption-then-heating) of TiO 2 colloidal suspension, we could facilely prepare large-area TiO 2 films at a desired thickness and with uniform crystallite morphology. Moreover, our as-prepared nanostructured TiO 2 thin films on glass substrates do not cause deterioration in optical transmission of glass; instead, they improve optical performance of commercial solar cells over a wide range of incident angles of light. Our as-prepared anatase TiO 2 thin films also display superhydrophilicity and excellent photocatalytic activity for self-cleaning application. For example, our investigation of photocatalytic degradation of methyl orange indicates that these thin films are indeed highly effective, in comparison to other commercial TiO 2 thin films under identical testing conditions. © 2012 American Chemical Society.

  1. Photocatalytic properties of nanocrystalline TiO2 thin film with Ag additions

    International Nuclear Information System (INIS)

    Chang, C.-C.; Lin, C.-K.; Chan, C.-C.; Hsu, C.-S.; Chen, C.-Y.

    2006-01-01

    In the present study, nanocrystalline TiO 2 /Ag composite thin films were prepared by a sol-gel spin coating technique. While, by introducing polystyrene (PS) microspheres, porous TiO 2 /Ag films were obtained after calcining at a temperature of 500 o C. The as-prepared TiO 2 and TiO 2 /Ag thin films were characterized by X-ray diffractometry, and scanning electron microscopy to reveal the structural and morphological differences. In addition, the photocatalytic properties of these films were investigated by degrading methylene blue under UV irradiation. After 500 o C calcination, the microstructure of PS-TiO 2 film without Ag addition exhibited a sponge-like microstructure while significant sintering effect was noticed with Ag additions and the films exhibited a porous microstructure. Meanwhile, coalescence of nanocrystalline anatase-phase TiO 2 can be observed with respect to the sharpening of XRD diffraction peaks. The photodegradation of porous TiO 2 doped with 1 mol% Ag exhibited the best photocatalytic efficiency where 72% methylene blue can be decomposed after UV exposure for 12 h

  2. Biocompatibility and Surface Properties of TiO2 Thin Films Deposited by DC Magnetron Sputtering

    Science.gov (United States)

    López-Huerta, Francisco; Cervantes, Blanca; González, Octavio; Hernández-Torres, Julián; García-González, Leandro; Vega, Rosario; Herrera-May, Agustín L.; Soto, Enrique

    2014-01-01

    We present the study of the biocompatibility and surface properties of titanium dioxide (TiO2) thin films deposited by direct current magnetron sputtering. These films are deposited on a quartz substrate at room temperature and annealed with different temperatures (100, 300, 500, 800 and 1100 °C). The biocompatibility of the TiO2 thin films is analyzed using primary cultures of dorsal root ganglion (DRG) of Wistar rats, whose neurons are incubated on the TiO2 thin films and on a control substrate during 18 to 24 h. These neurons are activated by electrical stimuli and its ionic currents and action potential activity recorded. Through X-ray diffraction (XRD), the surface of TiO2 thin films showed a good quality, homogeneity and roughness. The XRD results showed the anatase to rutile phase transition in TiO2 thin films at temperatures between 500 and 1100 °C. This phase had a grain size from 15 to 38 nm, which allowed a suitable structural and crystal phase stability of the TiO2 thin films for low and high temperature. The biocompatibility experiments of these films indicated that they were appropriated for culture of living neurons which displayed normal electrical behavior. PMID:28788667

  3. A chemical route to room-temperature synthesis of nanocrystalline TiO2 thin films

    International Nuclear Information System (INIS)

    Pathan, Habib M.; Kim, Woo Young; Jung, Kwang-Deog; Joo, Oh-Shim

    2005-01-01

    A lot of methods are developed for the deposition of TiO 2 thin films; however, in each of these methods as-deposited films are amorphous and need further heat treatment at high temperature. In the present article, a chemical bath deposition (CBD) method was used for the preparation of TiO 2 thin films. We investigated nanocrystalline TiO 2 thin films using CBD at room temperature onto glass and ITO coated glass substrate. The films were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), and high-resolution transmission electron microscopy (HRTEM) techniques. The chemically synthesized films were nanocrystalline and composed of crystal grains of 2-3 nm

  4. Structural and vibrational investigations of Nb-doped TiO2 thin films

    International Nuclear Information System (INIS)

    Uyanga, E.; Gibaud, A.; Daniel, P.; Sangaa, D.; Sevjidsuren, G.; Altantsog, P.; Beuvier, T.; Lee, Chih Hao; Balagurov, A.M.

    2014-01-01

    Highlights: • We studied the evolutions of structure for TiO 2 thin film as changes with Nb doping and temperatures. • Up to 800 °C, the grain size of Nb 0.1 Ti 0.9 O 2 is smaller than for pure TiO 2 because doped Nb hinders the growth of the TiO 2 grains. • There was no formation of the rutile phase at high temperature. • Nb doped TiO 2 films have high electron densities at 400–700 °C. • Nb dope extends the absorbance spectra of TiO 2 which leads to the band gap reduce. - Abstract: Acid-catalyzed sol–gel and spin-coating methods were used to prepare Nb-doped TiO 2 thin film. In this work, we studied the effect of niobium doping on the structure, surface, and absorption properties of TiO 2 by energy-dispersive X-ray spectroscopy (EDX), X-ray diffraction (XRD), X-ray reflectometry (XRR), X-ray photoelectron spectroscopy (XPS), Raman, and UV–vis absorption spectroscopy at various annealing temperatures. EDX spectra show that the Nb:Ti atomic ratios of the niobium-doped titania films are in good agreement with the nominal values (5 and 10%). XPS results suggest that charge compensation is achieved by the formation of Ti vacancies. Specific niobium phases are not observed, thus confirming that niobium is well incorporated into the titania crystal lattice. Thin films are amorphous at room temperature and the formation of anatase phase appeared at an annealing temperature close to 400 °C. The rutile phase was not observed even at 900 °C (XRD and Raman spectroscopy). Grain sizes and electron densities increased when the temperature was raised. Nb-doped films have higher electron densities and lower grain sizes due to niobium doping. Grain size inhibition can be explained by lattice stress induced by the incorporation of larger Nb 5+ ions into the lattice. The band gap energy of indirect transition of the TiO 2 thin films was calculated to be about 3.03 eV. After niobium doping, it decreased to 2.40 eV

  5. Cytotoxicity Evaluation of Anatase and Rutile TiO2 Thin Films on CHO-K1 Cells in Vitro

    Directory of Open Access Journals (Sweden)

    Blanca Cervantes

    2016-07-01

    Full Text Available Cytotoxicity of titanium dioxide (TiO2 thin films on Chinese hamster ovary (CHO-K1 cells was evaluated after 24, 48 and 72 h of culture. The TiO2 thin films were deposited using direct current magnetron sputtering. These films were post-deposition annealed at different temperatures (300, 500 and 800 °C toward the anatase to rutile phase transformation. The root-mean-square (RMS surface roughness of TiO2 films went from 2.8 to 8.08 nm when the annealing temperature was increased from 300 to 800 °C. Field emission scanning electron microscopy (FESEM results showed that the TiO2 films’ thickness values fell within the nanometer range (290–310 nm. Based on the results of the tetrazolium dye and trypan blue assays, we found that TiO2 thin films showed no cytotoxicity after the aforementioned culture times at which cell viability was greater than 98%. Independently of the annealing temperature of the TiO2 thin films, the number of CHO-K1 cells on the control substrate and on all TiO2 thin films was greater after 48 or 72 h than it was after 24 h; the highest cell survival rate was observed in TiO2 films annealed at 800 °C. These results indicate that TiO2 thin films do not affect mitochondrial function and proliferation of CHO-K1 cells, and back up the use of TiO2 thin films in biomedical science.

  6. Cytotoxicity Evaluation of Anatase and Rutile TiO2 Thin Films on CHO-K1 Cells in Vitro

    Science.gov (United States)

    Cervantes, Blanca; López-Huerta, Francisco; Vega, Rosario; Hernández-Torres, Julián; García-González, Leandro; Salceda, Emilio; Herrera-May, Agustín L.; Soto, Enrique

    2016-01-01

    Cytotoxicity of titanium dioxide (TiO2) thin films on Chinese hamster ovary (CHO-K1) cells was evaluated after 24, 48 and 72 h of culture. The TiO2 thin films were deposited using direct current magnetron sputtering. These films were post-deposition annealed at different temperatures (300, 500 and 800 °C) toward the anatase to rutile phase transformation. The root-mean-square (RMS) surface roughness of TiO2 films went from 2.8 to 8.08 nm when the annealing temperature was increased from 300 to 800 °C. Field emission scanning electron microscopy (FESEM) results showed that the TiO2 films’ thickness values fell within the nanometer range (290–310 nm). Based on the results of the tetrazolium dye and trypan blue assays, we found that TiO2 thin films showed no cytotoxicity after the aforementioned culture times at which cell viability was greater than 98%. Independently of the annealing temperature of the TiO2 thin films, the number of CHO-K1 cells on the control substrate and on all TiO2 thin films was greater after 48 or 72 h than it was after 24 h; the highest cell survival rate was observed in TiO2 films annealed at 800 °C. These results indicate that TiO2 thin films do not affect mitochondrial function and proliferation of CHO-K1 cells, and back up the use of TiO2 thin films in biomedical science. PMID:28773740

  7. Preparation of TiO2-based nanotubes/nanoparticles composite thin film electrodes for their electron transport properties

    International Nuclear Information System (INIS)

    Zhao, Wanyu; Fu, Wuyou; Chen, Jingkuo; Li, Huayang; Bala, Hari; Wang, Xiaodong; Sun, Guang; Cao, Jianliang; Zhang, Zhanying

    2015-01-01

    The composite thin film electrodes were prepared with one-dimensional (1D) TiO 2 -B nanotubes (NTs) and zero-dimensional TiO 2 nanoparticles (NPs) based on different weight ratios. The electron transport properties of the NTs/NPs composite thin film electrodes applied for dye-sensitized solar cells had been investigated systematically. The results indicated that although the amount of dye adsorption decreased slightly, the devices with the NTs/NPs composite thin film electrodes could obtain higher open-circuit voltage and overall conversion efficiency compared to devices with pure TiO 2 NPs electrodes by rational tuning the weight ratio of TiO 2 -B NTs and TiO 2 NPs. When the weight ratio of TiO 2 -B NTs in the NTs/NPs composite thin film electrodes increased, the density of states and recombination rate decreased. The 1D structure of TiO 2 -B NTs can provide direct paths for electron transport, resulting in higher electron lifetime, electron diffusion coefficient and electron diffusion length. The composite thin film electrodes possess the merits of the rapid electron transport of TiO 2 -B NTs and the high surface area of TiO 2 NPs, which has great applied potential in the field of photovoltaic devices. - Highlights: • The composite thin film electrodes (CTFEs) were prepared with nanotubes and nanoparticles. • The CTFEs possess the rapid electron transport and high surface area. • The CTFEs exhibit lower recombination rate and longer electron life time. • The CTFEs have great applied potential in the field of photovoltaic devices

  8. QCM gas sensor characterization of ALD-grown very thin TiO2 films

    Science.gov (United States)

    Boyadjiev, S.; Georgieva, V.; Vergov, L.; Szilágyi, I. M.

    2018-03-01

    The paper presents a technology for preparation and characterization of titanium dioxide (TiO2) thin films suitable for gas sensor applications. Applying atomic layer deposition (ALD), very thin TiO2 films were deposited on quartz resonators, and their gas sensing properties were studied using the quartz crystal microbalance (QCM) method. The TiO2 thin films were grown using Ti(iOPr)4 and water as precursors. The surface of the films was observed by scanning electron microscopy (SEM), coupled with energy dispersive X-ray analysis (EDX) used for a composition study. The research was focused on the gas-sensing properties of the films. Films of 10-nm thickness were deposited on quartz resonators with Au electrodes and the QCMs were used to build highly sensitive gas sensors, which were tested for detecting NO2. Although very thin, these ALD-grown TiO2 films were sensitive to NO2 already at room temperature and could register as low concentrations as 50 ppm, while the sorption was fully reversible, and the sensors could be fully recovered. With the technology presented, the manufacturing of gas sensors is simple, fast and cost-effective, and suitable for energy-effective portable equipment for real-time environmental monitoring of NO2.

  9. Raman spectra of TiO2 thin films deposited electrochemically and by spray pyrolysis

    International Nuclear Information System (INIS)

    Shishiyanu, S.; Vartic, V.; Shishiyanu, T.; Stratan, Gh.; Rusu, E.; Zarrelli, M.; Giordano, M.

    2013-01-01

    In this paper we present our experimental results concerning the fabrication of TiO 2 thin films by spray pyrolysis and electrochemical deposition method onto different substrates - Corning glass, Si and optical fibers. The surface morphology of the TiO 2 thin films have been investigated by Atomic Force Microscopy. Raman shift spectra measurements have been done for the optical characterization of the fabricated titania thin films. The post-growth rapid photothermal processing (RPP) at temperatures of 100-800 degrees Celsius for 1-3 min have been applied. Our experimental results prove that by the application of post-growth RPP is possible to essentially improve the crystallinity of the deposited TiO 2 films. (authors)

  10. Above room temperature ferromagnetism in Si:Mn and TiO(2-delta)Co.

    Science.gov (United States)

    Granovsky, A; Orlov, A; Perov, N; Gan'shina, E; Semisalova, A; Balagurov, L; Kulemanov, I; Sapelkin, A; Rogalev, A; Smekhova, A

    2012-09-01

    We present recent experimental results on the structural, electrical, magnetic, and magneto-optical properties of Mn-implanted Si and Co-doped TiO(2-delta) magnetic oxides. Si wafers, both n- and p-type, with high and low resistivity, were used as the starting materials for implantation with Mn ions at the fluencies up to 5 x 10(16) cm(-2). The saturation magnetization was found to show the lack of any regular dependence on the Si conductivity type, type of impurity and the short post-implantation annealing. According to XMCD Mn impurity in Si does not bear any appreciable magnetic moment at room temperature. The obtained results indicate that above room temperature ferromagnetism in Mn-implanted Si originates not from Mn impurity but rather from structural defects in Si. The TiO(2-delta):Co thin films were deposited on LaAlO3 (001) substrates by magnetron sputtering in the argon-oxygen atmosphere at oxygen partial pressure of 2 x 10(-6)-2 x 10(-4) Torr. The obtained transverse Kerr effect spectra at the visible and XMCD spectra indicate on intrinsic room temperature ferromagnetism in TiO(2-delta):Co thin films at low (< 1%) volume fraction of Co.

  11. Correlation of Photocatalysis and Photoluminescence Effect in Relation to the Surface Properties of TiO2:Tb Thin Films

    Directory of Open Access Journals (Sweden)

    Damian Wojcieszak

    2013-01-01

    Full Text Available In this paper structural, optical, photoluminescence, and photocatalytic properties of TiO2 and TiO2:(2.6 at. % Tb thin films have been compared. Thin films were prepared by high-energy reactive magnetron sputtering process, which enables obtaining highly nanocrystalline rutile structure of deposited films. Crystallites sizes were 8.7 nm and 6.6 nm for TiO2 and TiO2:Tb, respectively. Surface of prepared thin films was homogenous with small roughness of ca. 7.2 and 2.1 nm in case of TiO2 and TiO2:Tb samples, respectively. Optical properties measurements have shown that the incorporation of Tb into TiO2 matrix has not changed significantly the thin films transparency. It also enables obtaining photoluminescence effect in wide range from 350 to 800 nm, what is unique phenomenon in case of TiO2 with rutile structure. Moreover, it has been found that the incorporation of 2.6 at. % of Tb has increased the photocatalytic activity more than two times as compared to undoped TiO2. Additionally, for the first time in the current state of the art, the relationship between photoluminescence effect, photocatalytic activity, and surface properties of TiO2:Tb thin films has been theoretically explained.

  12. Significantly enhanced visible light response in single TiO2 nanowire by nitrogen ion implantation

    Science.gov (United States)

    Wu, Pengcheng; Song, Xianyin; Si, Shuyao; Ke, Zunjian; Cheng, Li; Li, Wenqing; Xiao, Xiangheng; Jiang, Changzhong

    2018-05-01

    The metal-oxide semiconductor TiO2 shows enormous potential in the field of photoelectric detection; however, UV-light absorption only restricts its widespread application. It is considered that nitrogen doping can improve the visible light absorption of TiO2, but the effect of traditional chemical doping is far from being used for visible light detection. Herein, we dramatically broadened the absorption spectrum of the TiO2 nanowire (NW) by nitrogen ion implantation and apply the N-doped single TiO2 NW to visible light detection for the first time. Moreover, this novel strategy effectively modifies the surface states and thus regulates the height of Schottky barriers at the metal/semiconductor interface, which is crucial to realizing high responsivity and a fast response rate. Under the illumination of a laser with a wavelength of 457 nm, our fabricated photodetector exhibits favorable responsivity (8 A W-1) and a short response time (0.5 s). These results indicate that ion implantation is a promising method in exploring the visible light detection of TiO2.

  13. Low-temperature preparation of rutile-type TiO2 thin films for optical coatings by aluminum doping

    Science.gov (United States)

    Ishii, Akihiro; Kobayashi, Kosei; Oikawa, Itaru; Kamegawa, Atsunori; Imura, Masaaki; Kanai, Toshimasa; Takamura, Hitoshi

    2017-08-01

    A rutile-type TiO2 thin film with a high refractive index (n), a low extinction coefficient (k) and small surface roughness (Ra) is required for use in a variety of optical coatings to improve the controllability of the reflection spectrum. In this study, Al-doped TiO2 thin films were prepared by pulsed laser deposition, and the effects of Al doping on their phases, optical properties, surface roughness and nanoscale microstructure, including Al distribution, were investigated. By doping 5 and 10 mol%Al, rutile-type TiO2 was successfully prepared under a PO2 of 0.5 Pa at 350-600 °C. The nanoscale phase separation in the Al-doped TiO2 thin films plays an important role in the formation of the rutile phase. The 10 mol%Al-doped rutile-type TiO2 thin film deposited at 350 °C showed excellent optical properties of n ≈ 3.05, k ≈ 0.01 (at λ = 400 nm) and negligible surface roughness, at Ra ≈ 0.8 nm. The advantages of the superior optical properties and small surface roughness of the 10 mol%Al-doped TiO2 thin film were confirmed by fabricating a ten-layered dielectric mirror.

  14. Visible photoenhanced current-voltage characteristics of Au : TiO2 nanocomposite thin films as photoanodes

    International Nuclear Information System (INIS)

    Naseri, N; Amiri, M; Moshfegh, A Z

    2010-01-01

    In this investigation, the effect of annealing temperature and concentration of gold nanoparticles on the photoelectrochemical properties of sol-gel deposited Au : TiO 2 nanocomposite thin films is studied. Various gold concentrations have been added to the TiO 2 thin films and their properties are compared. All the deposited samples are annealed at different temperatures. The optical density spectra of the films show the formation of gold nanoparticles in the films. The optical bandgap energy of the Au : TiO 2 films decreases with increasing Au concentration. The crystalline structure of the nanocomposite films is studied by x-ray diffractometry indicating the formation of gold nanocrystals in the anatase TiO 2 nanocrystalline thin films. X-ray photoelectron spectroscopy reveals that the presence of gold in the metallic state and the formation of TiO 2 are stoichiometric. The photoelectrochemical properties of the Au : TiO 2 samples are characterized using a compartment cell containing H 2 SO 4 and KOH as cathodic and anodic electrolytes, respectively. It is found that the addition of Au nanoparticles in TiO 2 films enhances the photoresponse of the layer and the addition of gold nanocrystals with an optimum value of 5 mol% resulted in the highest photoelectrochemical activity. Moreover, the photoresponse of the samples is also enhanced with an increase in the annealing temperature.

  15. Inverted organic solar cells with solvothermal synthesized vanadium-doped TiO2 thin films as efficient electron transport layer

    Institute of Scientific and Technical Information of China (English)

    Mehdi Ahmadi; Sajjad Rashidi Dafeh; Samaneh Ghazanfarpour; Mohammad Khanzadeh

    2017-01-01

    We investigated the effects of using different thicknesses of pure and vanadium-doped thin films of TiO2 as the electron transport layer in the inverted configuration of organic photovoltaic cells based on poly (3-hexylthiophene) P3HT:[6-6] phenyl-(6) butyric acid methyl ester (PCBM).1% vanadium-doped TiO2 nanoparticles were synthesized via the solvothermal method.Crystalline structure,morphology,and optical properties of pure and vanadium-doped TiO2 thin films were studied by different techniques such as x-ray diffraction,scanning electron microscopy,transmittance electron microscopy,and UV-visible transmission spectrum.The doctor blade method which is compatible with roll-2-roll printing was used for deposition of pure and vanadium-doped TiO2 thin films with thicknesses of 30 nm and 60 nm.The final results revealed that the best thickness of TiO2 thin films for our fabricated cells was 30 nm.The cell with vanadium-doped TiO2 thin film showed slightly higher power conversion efficiency and great Jsc of 10.7 mA/cm2 compared with its pure counterpart.In the cells using 60 nm pure and vanadium-doped TiO2 layers,the cell using the doped layer showed much higher efficiency.It is remarkable that the extemal quantum efficiency of vanadium-doped TiO2 thin film was better in all wavelengths.

  16. Preparation of TiO2 thin films from autoclaved sol containing needle-like anatase crystals

    International Nuclear Information System (INIS)

    Ge Lei; Xu Mingxia; Fang Haibo; Sun Ming

    2006-01-01

    A new inorganic sol-gel method was introduced in this paper to prepare TiO 2 thin films. The autoclaved sol with needle-like anatase crystals was synthesized using titanyl sulfate (TiOSO 4 ) and peroxide (H 2 O 2 ) as starting materials. The transparent anatase TiO 2 thin films were prepared on glass slides from the autoclaved sol by sol-gel dip-coating method. A wide range of techniques such as Fourier transform infrared transmission spectra (FT-IR), X-ray diffraction (XRD), thermogravimetry-differential thermal analysis (TG-DTA), scanning electron microscopes, X-ray photoelectron spectroscopy (XPS) and ultraviolet-visible spectrum were applied to characterize the autoclaved sol and TiO 2 thin films. The results indicate that the autoclaved sol is flavescent, semitransparent and stable at room temperature. The anatase crystals of TiO 2 films connect together to form net-like structure after calcined and the films become uniform with increasing heating temperature. The surface of the TiO 2 films contain not only Ti and O elements, but also a small amount of N and Na elements diffused from substrates during heat treatment. The TiO 2 films are transparent and their maximal light transmittances exceed 80% under visible light region

  17. Spin Speed and Duration Dependence of TiO2 Thin Films pH Sensing Behavior

    Directory of Open Access Journals (Sweden)

    Muhammad AlHadi Zulkefle

    2016-01-01

    Full Text Available Titanium dioxide (TiO2 thin films were applied as the sensing membrane of an extended-gate field-effect transistor (EGFET pH sensor. TiO2 thin films were deposited by spin coating method and the influences of the spin speed and spin duration on the pH sensing behavior of TiO2 thin films were investigated. The spin coated TiO2 thin films were connected to commercial metal-oxide-semiconductor field-effect transistor (MOSFET to form the extended gates and the MOSFET was integrated in a readout interfacing circuit to complete the EGFET pH sensor system. For the spin speed parameter investigation, the highest sensitivity was obtained for the sample spun at 3000 rpm at a fixed spinning time of 60 s, which was 60.3 mV/pH. The sensitivity was further improved to achieve 68 mV/pH with good linearity of 0.9943 when the spin time was 75 s at the speed of 3000 rpm.

  18. Temperature dependence of gas sensing behaviour of TiO2 doped PANI composite thin films

    Science.gov (United States)

    Srivastava, Subodh; Sharma, S. S.; Sharma, Preetam; Sharma, Vinay; Rajura, Rajveer Singh; Singh, M.; Vijay, Y. K.

    2014-04-01

    In the present work we have reported the effect of temperature on the gas sensing properties of TiO2 doped PANI composite thin film based chemiresistor type gas sensors for hydrogen gas sensing application. PANI and TiO2 doped PANI composite were synthesized by in situ chemical oxidative polymerization of aniline at low temperature. The electrical properties of these composite thin films were characterized by I-V measurements as function of temperature. The I-V measurement revealed that conductivity of composite thin films increased as the temperature increased. The changes in resistance of the composite thin film sensor were utilized for detection of hydrogen gas. It was observed that at room temperature TiO2 doped PANI composite sensor shows higher response value and showed unstable behavior as the temperature increased. The surface morphology of these composite thin films has also been characterized by scanning electron microscopy (SEM) measurement.

  19. Nanoimprinted distributed feedback lasers comprising TiO2 thin films

    DEFF Research Database (Denmark)

    Vannahme, Christoph; Smith, Cameron; Leung, Michael C.

    2013-01-01

    Design guidelines for optimizing the sensing performance of nanoimprinted second order distributed feedback dye lasers are presented. The guidelines are verified by experiments and simulations. The lasers, fabricated by UV-nanoimprint lithography into Pyrromethene doped Ormocomp thin films on glass......, have their sensor sensitivity enhanced by a factor of up to five via the evaporation of a titanium dioxide (TiO2) waveguiding layer. The influence of the TiO2 layer thickness on the device sensitivity is analyzed with a simple model that accurately predicts experimentally measured wavelength shifts...

  20. Investigation of various properties of HfO2-TiO2 thin film composites deposited by multi-magnetron sputtering system

    Science.gov (United States)

    Mazur, M.; Poniedziałek, A.; Kaczmarek, D.; Wojcieszak, D.; Domaradzki, J.; Gibson, D.

    2017-11-01

    In this work the properties of hafnium dioxide (HfO2), titanium dioxide (TiO2) and mixed HfO2-TiO2 thin films with various amount of titanium addition, deposited by magnetron sputtering were described. Structural, surface, optical and mechanical properties of deposited coatings were analyzed. Based on X-ray diffraction and Raman scattering measuremets it was observed that there was a significant influence of titanium concentration in mixed TiO2-HfO2 thin films on their microstructure. Increase of Ti content in prepared mixed oxides coatings caused, e.g. a decrease of average crystallite size and amorphisation of the coatings. As-deposited hafnia and titania thin films exhibited nanocrystalline structure of monoclinic phase and mixed anatase-rutile phase for HfO2 and TiO2 thin films, respectively. Atomic force microscopy investigations showed that the surface of deposited thin films was densely packed, crack-free and composed of visible grains. Surface roughness and the value of water contact angle decreased with the increase of Ti content in mixed oxides. Results of optical studies showed that all deposited thin films were well transparent in a visible light range. The effect of the change of material composition on the cut-off wavelength, refractive index and packing density was also investigated. Performed measurements of mechanical properties revealed that hardness and Young's elastic modulus of thin films were dependent on material composition. Hardness of thin films increased with an increase of Ti content in thin films, from 4.90 GPa to 13.7 GPa for HfO2 and TiO2, respectively. The results of the scratch resistance showed that thin films with proper material composition can be used as protective coatings in optical devices.

  1. Photo-induced hydrophilicity of TiO2-xNx thin films on PET plates

    International Nuclear Information System (INIS)

    Chou, H.-Y.; Lee, E.-K.; You, J.-W.; Yu, S.-S.

    2007-01-01

    TiO 2-x N x thin films were deposited on PET (polyethylene terephthalate) plates by sputtering a TiN target in a N 2 /O 2 plasma and without heating. X-ray photoemission spectroscopy (XPS) was used to investigate the N 1s, Ti 2p core levels and the nitrogen composition in the TiO 2-x N x films. The results indicate that Ti-O-N bonds are formed in the thin films. Two nitrogen states, substitution and interstitial nitrogen atoms, were attributed to peaks at 396 and 399 eV, respectively. It was observed that the nitrogen atoms occupy both the substitutive and interstitial sites in respective of the nitrogen content in the thin films. UV-VIS absorption spectroscopy of PET coated thin films shows a significant shift of the absorption edge to lower energy in the visible-light region. UV and visible-light irradiation are used to activate PET coated thin films for the development of hydrophilicity. The photo-induced surface wettability conversion reaction of the thin films has been investigated by means of water contact angle measurement. PET plates coated with TiO 2-x N x thin films are found to exhibit lower water contact angle than non-coated plates when the surface is illuminated with UV and visible light. The effects of nitrogen doping on photo-generated hydrophilicity of the thin films are investigated in this work

  2. Formation of TiO2 domains in Poly (9-vinylcarbazole) thin film by hydrolysis-condensation of a metal alkoxide

    International Nuclear Information System (INIS)

    Barlier, V.; Bounor-Legare, V.; Alcouffe, P.; Boiteux, G.; Davenas, J.

    2007-01-01

    New organic-inorganic hybrid thin films based on Poly (9-vinylcarbazole) (P9VK) and Dioxide titanium (TiO 2 ) bulk-heterojunction were obtained by a hydrolysis-condensation (H-C) process of titanium (IV) isopropoxide in thin film. The TiO 2 distribution in the film was investigated by scanning electron microscopy. The results indicated that homogeneous TiO 2 particles around 100 nm were formed on the surface of the polymer thin film. Photoluminescence spectroscopy has been used to study the charge transfer efficiency in the photoactive layer and results were compared with a simplest elaboration route, the dispersion of TiO 2 anatase in a P9VK solution before spin coating. Results showed that TiO 2 elaborated by H-C exhibits a competitive quenching effect with TiO 2 anatase

  3. TiO2 nanofiber solid-state dye sensitized solar cells with thin TiO2 hole blocking layer prepared by atomic layer deposition

    International Nuclear Information System (INIS)

    Li, Jinwei; Chen, Xi; Xu, Weihe; Nam, Chang-Yong; Shi, Yong

    2013-01-01

    We incorporated a thin but structurally dense TiO 2 layer prepared by atomic layer deposition (ALD) as an efficient hole blocking layer in the TiO 2 nanofiber based solid-state dye sensitized solar cell (ss-DSSC). The nanofiber ss-DSSCs having ALD TiO 2 layers displayed increased open circuit voltage, short circuit current density, and power conversion efficiency compared to control devices with blocking layers prepared by spin-coating liquid TiO 2 precursor. We attribute the improved photovoltaic device performance to the structural integrity of ALD-coated TiO 2 layer and consequently enhanced hole blocking effect that results in reduced dark leakage current and increased charge carrier lifetime. - Highlights: • TiO 2 blocking locking layer prepared by atomic layer deposition (ALD) method. • ALD-coated TiO 2 layer enhanced hole blocking effect. • ALD blocking layer improved the voltage, current and efficiency. • ALD blocking layer reduced dark leakage current and increased electron lifetime

  4. Formaldehyde gas sensor based on TiO2 thin membrane integrated with nano silicon structure

    Science.gov (United States)

    Zheng, Xuan; Ming, An-jie; Ye, Li; Chen, Feng-hua; Sun, Xi-long; Liu, Wei-bing; Li, Chao-bo; Ou, Wen; Wang, Wei-bing; Chen, Da-peng

    2016-07-01

    An innovative formaldehyde gas sensor based on thin membrane type metal oxide of TiO2 layer was designed and fabricated. This sensor under ultraviolet (UV) light emitting diode (LED) illumination exhibits a higher response to formaldehyde than that without UV illumination at low temperature. The sensitivities of the sensor under steady working condition were calculated for different gas concentrations. The sensitivity to formaldehyde of 7.14 mg/m3 is about 15.91 under UV illumination with response time of 580 s and recovery time of 500 s. The device was fabricated through micro-electro-mechanical system (MEMS) processing technology. First, plasma immersion ion implantation (PIII) was adopted to form black polysilicon, then a nanoscale TiO2 membrane with thickness of 53 nm was deposited by DC reactive magnetron sputtering to obtain the sensing layer. By such fabrication approaches, the nanoscale polysilicon presents continuous rough surface with thickness of 50 nm, which could improve the porosity of the sensing membrane. The fabrication process can be mass-produced for the MEMS process compatibility.

  5. Influence of Nd-Doping on Photocatalytic Properties of TiO2 Nanoparticles and Thin Film Coatings

    Directory of Open Access Journals (Sweden)

    Damian Wojcieszak

    2014-01-01

    Full Text Available Structural, optical, and photocatalytic properties of TiO2 and TiO2:Nd nanopowders and thin films composed of those materials have been compared. Titania nanoparticles with 1, 3, and 6 at. % of Nd-dopant were synthesized by sol-gel method. Additionally, thin films with the same material composition were prepared with the aid of spin-coating method. The analysis of structural investigations revealed that all as-prepared nanopowders were nanocrystalline and had TiO2-anatase structure. The average size of crystallites was ca. 4-5 nm and the correlation between the amount of neodymium and the size of TiO2 crystallites was observed. It was shown that the dopant content influenced the agglomeration of the nanoparticles. The results of photocatalytic decomposition of MO showed that doping with Nd (especially in the amount of 3 at. % increased self-cleaning activity of the prepared titania nanopowder. Similar effect was received in case of the thin films, but the decomposition rate was lower due to their smaller active surface area. However, the as-prepared TiO2:Nd photocatalyst in the form of thin films or nanopowders seems to be a very attractive material for various applications.

  6. A short literature survey on iron and cobalt ion doped TiO2 thin films and photocatalytic activity of these films against fungi

    International Nuclear Information System (INIS)

    Tatlıdil, İlknur; Bacaksız, Emin; Buruk, Celal Kurtuluş; Breen, Chris; Sökmen, Münevver

    2012-01-01

    Highlights: ► Co or Fe doped TiO 2 thin films were prepared by sol–gel method. ► We obtained lower E g values for Fe-doped and Co-TiO 2 thin films. ► Doping greatly affected the size and shape of the TiO 2 nanoparticles. ► Photocatalytic killing effect of the doped TiO 2 thin films on C. albicans and A. niger was significantly higher than undoped TiO 2 thin film for short exposure periods. - Abstract: In this study, a short recent literature survey which concentrated on the usage of Fe 3+ or Co 2+ ion doped TiO 2 thin films and suspensions were summarized. Additionally, a sol–gel method was used for preparation of the 2% Co or Fe doped TiO 2 thin films. The surface of the prepared materials was characterised using scanning-electron microscopy (SEM) combined with energy dispersive X-ray (EDX) analysis and band gap of the films were calculated from the transmission measurements that were taken over the range of 190 and 1100 nm. The E g value was 3.40 eV for the pure TiO 2 , 3.00 eV for the Fe-doped TiO 2 film and 3.25 eV for Co-TiO 2 thin film. Iron or cobalt doping at lower concentration produce more uniformed particles and doping greatly affected the size and shape of the TiO 2 nanoparticles. Photocatalytic killing effect of the 2% Co doped TiO 2 thin film on Candida albicans was significantly higher than Fe doped TiO 2 thin film for short and long exposure periods. Doped thin films were more effective on Aspergillus niger for short exposure periods.

  7. Synthesis of photosensitive nanograined TiO2 thin films by SILAR method

    International Nuclear Information System (INIS)

    Patil, U.M.; Gurav, K.V.; Joo, Oh-Shim; Lokhande, C.D.

    2009-01-01

    Nanocrystalline TiO 2 thin films are deposited by simple successive ionic layer adsorption and reaction (SILAR) method on glass and fluorine-doped tin oxide (FTO)-coated glass substrate from aqueous solution. The as-deposited films are heat treated at 673 K for 2 h in air. The change in structural, morphological and optical properties are studied by means of X-ray diffraction (XRD), selected area electron diffraction (SAED), scanning electron microscopy (SEM), Fourier transform infrared (FTIR), transmission electron microscopy (TEM) and UV-vis-NIR spectrophotometer. The results show that the SILAR method allows the formation of anatase, nanocrystalline, and porous TiO 2 thin films. The heat-treated film showed conversion efficiency of 0.047% in photoelectrochemical cell with 1 M NaOH electrolyte.

  8. Rapid fabrication of mesoporous TiO2 thin films by pulsed fibre laser for dye sensitized solar cells

    Science.gov (United States)

    Hadi, Aseel; Alhabradi, Mansour; Chen, Qian; Liu, Hong; Guo, Wei; Curioni, Michele; Cernik, Robert; Liu, Zhu

    2018-01-01

    In this paper we demonstrate for the first time that a fibre laser with a wavelength of 1070 nm and a pulse width of milliseconds can be applied to generate mesoporous nanocrystalline (nc) TiO2 thin films on ITO coated glass in ambient atmosphere, by complete vaporisation of organic binder and inter-connection of TiO2 nanoparticles, without thermally damaging the ITO layer and the glass substrate. The fabrication of the mesoporous TiO2 thin films was achieved by stationary laser beam irradiation of 1 min. The dye sensitized solar cell (DSSC) with the laser-sintered TiO2 photoanode reached higher power conversion efficiency (PCE) of 3.20% for the TiO2 film thickness of 6 μm compared with 2.99% for the furnace-sintered. Electrochemical impedance spectroscopy studies revealed that the laser sintering under the optimised condition effectively decreased charge transfer resistance and increased electron lifetime of the TiO2 thin films. The use of the fibre laser with over 40% wall-plug efficiency offers an economically-feasible, industrial viable solution to the major challenge of rapid fabrication of large scale, mass production of mesoporous metal oxide thin film based solar energy systems, potentially for perovskite and monolithic tandem solar cells, in the future.

  9. Annealing effect on the structural, morphological and electrical properties of TiO2/ZnO bilayer thin films

    Science.gov (United States)

    Khan, M. I.; Imran, S.; Shahnawaz; Saleem, Muhammad; Ur Rehman, Saif

    2018-03-01

    The effect of annealing temperature on the structural, morphological and electrical properties of TiO2/ZnO (TZ) thin films has been observed. Bilayer thin films of TiO2/ZnO are deposited on FTO glass substrate by spray pyrolysis method. After deposition, these films are annealed at 573 K, 723 K and 873 K. XRD shows that TiO2 is present in anatase phase only and ZnO is present in hexagonal phase. No other phases of TiO2 and ZnO are present. Also, there is no evidence of other compounds like Zn-Ti etc. It also shows that the average grain size of TiO2/ZnO films is increased by increasing annealing temperature. AFM (Atomic force microscope) showed that the average roughness of TiO2/ZnO films is decreased at temperature 573-723 K and then increased at 873 K. The calculated average sheet resistivity of thin films annealed at 573 K, 723 K and 873 K is 152.28 × 102, 75.29 × 102 and 63.34 × 102 ohm-m respectively. This decrease in sheet resistivity might be due to the increment of electron concentration with increasing thickness and the temperature of thin films.

  10. Microwave-assisted synthesis and characterization of poly(acrylic)/SiO2-TiO2 core-shell nanoparticle hybrid thin films

    International Nuclear Information System (INIS)

    Chien, Wen-Chen; Yu, Yang-Yen; Chen, Po-Kan; Yu, Hui-Huan

    2011-01-01

    In this study, poly(acrylic)/SiO 2 -TiO 2 core-shell nanoparticle hybrid thin films were successfully synthesized by microwave-assisted polymerization. The coupling agent 3-(trimethoxysilyl) propyl methacrylate (MSMA) was hydrolyzed with colloidal SiO 2 -TiO 2 core-shell nanoparticles, and then polymerized with two acrylic monomers and initiator to form a precursor solution. The results of this study showed that the spin-coated hybrid films had relatively good surface planarity, high thermal stability, a tunable refractive index (1.525 2 -TiO 2 core-shell nanoparticle hybrid thin films, for potential use in optical applications.

  11. TiO2 nanoparticle thin film deposition by matrix assisted pulsed laser evaporation for sensing applications

    International Nuclear Information System (INIS)

    Caricato, A.P.; Capone, S.; Ciccarella, G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Taurino, A.; Tunno, T.; Valerini, D.

    2007-01-01

    The MAPLE technique has been used for the deposition of nanostructured titania (TiO 2 ) nanoparticles thin films to be used for gas sensors applications. An aqueous solution of TiO 2 nanoparticles, synthesised by a novel chemical route, was frozen at liquid nitrogen temperature and irradiated with a pulsed ArF excimer laser in a vacuum chamber. A uniform distribution of TiO 2 nanoparticles with an average size of about 10 nm was deposited on Si and interdigitated Al 2 O 3 substrates as demonstrated by high resolution scanning electron microscopy-field emission gun inspection (SEM-FEG). Energy dispersive X-ray (EDX) analysis revealed the presence of only the titanium and oxygen signals and FTIR (Fourier transform infra-red) revealed the TiO 2 characteristic composition and bond. A comparison with a spin coated thin film obtained from the same solution of TiO 2 nanoparticles is reported. The sensing properties of the films deposited on interdigitated substrates were investigated, too

  12. Preparation of Oleyl Phosphate-Modified TiO2/Poly(methyl methacrylate Hybrid Thin Films for Investigation of Their Optical Properties

    Directory of Open Access Journals (Sweden)

    Masato Fujita

    2015-01-01

    Full Text Available TiO2 nanoparticles (NPs modified with oleyl phosphate were synthesized through stable Ti–O–P bonds and were utilized to prepare poly(methyl methacrylate- (PMMA- based hybrid thin films via the ex situ route for investigation of their optical properties. After surface modification of TiO2 NPs with oleyl phosphate, IR and 13C CP/MAS NMR spectroscopy showed the presence of oleyl groups. The solid-state 31P MAS NMR spectrum of the product revealed that the signal due to oleyl phosphate (OP shifted upon reaction, indicating formation of covalent Ti–O–P bonds. The modified TiO2 NPs could be homogeneously dispersed in toluene, and the median size was 16.1 nm, which is likely to be sufficient to suppress Rayleigh scattering effectively. The TEM images of TiO2/PMMA hybrid thin films also showed a homogeneous dispersion of TiO2 NPs, and they exhibited excellent optical transparency even though the TiO2 content was 20 vol%. The refractive indices of the OP-modified TiO2/PMMA hybrid thin films changed higher with increases in TiO2 volume fraction, and the hybrid thin film with 20 vol% of TiO2 showed the highest refractive index (n = 1.86.

  13. Magnetic and structural study of Cu-doped TiO2 thin films

    International Nuclear Information System (INIS)

    Torres, C.E. Rodriguez; Golmar, F.; Cabrera, A.F.; Errico, L.; Navarro, A.M. Mudarra; Renteria, M.; Sanchez, F.H.; Duhalde, S.

    2007-01-01

    Transparent pure and Cu-doped (2.5, 5 and 10 at.%) anatase TiO 2 thin films were grown by pulsed laser deposition technique on LaAlO 3 substrates. The samples were structurally characterized by X-ray absorption spectroscopy and X-ray diffraction. The magnetic properties were measured using a SQUID. All films have a FM-like behaviour. In the case of the Cu-doped samples, the magnetic cycles are almost independent of the Cu concentration. Cu atoms are forming CuO and/or substituting Ti in TiO 2 . The thermal treatment in air promotes the CuO segregation. Since CuO is antiferromagnetic, the magnetic signals present in the films could be assigned to Cu substitutionally replacing cations in TiO 2

  14. Ab-initio calculation and experimental observation of room temperature ferromagnetism in 50 keV nitrogen implanted rutile TiO2

    Science.gov (United States)

    Luitel, Homnath; Chakrabarti, Mahuya; Sarkar, A.; Dechoudhury, S.; Bhowmick, D.; Naik, V.; Sanyal, D.

    2018-02-01

    Room temperature magnetic properties of 50 keV N4+ ion beam implanted rutile TiO2 have been theoretically and experimentally studied. Ab-initio calculation under the frame work of density functional theory has been carried out to study the magnetic properties of the different possible nitrogen related defects in TiO2. Spin polarized density of states calculation suggests that both Ninst and NO can induce ferromagnetic ordering in rutile TiO2. In both cases the 2p orbital electrons of nitrogen atom give rise to the magnetic moment in TiO2. The possibility of the formation of N2 molecule in TiO2 system is also studied but in this case no significant magnetic moment has been observed. The magnetic measurements, using SQUID magnetometer, results a ferromagnetic ordering even at room temperature for the 50 keV N4+ ion beam implanted rutile TiO2.

  15. Nano-crystalline thin and nano-particulate thick TiO2 layer: Cost effective sequential deposition and study on dye sensitized solar cell characteristics

    International Nuclear Information System (INIS)

    Das, P.; Sengupta, D.; Kasinadhuni, U.; Mondal, B.; Mukherjee, K.

    2015-01-01

    Highlights: • Thin TiO 2 layer is deposited on conducting substrate using sol–gel based dip coating. • TiO 2 nano-particles are synthesized using hydrothermal route. • Thick TiO 2 particulate layer is deposited on prepared thin layer. • Dye sensitized solar cells are made using thin and thick layer based photo-anode. • Introduction of thin layer in particulate photo-anode improves the cell efficiency. - Abstract: A compact thin TiO 2 passivation layer is introduced between the mesoporous TiO 2 nano-particulate layer and the conducting glass substrate to prepare photo-anode for dye-sensitized solar cell (DSSC). In order to understand the effect of passivation layer, other two DSSCs are also developed separately using TiO 2 nano-particulate and compact thin film based photo-anodes. Nano-particles are prepared using hydrothermal synthesis route and the compact passivation layer is prepared by simply dip coating the precursor sol prepared through wet chemical route. The TiO 2 compact layer and the nano-particles are characterised in terms of their micro-structural features and phase formation behavior. It is found that introduction of a compact TiO 2 layer in between the mesoporous TiO 2 nano-particulate layer and the conducting substrate improves the solar to electric conversion efficiency of the fabricated cell. The dense thin passivation layer is supposed to enhance the photo-excited electron transfer and prevent the recombination of photo-excited electrons

  16. An impact of the copper additive on photocatalytic and bactericidal properties of TiO2 thin films

    Directory of Open Access Journals (Sweden)

    Wojcieszak Damian

    2017-07-01

    Full Text Available The biological and photocatalytic activity of TiO2 and TiO2:Cu in relation to their structure, surface topography, wettability and optical properties of the thin films was investigated. Thin-film coatings were prepared by magnetron sputtering method in oxygen plasma with use of metallic targets (Ti and Ti-Cu. The results of structural studies revealed that addition of Cu into titania matrix (during the deposition process resulted in obtaining of an amorphous film, while in case of undoped TiO2, presence of nanocrystalline anatase (with crystallites size of 20 nm was found. Moreover, an addition of cooper had also an effect on surface diversification and decrease of its hydrophilicity. The roughness of TiO2:Cu film was 25 % lower (0.6 nm as-compared to titania (0.8 nm. These modifications of TiO2:Cu had an impact on the decrease of its photocatalytic activity, probably as a result of the active surface area decrease. Antibacterial and antifungal properties of the thin films against bacteria (Enterococcus hirae, Staphylococcus aureus, Bacillus subtilis, Escherichia coli and yeast (Candida albicans were also examined. For the purpose of this work the method dedicated for the evaluation of antimicrobial properties of thin films was developed. It was revealed that Cu-additive has a positive impact on neutralization of microorganisms.

  17. Enhanced photoelectrochemical and photocatalytic activity of WO3-surface modified TiO2 thin film

    Science.gov (United States)

    Qamar, Mohammad; Drmosh, Qasem; Ahmed, Muhammad I.; Qamaruddin, Muhammad; Yamani, Zain H.

    2015-02-01

    Development of nanostructured photocatalysts for harnessing solar energy in energy-efficient and environmentally benign way remains an important area of research. Pure and WO3-surface modified thin films of TiO2 were prepared by magnetron sputtering on indium tin oxide glass, and photoelectrochemical and photocatalytic activities of these films were studied. TiO2 particles were <50 nm, while deposited WO3 particles were <20 nm in size. An enhancement in the photocurrent was observed when the TiO2 surface was modified WO3 nanoparticles. Effect of potential, WO3 amount, and radiations of different wavelengths on the photoelectrochemical activity of TiO2 electrodes was investigated. Photocatalytic activity of TiO2 and WO3-modified TiO2 for the decolorization of methyl orange was tested.

  18. Performance of Erbium-doped TiO2 thin film grown by physical vapor deposition technique

    Science.gov (United States)

    Lahiri, Rini; Ghosh, Anupam; Dwivedi, Shyam Murli Manohar Dhar; Chakrabartty, Shubhro; Chinnamuthu, P.; Mondal, Aniruddha

    2017-09-01

    Undoped and Erbium-doped TiO2 thin films (Er:TiO2 TFs) were fabricated on the n-type Si substrate using physical vapour deposition technique. Field emission scanning electron microscope showed the morphological change in the structure of Er:TiO2 TF as compared to undoped sample. Energy dispersive X-ray spectroscopy (EDX) confirmed the Er doping in the TiO2 thin film (TF). The XRD and Raman spectrum showed the presence of anatase phase TiO2 and Er2O3 in the Er:TiO2 TF. The Raman scattering depicted additional number of vibrational modes for Er:TiO2 TF due to the presence of Er as compared to the undoped TiO2 TF. The UV-Vis absorption measurement showed that Er:TiO2 TF had approximately 1.2 times more absorption over the undoped TiO2 TF in the range of 300-400 nm. The main band transition, i.e., the transition between the oxygen (2p) state and the Ti (3d) state was obtained at 3.0 eV for undoped TiO2 and at 3.2 eV for Er:TiO2 TF, respectively. The photo responsivity measurement was done on both the detectors, where Er:TiO2 TF detector showed better detectivity ( D *), noise equivalent power and temporal response as compared to undoped detector under ultra-violet illumination.

  19. Synthesis and electronic properties of Fe2TiO5 epitaxial thin films

    Science.gov (United States)

    Osada, Motoki; Nishio, Kazunori; Hwang, Harold Y.; Hikita, Yasuyuki

    2018-05-01

    We investigate the growth phase diagram of pseudobrookite Fe2TiO5 epitaxial thin films on LaAlO3 (001) substrates using pulsed laser deposition. Control of the oxygen partial pressure and temperature during deposition enabled selective stabilization of (100)- and (230)-oriented films. In this regime, we find an optical gap of 2.1 eV and room temperature resistivity in the range of 20-80 Ω cm, which are significantly lower than α-Fe2O3, making Fe2TiO5 potentially an ideal inexpensive visible-light harvesting semiconductor. These results provide a basis to incorporate Fe2TiO5 in oxide heterostructures for photocatalytic and photoelectrochemical applications.

  20. The synergistic effect of TiO2 nanoporous modification and platelet-rich plasma treatment on titanium-implant stability in ovariectomized rats

    Directory of Open Access Journals (Sweden)

    Jiang N

    2016-09-01

    Full Text Available Nan Jiang,1,2 Pinggong Du,2 Weidong Qu,2 Lin Li,2 Zhonghao Liu,2 Songsong Zhu1 1State Key Laboratory of Oral Diseases and Department of Oral and Maxillofacial Surgery, West China Hospital of Stomatology, Sichuan University, Chengdu, 2Yantai City Stomatological Hospital, Yantai, People’s Republic of China Abstract: For several decades, titanium and its alloys have been commonly utilized for endosseous implantable materials, because of their good mechanical properties, chemical resistance, and biocompatibility. But associated low bone mass, wear and loss characteristics, and high coefficients of friction have limited their long-term stable performance, especially in certain abnormal bone-metabolism conditions, such as postmenopausal osteoporosis. In this study, we investigated the effects of platelet-rich plasma (PRP treatment and TiO2 nanoporous modification on the stability of titanium implants in osteoporotic bone. After surface morphology, topographical structure, and chemical changes of implant surface had been detected by scanning electron microscopy (SEM, atomic force microscopy, contact-angle measurement, and X-ray diffraction, we firstly assessed in vivo the effect of PRP treatment on osseointegration of TiO2-modified implants in ovariectomized rats by microcomputed tomography examinations, histology, biomechanical testing, and SEM observation. Meanwhile, the potential molecular mechanism involved in peri-implant osseous enhancement was also determined by quantitative real-time polymerase chain reaction. The results showed that this TiO2-modified surface was able to lead to improve bone implant contact, while PRP treatment was able to increase the implant surrounding bone mass. The synergistic effect of both was able to enhance the terminal force of implants drastically in biomechanical testing. Compared with surface modification, PRP treatment promoted earlier osteogenesis with increased expression of the RUNX2 and COL1 genes and

  1. Characterization of ultra-thin TiO2 films grown on Mo(112)

    International Nuclear Information System (INIS)

    Kumar, D.; Chen, M.S.; Goodman, D.W.

    2006-01-01

    Ultra-thin TiO 2 films were grown on a Mo(112) substrate by stepwise vapor depositing of Ti onto the sample surface followed by oxidation at 850 K. X-ray photoelectron spectroscopy showed that the Ti 2p peak position shifts from lower to higher binding energy with an increase in the Ti coverage from sub- to multilayer. The Ti 2p peak of a TiO 2 film with more than a monolayer coverage can be resolved into two peaks, one at 458.1 eV corresponding to the first layer, where Ti atoms bind to the substrate Mo atoms through Ti-O-Mo linkages, and a second feature at 458.8 eV corresponding to multilayer TiO 2 where the Ti atoms are connected via Ti-O-Ti linkages. Based on these assignments, the single Ti 2p 3/2 peak at 455.75 eV observed for the Mo(112)-(8 x 2)-TiO x monolayer film can be assigned to Ti 3+ , consistent with our previous results obtained with high-resolution electron energy loss spectroscopy

  2. Characterization of TiO2 Thin Films on Glass Substrate Growth Using DC Sputtering Technique

    International Nuclear Information System (INIS)

    Agus Santoso; Tjipto Sujitno; Sayono

    2002-01-01

    It has been fabricated and characterization a TiO 2 thin films deposited on glass substrate using DC sputtering technique. Fabrication of TiO 2 thin films were carried out at electrode voltage 4 kV, sputtering current 5 mA, vacuum pressure 5 x 10 -4 torr, deposition time 150 minutes, and temperature of the substrate were varied from 150 -350 o C, while as a gas sputter was argon. The results was tested their micro structure using SEM, and crystal structure using XRD and found that the crystal structure of TiO 2 powder before deposited on glass substrate was rutile and anatase with orientation (110) and (200) for anatase and (100) and (111) rutile structure. While the crystal structure which deposited at temperature 150 o C and deposition time 2.5 hours was anatase with orientation (001) and (200). (author)

  3. Structural, Electrical and Optical Properties of TiO2 Thin Film Deposited on the Nano Porous Silicon Template

    Science.gov (United States)

    Bahar, Mahmood; Dermani, Ensieh Khalili

    The porous silicon (PSi), which is produced by the electrochemical etching, has been used as a substrate for the growth of the titanium oxide (TiO2) thin films. By using the EBPVD method, TiO2 thin films have been deposited on the surface of the PSi substrate. TiO2/PSi layers were annealed at the temperature of 400∘C, 500∘C and 600∘C for different tests. The morphology and structures of layers were investigated by the scanning electron microscopy (SEM) and X-ray diffraction (XRD). The current-voltage characteristic curves of samples and the ideality factor of heterojunction were studied. The results showed that the electrical properties of the samples change with increase in the annealing temperature. The optical properties of the prepared samples were investigated by using UV-Vis and photoluminescence (PL) spectroscopy. Green light emission of the PSi combined with the blue light and violet-blue emission obtained from the TiO2/PSi PL spectra. The results showed that the optical band gap energy of the PSi has increased from 1.86eV to 2.93eV due to the deposition of TiO2 thin film.

  4. Nanocrystalline Pt-doped TiO2 thin films prepared by spray pyrolysis ...

    Indian Academy of Sciences (India)

    Administrator

    Spray pyrolysis techniques; TiO2 thin films; hydrogen gas response. 1. Introduction ... tion is necessary during the production, storage and use of hydrogen. It is also ..... ient, and 'green': it may be used to large scale industrial application for ...

  5. Effect of laser irradiation on the structural, morphological and electrical properties of polycrystalline TiO2 thin films

    Directory of Open Access Journals (Sweden)

    M.I. Khan

    Full Text Available TiO2 thin film is deposited on glass substrate by sol-gel dip coating technique. After deposition, films were irradiated by continuous wave (CW diode laser at an angle of 45°. XRD shows both the anatase and brookite phases of TiO2. Nano particles of regular and control sizes are appeared in SEM micrographs. Therefore, shape and size of nano particles can be control by using Laser irradiation. The average sheet resistivity of TiO2 thin film irradiated by 0, 2, 4 and 6 min are 6.72 × 105, 5.32 × 105, 3.44 × 105 and 4.95 × 105 (ohm-m respectively, according to four point probe. Keywords: TiO2, Diode laser, XRD, SEM

  6. Undoped TiO2 and nitrogen-doped TiO2 thin films deposited by atomic layer deposition on planar and architectured surfaces for photovoltaic applications

    International Nuclear Information System (INIS)

    Tian, Liang; Soum-Glaude, Adurey; Volpi, Fabien; Salvo, Luc; Berthomé, Grégory; Coindeau, Stéphane; Mantoux, Arnaud; Boichot, Raphaël; Lay, Sabine; Brizé, Virginie; Blanquet, Elisabeth; Giusti, Gaël; Bellet, Daniel

    2015-01-01

    Undoped and nitrogen doped TiO 2 thin films were deposited by atomic layer deposition on planar substrates. Deposition on 3D-architecture substrates made of metallic foams was also investigated to propose architectured photovoltaic stack fabrication. All the films were deposited at 265 °C and nitrogen incorporation was achieved by using titanium isopropoxide, NH 3 and/or N 2 O as precursors. The maximum nitrogen incorporation level obtained in this study was 2.9 at. %, resulting in films exhibiting a resistivity of 115 Ω cm (+/−10 Ω cm) combined with an average total transmittance of 60% in the 400–1000 nm wavelength range. Eventually, TiO 2 thin films were deposited on the 3D metallic foam template

  7. A prospective 5-year study of fixed partial prostheses supported by implants with machined and TiO2-blasted surface

    DEFF Research Database (Denmark)

    Gotfredsen, K; Karlsson, U

    2001-01-01

    PURPOSE: The aim of the present study was to evaluate whether there was a difference between machined and TiO(2)-blasted implants regarding survival rate and marginal bone loss during a 5-year observation period. MATERIALS AND METHODS: A total of 133 implants (Astra Tech Dental Implants; Astra Tech...... AB, Mölndal, Sweden) were placed in 50 patients at 6 centers in 4 Scandinavian countries. Forty-eight implants were installed in the maxilla and 85 implants in the mandible. A randomization and a stratification were done, so that each fixed partial prosthesis was supported by at least 1 machined...... and 1 TiO(2)-blasted implant. The implant-supported fixed partial prostheses (ISFPP) were fabricated within 2 months after postoperative healing. A total of 52 ISFPP (17 maxillary, 35 mandibular) were inserted. The patients were clinically examined once a year for 5 years. At the annual follow...

  8. Chromium doped TiO2 sputtered thin films synthesis, physical investigations and applications

    CERN Document Server

    Hajjaji, Anouar; Gaidi, Mounir; Bessais, Brahim; El Khakani, My Ali

    2014-01-01

    This book presents co-sputtered processes ways to produce chrome doped TiO2 thin films onto various substrates such as quartz, silicon and porous silicon. Emphasis is given on the link between the experimental preparation and physical characterization in terms of Cr content. Moreover, the structural, optical and optoelectronic investigations are emphasized throughout. The book explores the potencial applications of devices based on Cr doped TiO2 thin films as gas sensors and in photocatalysis and in the photovoltaic industry. Also, this book provides extensive leads into research literature, and each chapter contains details which aim to develop awareness of the subject and the methods used. The content presented here will be useful for graduate students as well as researchers in materials science, physics, chemistry and engineering.

  9. Osseointegration of Implants Surface-Treated with Various Diameters of TiO2 Nanotubes in Rabbit

    Directory of Open Access Journals (Sweden)

    Cheul-Goo Kang

    2015-01-01

    Full Text Available The aim of this study was to evaluate the osseointegration of implants which were surface-treated with various diameters of TiO2 nanotubes (30 nm, 70 nm, and 100 nm in rabbit. Resorbable blast media (RBM surfaced implants (Osstem, Busan, Korea 3.5 mm in diameter and 8.5 mm in length were designated as the control group and the implants surface-treated with various diameters of nanotubes (30 nm, 70 nm, and 100 nm with the same shapes were designated as the experimental groups. The implants were maintained unloaded for 4 and 12 weeks. After this period, the animals were sacrificed and micro-CT analysis, histomorphometric analysis (bone to implant contact (BIC, bone volume (BV, and removal torque test were performed. Micro-CT analysis, histomorphometric analysis, and removal torque test results all showed the similar pattern, showing that 70 nm experimental group had the highest value at 4 weeks while 30 nm experimental group had the highest value at 12 weeks. Therefore, on the basis of the results above, it can be concluded that 30 nm and 70 nm TiO2 nanotubes may have positive effects on osteogenesis and osseointegration depending on the healing time.

  10. Histomorphometric and removal torque analysis for TiO2-blasted titanium implants. An experimental study on dogs

    DEFF Research Database (Denmark)

    Gotfredsen, K; Nimb, L; Hjörting-Hansen, E

    1992-01-01

    The aim of the present study was to compare the anchorage of TiO2-blasted screw and cylindrical implants with conventionally used machine-produced screw and cylindrical implants inserted immediately in extraction sockets on dogs. 6 adult mongrel dogs had 3rd and 4th mandibular premolars extracted...... bilaterally and 24 commercial pure titanium implants were placed immediately in extraction sockets and covered with mucoperiosteum. Each dog had inserted 4 implants: 1 screw implant and 1 cylindrical implant blasted with titanium-dioxide-particles; 1 screw implant and 1 cylindrical implant with machine...

  11. Growth of TiO2 Thin Film on Various Substrates using RF Magnetron Sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2011-01-01

    The conductivity of Titanium Dioxide (TiO 2 ) thin film fabricated using Radio Frequency (RF) Magnetron Sputtering on Silicon (Si), Indium doped--Tin Oxide (ITO) and microscope glass (M) substrates is presented in this paper. The dependant of thin film thickness and type of substrate been discussed. TiO 2 was deposited using Ti target in Ar+O 2 (45:10) mixture at 250 W for 45, 60, 75, 90, 105 and 120 minute. Resultant thickness varies from 295 nm to 724 nm with deposition rate 6.4 nm/min. On the other hand, resistivity, Rs value for ITO substrate is between 5.72x10 -7 to 1.54x10 -6 Ω.m, Si substrate range is between 3.52x10 -6 to 1.76x10 -5 Ω.m and M substrate range is between 99 to 332 Ω.m. The value of resistivity increases with the thickness of the thin film.

  12. Synthesis of nanocrystalline TiO 2 thin films by liquid phase ...

    Indian Academy of Sciences (India)

    A transparent, high purity titanium dioxide thin film composed of densely packed nanometer sized grains has been successfully deposited on a glass substrate at 30°C from an aqueous solution of TiO2–HF with the addition of boric acid as a scavenger by liquid phase deposition technique. From X-ray diffraction ...

  13. Enhanced Charge Extraction of Li-Doped TiO2 for Efficient Thermal-Evaporated Sb2S3 Thin Film Solar Cells

    Science.gov (United States)

    Lan, Chunfeng; Luo, Jingting; Lan, Huabin; Fan, Bo; Peng, Huanxin; Zhao, Jun; Sun, Huibin; Zheng, Zhuanghao; Liang, Guangxing; Fan, Ping

    2018-01-01

    We provided a new method to improve the efficiency of Sb2S3 thin film solar cells. The TiO2 electron transport layers were doped by lithium to improve their charge extraction properties for the thermal-evaporated Sb2S3 solar cells. The Mott-Schottky curves suggested a change of energy band and faster charge transport in the Li-doped TiO2 films. Compared with the undoped TiO2, Li-doped mesoporous TiO2 dramatically improved the photo-voltaic performance of the thermal-evaporated Sb2S3 thin film solar cells, with the average power conversion efficiency (PCE) increasing from 1.79% to 4.03%, as well as the improved open-voltage (Voc), short-circuit current (Jsc) and fill factors. The best device based on Li-doped TiO2 achieved a power conversion efficiency up to 4.42% as well as a Voc of 0.645 V, which are the highest values among the reported thermal-evaporated Sb2S3 solar cells. This study showed that Li-doping on TiO2 can effectively enhance the charge extraction properties of electron transport layers, offering a new strategy to improve the efficiency of Sb2S3-based solar cells. PMID:29495612

  14. Enhanced Charge Extraction of Li-Doped TiO2 for Efficient Thermal-Evaporated Sb2S3 Thin Film Solar Cells

    Directory of Open Access Journals (Sweden)

    Chunfeng Lan

    2018-02-01

    Full Text Available We provided a new method to improve the efficiency of Sb2S3 thin film solar cells. The TiO2 electron transport layers were doped by lithium to improve their charge extraction properties for the thermal-evaporated Sb2S3 solar cells. The Mott-Schottky curves suggested a change of energy band and faster charge transport in the Li-doped TiO2 films. Compared with the undoped TiO2, Li-doped mesoporous TiO2 dramatically improved the photo-voltaic performance of the thermal-evaporated Sb2S3 thin film solar cells, with the average power conversion efficiency (PCE increasing from 1.79% to 4.03%, as well as the improved open-voltage (Voc, short-circuit current (Jsc and fill factors. The best device based on Li-doped TiO2 achieved a power conversion efficiency up to 4.42% as well as a Voc of 0.645 V, which are the highest values among the reported thermal-evaporated Sb2S3 solar cells. This study showed that Li-doping on TiO2 can effectively enhance the charge extraction properties of electron transport layers, offering a new strategy to improve the efficiency of Sb2S3-based solar cells.

  15. Self-organized nanocrack networks: a pathway to enlarge catalytic surface area in sputtered ceramic thin films, showcased for photocatalytic TiO2

    Science.gov (United States)

    Henkel, B.; Vahl, A.; Aktas, O. C.; Strunskus, T.; Faupel, F.

    2018-01-01

    Sputter deposited photocatalytic thin films offer high adherence and mechanical stability, but typically are outperformed in their photocatalytic properties by colloidal TiO2 nanostructures, which in turn typically suffer from problematic removal. Here we report on thermally controlled nanocrack formation as a feasible and batch applicable approach to enhance the photocatalytic performance of well adhering, reactively sputtered TiO2 thin films. Networks of nanoscopic cracks were induced into tailored columnar TiO2 thin films by thermal annealing. These deep trenches are separating small bundles of TiO2 columns, adding their flanks to the overall catalytically active surface area. The variation of thin film thickness reveals a critical layer thickness for initial nanocrack network formation, which was found to be about 400 nm in case of TiO2. The columnar morphology of the as deposited TiO2 layer with weak bonds between respective columns and with strong bonds to the substrate is of crucial importance for the formation of nanocrack networks. A beneficial effect of nanocracking on the photocatalytic performance was experimentally observed. It was correlated by a simple geometric model for explaining the positive impact of the crack induced enlargement of active surface area on photocatalytic efficiency. The presented method of nanocrack network formation is principally not limited to TiO2 and is therefore seen as a promising candidate for utilizing increased surface area by controlled crack formation in ceramic thin films in general.

  16. Cytokine induction of sol–gel-derived TiO2 and SiO2 coatings on metallic substrates after implantation to rat femur

    Science.gov (United States)

    Urbanski, Wiktor; Marycz, Krzysztof; Krzak, Justyna; Pezowicz, Celina; Dragan, Szymon Feliks

    2017-01-01

    Material surface is a key determinant of host response on implanted biomaterial. Therefore, modification of the implant surface may optimize implant–tissue reactions. Inflammatory reaction is inevitable after biomaterial implantation, but prolonged inflammation may lead to adverse reactions and subsequent implant failure. Proinflammatory activities of cytokines like interleukin (IL)-1, IL-6, and tumor necrosis factor-alpha (TNF-α) are attractive indicators of these processes and ultimately characterize biocompatibility. The objective of the study was to evaluate local cytokine production after implantation of stainless steel 316L (SS) and titanium alloy (Ti6Al4V) biomaterials coated with titanium dioxide (TiO2) and silica (SiO2) coatings prepared by sol–gel method. Biomaterials were implanted into rat femur and after 12 weeks, bones were harvested. Bone–implant tissue interface was evaluated; immunohistochemical staining was performed to identify IL-6, TNF-α, and Caspase-1. Histomorphometry (AxioVision Rel. 4.6.3 software) of tissue samples was performed in order to quantify the cytokine levels. Both the oxide coatings on SS and Ti6Al4V significantly reduced cytokine production. However, the lowest cytokine levels were observed in TiO2 groups. Cytokine content in uncoated groups was lower in Ti6Al4V than in SS, although coating of either metal reduced cytokine production to similar levels. Sol–gel TiO2 or SiO2 coatings reduced significantly the production of proinflammatory cytokines by local tissues, irrespective of the material used as a substrate, that is, either Ti6Al4V or SS. This suggests lower inflammatory response, which directly points out improvement of materials’ biocompatibility. PMID:28280331

  17. Effect of laser irradiation on the structural, morphological and electrical properties of polycrystalline TiO2 thin films

    Science.gov (United States)

    Khan, M. I.; Ali, Asghar

    TiO2 thin film is deposited on glass substrate by sol-gel dip coating technique. After deposition, films were irradiated by continuous wave (CW) diode laser at an angle of 45°. XRD shows both the anatase and brookite phases of TiO2. Nano particles of regular and control sizes are appeared in SEM micrographs. Therefore, shape and size of nano particles can be control by using Laser irradiation. The average sheet resistivity of TiO2 thin film irradiated by 0, 2, 4 and 6 min are 6.72 × 105, 5.32 × 105, 3.44 × 105 and 4.95 × 105 (ohm-m) respectively, according to four point probe.

  18. Physiochemical properties of TiO2 nanoparticle thin films deposited on stainless steel

    Directory of Open Access Journals (Sweden)

    M. Basiaga

    2017-01-01

    Full Text Available The purpose of this study was to evaluate the usefulness of TiO2 layer to improve hemocompatibility of 316LVM stainless steel. The TiO2 layers studied in this work were deposited from TiCl4 and H2O in a low-pressure Atomic Layer Deposition (ALD reactor taking into account number of cycles and process temperature. As a part of the research electrochemical studies of the layer after 28 days exposure to artificial plasma were carried out. In particular, potentiostatic, potentiodynamic and impedance studies were conducted. The obtained results were the basis for selection of surface treatment method dedicated to blood-contacting stainless steel implants.

  19. Photocatalytic activity of Al2O3-doped TiO2 thin films activated with visible light on the bacteria Escherichia coli

    International Nuclear Information System (INIS)

    Barajas-Ledesma, E.; Garcia-Benjume, M.L.; Espitia-Cabrera, I.; Bravo-Patino, A.; Espinoza-Beltran, F.J.; Mostaghimi, J.; Contreras-Garcia, M.E.

    2010-01-01

    Al 2 O 3 -doped TiO 2 thin films were prepared by combining electrophoretic deposition (EPD) with sputtering. A Corning* glass was used as a substrate, in which a titanium film was deposited by sputtering. Then, a precursor sol was prepared with Ti(n-OBu) 4 and Al(s-OBu) 3 and used as the medium for EPD. Next, the thin films were sintered and, finally, characterised by scanning electron microscopy (SEM), high resolution transmission electron microscopy (HRTEM) and X-ray diffraction (XRD). Several cultures of Escherichia coli, strain XL1-Blue, were prepared. Nine experiments were carried out. In three of them, an inoculum (a low amount of a product that contains bacteria) was prepared without a film; in the other six Al 2 O 3 -doped TiO 2 film-coated glass substrates were irradiated with visible light before they were introduced in the inoculum. The SEM and EDS results showed that TiO 2 -Al 2 O 3 films were obtained, covering all the glass substrate and with uniform size of particles forming them, and that the aluminium was distributed uniformly on the film. XRD results showed that rutile phase was obtained. By TEM, the structure of TiO 2 was demonstrated. Al 2 O 3 -doped TiO 2 thin films were successful at eliminating E. coli.

  20. Rutile TiO2 thin films grown by reactive high power impulse magnetron sputtering

    International Nuclear Information System (INIS)

    Agnarsson, B.; Magnus, F.; Tryggvason, T.K.; Ingason, A.S.; Leosson, K.; Olafsson, S.; Gudmundsson, J.T.

    2013-01-01

    Thin TiO 2 films were grown on Si(001) substrates by reactive dc magnetron sputtering (dcMS) and high power impulse magnetron sputtering (HiPIMS) at temperatures ranging from 300 to 700 °C. Optical and structural properties of films were compared both before and after post-annealing using scanning electron microscopy, low angle X-ray reflection (XRR), grazing incidence X-ray diffractometry and spectroscopic ellipsometry. Both dcMS- and HiPIMS-grown films reveal polycrystalline rutile TiO 2 , even prior to post-annealing. The HiPIMS-grown films exhibit significantly larger grains compared to that of dcMC-grown films, approaching 100% of the film thickness for films grown at 700 °C. In addition, the XRR surface roughness of HiPIMS-grown films was significantly lower than that of dcMS-grown films over the whole temperature range 300–700 °C. Dispersion curves could only be obtained for the HiPIMS-grown films, which were shown to have a refractive index in the range of 2.7–2.85 at 500 nm. The results show that thin, rutile TiO 2 films, with high refractive index, can be obtained by HiPIMS at relatively low growth temperatures, without post-annealing. Furthermore, these films are smoother and show better optical characteristics than their dcMS-grown counterparts. - Highlights: • We demonstrate growth of rutile TiO 2 on Si (111) by high power impulse magnetron sputtering. • The films exhibit significantly larger grains than dc magnetron sputtered films • TiO 2 films with high refractive index are obtained without post-growth annealing

  1. Modification of TiO(2) nanotube surfaces by electro-spray deposition of amoxicillin combined with PLGA for bactericidal effects at surgical implantation sites.

    Science.gov (United States)

    Lee, Jung-Hwan; Moon, Seung-Kyun; Kim, Kwang-Mahn; Kim, Kyoung-Nam

    2013-01-01

    To fabricate the antibiotic-releasing coatings on TiO(2) nanotube surfaces for wide applications of implant and bone plate in medical and dental surgery, the optimal deposition time of amoxicillin/PLGA solution simultaneously performing non-toxicity and a high bactericidal effect for preventing early implant failures was found. FE-SEM, ESD and FT-IR were used for confirming deposition of amoxicillin/PLGA on the TiO(2) surface. Also, the elution of amoxicillin/PLGA in a TiO(2) nanotube surface was measured by a UV-VIS spectrophotometer. The bactericidal effect of amoxicillin on the TiO(2) nanotube surface was evaluated by using Staphylococcus aureus (S. aureus). The cytotoxicity and cell proliferation were observed by WST assay using MC3T3-E1 osteoblast cells. The results indicated that the TiO(2) nanotube surface controlled by electro-spray deposition time with amoxicillin/PLGA solution could provide a high bactericidal effect against S. aureus by the bactericidal effect of amoxicillin, as well as good osteoblast cell proliferation at the TiO(2) nanotube surface without toxicity. This study used electro-spray deposition (ESD) methodology to obtain amoxicillin deposition in nanotube structures of TiO(2) and found the optimal deposition time of amoxicillin/PLGA solution simultaneously performing non-toxicity and a high bactericidal effect for preventing early implant failures.

  2. Thickness Dependent Optical Properties of Sol-gel based MgF2TiO2 Thin Films

    Directory of Open Access Journals (Sweden)

    Siddarth Krishnaraja Achar

    2018-04-01

    Full Text Available MgF2TiO2 thin films were prepared by cost effective solgel technique onto glass substrates and optical parameters were determined by envelope technique. Thin films were characterized by optical transmission spectroscopy in the spectral range 290 – 1000 nm. The refractive index, extinction coefficient, Optical thickness and band gap dependency on thickness were evaluated. Thickness dependency of thin films showed direct allowed transition with band gap of 3.66 to 3.73 eV.

  3. Transparent TiO2 nanowire networks via wet corrosion of Ti thin films for dye-sensitized solar cells

    Science.gov (United States)

    Shin, Eunhye; Jin, Saera; Hong, Jongin

    2017-09-01

    Transparent TiO2 nanowire networks were prepared by corrosion of Ti thin films on F-doped SnO2 glass substrates in an alkaline (potassium hydroxide: KOH) solution. The formation of the porous TiO2 nanostructures from the Ti thin films was thoroughly investigated. Dye-sensitized solar cells with a photoanode of 1.2-μm-thick nanowire networks exhibit an average optical transmittance of 40% in the visible light region and a power conversion efficiency of 1.0% under one sun illumination.

  4. Effect of iron doping on structural and optical properties of TiO2 thin film by sol–gel routed spin coating technique

    Directory of Open Access Journals (Sweden)

    Stephen Lourduraj

    2017-08-01

    Full Text Available Thin films of iron (Fe-doped titanium dioxide (Fe:TiO2 were prepared by sol–gel spin coating technique and further calcined at 450∘C. The structural and optical properties of Fe-doped TiO2 thin films were investigated by X-ray diffraction (XRD, scanning electron microscopy (SEM, ultraviolet–visible spectroscopy (UV–vis and atomic force microscopic (AFM techniques. The XRD results confirm the nanostructured TiO2 thin films having crystalline nature with anatase phase. The characterization results show that the calcined thin films having high crystallinity and the effect of iron substitution lead to decreased crystallinity. The SEM investigations of Fe-doped TiO2 films also gave evidence that the films were continuous spherical shaped particles with a nanometric range of grain size and film was porous in nature. AFM analysis establishes that the uniformity of the TiO2 thin film with average roughness values. The optical measurements show that the films having high transparency in the visible region and the optical band gap energy of Fe-doped TiO2 film with iron (Fe decrease with increase in iron content. These important requirements for the Fe:TiO2 films are to be used as window layers in solar cells.

  5. Optical, Electrical, and Crystal Properties of TiO2 Thin Films Grown by Atomic Layer Deposition on Silicon and Glass Substrates

    Science.gov (United States)

    Kupa, I.; Unal, Y.; Cetin, S. S.; Durna, L.; Topalli, K.; Okyay, A. K.; Ates, H.

    2018-05-01

    TiO2 thin films have been deposited on glass and Si(100) by atomic layer deposition (ALD) technique using tetrakis(diethylamido)titanium(IV) and water vapor as reactants. Thorough investigation of the properties of the TiO2/glass and TiO2/Si thin films was carried out, varying the deposition temperature in the range from 100°C to 250°C while keeping the number of reaction cycles fixed at 1000. Physical and material property analyses were performed to investigate optical and electrical properties, composition, structure, and morphology. TiO2 films grown by ALD may represent promising materials for future applications in optoelectronic devices.

  6. Osseointegration of Implants Surface-Treated with Various Diameters of TiO2 Nano tubes in Rabbit

    International Nuclear Information System (INIS)

    Kang, Ch.G.; Park, Y.B.; Choi, H.; Lee, K.W.; Shim, J.S.; Oh, S.; Choi, S.H.

    2014-01-01

    The aim of this study was to evaluate the osseointegration of implants which were surface-treated with various diameters of TiO 2 nano tubes (30 nm, 70 nm, and 100 nm) in rabbit. Resorbable blast media (RBM) surfaced implants (Osstem, Busan, Korea) 3.5 mm in diameter and 8.5 mm in length were designated as the control group and the implants surface-treated with various diameters of nano tubes (30 nm, 70 nm, and 100 nm) with the same shapes were designated as the experimental groups. The implants were maintained unloaded for 4 and 12 weeks. After this period, the animals were sacrificed and micro-CT analysis, histomorphometric analysis (bone to implant contact (BIC), bone volume (BV)), and removal torque test were performed. Micro-CT analysis, histomorphometric analysis, and removal torque test results all showed the similar pattern, showing that 70 nm experimental group had the highest value at 4 weeks while 30 nm experimental group had the highest value at 12 weeks. Therefore, on the basis of the results above, it can be concluded that 30 nm and 70 nm TiO 2 nano tubes may have positive effects on osteogenesis and osseointegration depending on the healing time

  7. Influence of nanocrystalline structure and surface properties of TiO2 thin films on the viability of L929 cells

    Directory of Open Access Journals (Sweden)

    Osękowska Małgorzata

    2015-09-01

    Full Text Available In this work the physicochemical and biological properties of nanocrystalline TiO2 thin films were investigated. Thin films were prepared by magnetron sputtering method. Their properties were examined by X-ray diffraction, photoelectron spectroscopy, atomic force microscopy, optical transmission method and optical profiler. Moreover, surface wettability and scratch resistance were determined. It was found that as-deposited coatings were nanocrystalline and had TiO2-anatase structure, built from crystallites in size of 24 nm. The surface of the films was homogenous, composed of closely packed grains and hydrophilic. Due to nanocrystalline structure thin films exhibited good scratch resistance. The results were correlated to the biological activity (in vitro of thin films. Morphological changes of mouse fibroblasts (L929 cell line after contact with the surface of TiO2 films were evaluated with the use of a contrast-phase microscope, while their viability was tested by MTT colorimetric assay. The viability of cell line upon contact with the surface of nanocrystalline TiO2 film was comparable to the control sample. L929 cells had homogenous cytoplasm and were forming a confluent monofilm, while lysis and inhibition of cell growth was not observed. Moreover, the viability in contact with surface of examined films was high. This confirms non-cytotoxic effect of TiO2 film surface on mouse fibroblasts.

  8. Biocorrosion studies of TiO2 nanoparticle-coated Ti-6Al-4V implant in simulated biofluids

    International Nuclear Information System (INIS)

    Zaveri, Nikita; McEwen, Gerald D.; Karpagavalli, Ramji; Zhou Anhong

    2010-01-01

    The corrosion behaviors of the TiO 2 nanoparticles coated bioimplant Ti-6Al-4V exposed to three different simulated biofluids (SBF), namely, (1) NaCl solution, (2) Hank's solution, and (3) Cigada solution, were studied by using micro-Raman spectroscopy, electrochemical techniques, and scanning electron microscopy (SEM) with energy dispersive X-ray spectroscopy (EDS). The different electrochemical impedance spectroscopy models were applied to fit the data obtained from the implants before and after the coating of TiO 2 nanoparticles (50-100 nm). It was found that the TiO 2 nanoparticle coatings increased the thickness of the pre-existing oxide layer on the Ti-6Al-4V surface, serving to improve the bioimplant corrosion resistance.

  9. Biocorrosion studies of TiO2 nanoparticle-coated Ti-6Al-4V implant in simulated biofluids

    Science.gov (United States)

    Zaveri, Nikita; McEwen, Gerald D.; Karpagavalli, Ramji; Zhou, Anhong

    2010-06-01

    The corrosion behaviors of the TiO2 nanoparticles coated bioimplant Ti-6Al-4V exposed to three different simulated biofluids (SBF), namely, (1) NaCl solution, (2) Hank's solution, and (3) Cigada solution, were studied by using micro-Raman spectroscopy, electrochemical techniques, and scanning electron microscopy (SEM) with energy dispersive X-ray spectroscopy (EDS). The different electrochemical impedance spectroscopy models were applied to fit the data obtained from the implants before and after the coating of TiO2 nanoparticles (50-100 nm). It was found that the TiO2 nanoparticle coatings increased the thickness of the pre-existing oxide layer on the Ti-6Al-4V surface, serving to improve the bioimplant corrosion resistance.

  10. Photoelectrocatalytic Degradation of Sodium Oxalate by TiO2/Ti Thin Film Electrode

    Directory of Open Access Journals (Sweden)

    Chen-Yu Chang

    2012-01-01

    Full Text Available The photocatalytically active TiO2 thin film was deposited on the titanium substrate plate by chemical vapor deposition (CVD method, and the photoelectrocatalytic degradation of sodium oxalate was investigated by TiO2 thin film reactor prepared in this study with additional electric potential at 365 nm irradiation. The batch system was chosen in this experiment, and the controlled parameters were pH, different supporting electrolytes, applied additional potential, and different electrolyte solutions that were examined and discussed. The experimental results revealed that the additional applied potential in photocatalytic reaction could prohibit recombination of electron/hole pairs, but the photoelectrocatalytic effect was decreased when the applied electric potential was over 0.25 V. Among the electrolyte solutions added, sodium sulfate improved the photoelectrocatalytic effect most significantly. At last, the better photoelectrocatalytic degradation of sodium oxalate occurred at pH 3 when comparing the pH influence.

  11. A Humidity Sensor Based on Nb-doped Nanoporous TiO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Mansoor Anbia

    2011-11-01

    Full Text Available The humidity sensing properties of the sensor fabricated from Nb-doped nanoporous TiO2 by screen-printing on the alumina substrate with Ag-Pd interdigital electrodes have been investigated. The nanoporous thin film has been prepared by sol-gel technique. The product has been characterized by X-ray diffraction and scanning electron microscopy to analyze the structure and its morphology. It is found that the impedance of this sensor changes more than four orders of magnitude in the relative humidity (RH range of 11–95 % at 25 °C. The response and recovery time of the sensor are about 19 and 25 s, respectively, during the RH variation from 11 to 95 %. The sensor shows high humidity sensitivity, rapid response and recovery, prominent stability, good repeatability and narrow hysteresis loop. These results indicate that Nb-doped nanoporous TiO2 thin films have a great potential for humidity sensing applications in room temperature operations.

  12. ALD TiO2 thin film as dielectric for Al/p-Si Schottky diode

    Indian Academy of Sciences (India)

    Abstract. Electrical analysis of Al/p-Si Schottky diode with titanium dioxide (TiO2) thin film was performed at ..... This work was partially supported by The Management Unit of Scientific Research Project of Bozok University and Hitit. University.

  13. Laser damage properties of TiO2/Al2O3 thin films grown by atomic layer deposition

    International Nuclear Information System (INIS)

    Wei Yaowei; Liu Hao; Sheng Ouyang; Liu Zhichao; Chen Songlin; Yang Liming

    2011-01-01

    Research on thin film deposited by atomic layer deposition (ALD) for laser damage resistance is rare. In this paper, it has been used to deposit TiO 2 /Al 2 O 3 films at 110 deg. C and 280 deg. C on fused silica and BK7 substrates. Microstructure of the thin films was investigated by x-ray diffraction. The laser-induced damage threshold (LIDT) of samples was measured by a damage test system. Damage morphology was studied under a Nomarski differential interference contrast microscope and further checked under an atomic force microscope. Multilayers deposited at different temperatures were compared. The results show that the films deposited by ALD had better uniformity and transmission; in this paper, the uniformity is better than 99% over 100 mm Φ samples, and the transmission is more than 99.8% at 1064 nm. Deposition temperature affects the deposition rate and the thin film microstructure and further influences the LIDT of the thin films. As to the TiO 2 /Al 2 O 3 films, the LIDTs were 6.73±0.47 J/cm 2 and 6.5±0.46 J/cm 2 at 110 deg. C on fused silica and BK7 substrates, respectively. The LIDTs at 110 deg. C are notably better than 280 deg. C.

  14. Foldable and Cytocompatible Sol-gel TiO2 Photonics.

    Science.gov (United States)

    Li, Lan; Zhang, Ping; Wang, Wei-Ming; Lin, Hongtao; Zerdoum, Aidan B; Geiger, Sarah J; Liu, Yangchen; Xiao, Nicholas; Zou, Yi; Ogbuu, Okechukwu; Du, Qingyang; Jia, Xinqiao; Li, Jingjing; Hu, Juejun

    2015-09-07

    Integrated photonics provides a miniaturized and potentially implantable platform to manipulate and enhance the interactions between light and biological molecules or tissues in in-vitro and in-vivo settings, and is thus being increasingly adopted in a wide cross-section of biomedical applications ranging from disease diagnosis to optogenetic neuromodulation. However, the mechanical rigidity of substrates traditionally used for photonic integration is fundamentally incompatible with soft biological tissues. Cytotoxicity of materials and chemicals used in photonic device processing imposes another constraint towards these biophotonic applications. Here we present thin film TiO2 as a viable material for biocompatible and flexible integrated photonics. Amorphous TiO2 films were deposited using a low temperature (<250 °C) sol-gel process fully compatible with monolithic integration on plastic substrates. High-index-contrast flexible optical waveguides and resonators were fabricated using the sol-gel TiO2 material, and resonator quality factors up to 20,000 were measured. Following a multi-neutral-axis mechanical design, these devices exhibit remarkable mechanical flexibility, and can sustain repeated folding without compromising their optical performance. Finally, we validated the low cytotoxicity of the sol-gel TiO2 devices through in-vitro cell culture tests. These results demonstrate the potential of sol-gel TiO2 as a promising material platform for novel biophotonic devices.

  15. Thin-Film Photoluminescent Properties and the Atomistic Model of Mg2TiO4 as a Non-rare Earth Matrix Material for Red-Emitting Phosphor

    Science.gov (United States)

    Huang, Chieh-Szu; Chang, Ming-Chuan; Huang, Cheng-Liang; Lin, Shih-kang

    2016-12-01

    Thin-film electroluminescent devices are promising solid-state lighting devices. Red light-emitting phosphor is the key component to be integrated with the well-established blue light-emitting diode chips for stimulating natural sunlight. However, environmentally hazardous rare-earth (RE) dopants, e.g. Eu2+ and Ce2+, are commonly used for red-emitting phosphors. Mg2TiO4 inverse spinel has been reported as a promising matrix material for "RE-free" red light luminescent material. In this paper, Mg2TiO4 inverse spinel is investigated using both experimental and theoretical approaches. The Mg2TiO4 thin films were deposited on Si (100) substrates using either spin-coating with the sol-gel process, or radio frequency sputtering, and annealed at various temperatures ranging from 600°C to 900°C. The crystallinity, microstructures, and photoluminescent properties of the Mg2TiO4 thin films were characterized. In addition, the atomistic model of the Mg2TiO4 inverse spinel was constructed, and the electronic band structure of Mg2TiO4 was calculated based on density functional theory. Essential physical and optoelectronic properties of the Mg2TiO4 luminance material as well as its optimal thin-film processing conditions were comprehensively reported.

  16. Photocatalytic decomposition of diclofenac potassium using silver-modified TiO2 thin films

    International Nuclear Information System (INIS)

    Cavalheiro, A.A.; Bruno, J.C.; Saeki, M.J.; Valente, J.P.S.; Florentino, A.O.

    2008-01-01

    The effects of silver insertion on the TiO 2 photocatalytic activity for the degradation of diclofenac potassium were reported here. Techniques such as X-ray diffraction, scanning electron microscopy and UV-Vis spectroscopy were used to comprehend the relation between structure and properties of the silver-modified TiO 2 thin films obtained by the sol-gel method. The lattice parameters and the crystallinity of TiO 2 anatase phase were affected by inserted silver, and the film thickness increased about 4 nm for each 1 wt.% of silver inserted. The degradation of diclofenac potassium and by-products reached an efficiency of 4.6 mg C W -1 when the material was modified with silver. Although the first step of degradation involves only the photochemical process related to the loss of the chlorine and hydrogen atoms. This cyclization reaction leads to the formation of intermediate, which degradation is facilitated by the modified material

  17. Structural, morphological and local electric properties of TiO2 thin films grown by pulsed laser deposition

    International Nuclear Information System (INIS)

    Gyoergy, E; Pino, A Perez del; Sauthier, G; Figueras, A; Alsina, F; Pascual, J

    2007-01-01

    Titanium dioxide (TiO 2 ) thin films were synthesized on (1 0 0) Si substrates by reactive pulsed laser deposition (PLD) technique. A frequency quadrupled Nd : YAG (λ = 266 nm, τ FWHM ≅ 5 ns, ν = 10 Hz) laser source was used for the irradiations of metallic Ti targets. The experiments were performed in controlled oxygen atmosphere. Crystallinity, surface morphology and local electric properties of the obtained oxide thin films were investigated by x-ray diffractometry, micro-Raman spectroscopy and current sensing atomic force microscopy. An inter-relation was found between the surface morphology, the crystalline structure and the nano-scale electric properties which open the possibility of synthesizing by the PLD technique TiO 2 thin films with tunable functional properties for future applications such as photocatalysts, gas sensors or solar energy converters

  18. Cytokine induction of sol–gel-derived TiO2 and SiO2 coatings on metallic substrates after implantation to rat femur

    Directory of Open Access Journals (Sweden)

    Urbanski W

    2017-02-01

    Full Text Available Wiktor Urbanski,1 Krzysztof Marycz,2 Justyna Krzak,3 Celina Pezowicz,4 Szymon Feliks Dragan1 1Department of Orthopaedic Surgery and Traumatology, Wroclaw University Hospital, 2Electron Microscope Laboratory, Wroclaw University of Environmental and Life Sciences, 3Institute of Materials Science and Applied Mechanics, 4Division of Biomedical Engineering and Experimental Mechanics, Wroclaw University of Technology, Wroclaw, Poland Abstract: Material surface is a key determinant of host response on implanted biomaterial. Therefore, modification of the implant surface may optimize implant–tissue reactions. Inflammatory reaction is inevitable after biomaterial implantation, but prolonged inflammation may lead to adverse reactions and subsequent implant failure. Proinflammatory activities of cytokines like interleukin (IL-1, IL-6, and tumor necrosis factor-alpha (TNF-α are attractive indicators of these processes and ultimately characterize biocompatibility. The objective of the study was to evaluate local cytokine production after implantation of stainless steel 316L (SS and titanium alloy (Ti6Al4V biomaterials coated with titanium dioxide (TiO2 and silica (SiO2 coatings prepared by sol–gel method. Biomaterials were implanted into rat femur and after 12 weeks, bones were harvested. Bone–implant tissue interface was evaluated; immunohistochemical staining was performed to identify IL-6, TNF-α, and Caspase-1. Histomorphometry (AxioVision Rel. 4.6.3 software of tissue samples was performed in order to quantify the cytokine levels. Both the oxide coatings on SS and Ti6Al4V significantly reduced cytokine production. However, the lowest cytokine levels were observed in TiO2 groups. Cytokine content in uncoated groups was lower in Ti6Al4V than in SS, although coating of either metal reduced cytokine production to similar levels. Sol–gel TiO2 or SiO2 coatings reduced significantly the production of proinflammatory cytokines by local tissues

  19. Structural, morphological and optical properties of thermal annealed TiO thin films

    International Nuclear Information System (INIS)

    Zribi, M.; Kanzari, M.; Rezig, B.

    2008-01-01

    Structural, morphological and optical properties of TiO thin films grown by single source thermal evaporation method were studied. The films were annealed from 300 to 520 deg. C in air after evaporation. Qualitative film analysis was performed with X-ray diffraction, atomic force microscopy and optical transmittance and reflectance spectra. A correlation was established between the optical properties, surface roughness and growth morphology of the evaporated TiO thin films. The X-ray diffraction spectra indicated the presence of the TiO 2 phase for the annealing temperature above 400 deg. C

  20. SURFACE MODIFICATION OF SEMICONDUCTOR THIN FILM OF TiO2 ON GRAPHITE SUBSTRATE BY Cu-ELECTRODEPOSITION

    Directory of Open Access Journals (Sweden)

    Fitria Rahmawati

    2010-06-01

    Full Text Available Surface modification of graphite/TiO2 has been done by mean of Cu electrodeposition. This research aims to study the effect of Cu electrodeposition on photocatalytic enhancing of TiO2. Electrodeposition has been done using CuSO4 0,4 M as the electrolyte at controlled current. The XRD pattern of modified TiO2 thin film on graphite substrate exhibited new peaks at 2θ= 43-44o and 2θ= 50-51o that have been identified as Cu with crystal cubic system, face-centered crystal lattice and crystallite size of 26-30 nm. CTABr still remains in the material as impurities. Meanwhile, based on morphological analysis, Cu particles are dissipated in the pore of thin film. Graphite/TiO2/Cu has higher photoconversion efficiency than graphite/TiO2.   Keywords: semiconductor, graphite/TiO2, Cu electrodeposition

  1. Properties of Sn-doped TiO2 nanotubes fabricated by anodization of co-sputtered Ti–Sn thin films

    International Nuclear Information System (INIS)

    Kyeremateng, Nana Amponsah; Hornebecq, Virginie; Knauth, Philippe; Djenizian, Thierry

    2012-01-01

    Self-organized Sn-doped TiO 2 nanotubes (nts) were fabricated for the first time, by anodization of co-sputtered Ti and Sn thin films. This nanostructured material was characterized by scanning electron microscopy, energy dispersive X-ray spectroscopy, X-ray diffraction, UV–vis spectroscopy and transmission electron microscopy. Due to their remarkable properties, Sn-doped TiO 2 nts can find potential applications in Li-ion microbatteries, photovoltaics, and catalysis. Particularly, the electrochemical performance as an anode material for Li-ion microbatteries was evaluated in Li test cells. With current density of 70 μA cm −2 (1 C) and cut-off potential of 1 V, Sn-doped TiO 2 nts showed improved performance compared to simple TiO 2 nts, and differential capacity plots revealed that the material undergoes full electrochemical reaction as a Rutile-type TiO 2 .

  2. Metal-to-Insulator Transition in Anatase TiO2 Thin Films Induced by Growth Rate Modulation

    International Nuclear Information System (INIS)

    Tachikawa, Takashi

    2012-01-01

    We demonstrate control of the carrier density of single phase anatase TiO 2 thin films by nearly two orders of magnitude by modulating the growth kinetics during pulsed laser deposition, under fixed thermodynamic conditions. The resistivity and the intensity of the photoluminescence spectra of these TiO 2 samples, both of which correlate with the number of oxygen vacancies, are shown to depend strongly on the growth rate. A quantitative model is used to explain the carrier density changes.

  3. Surface Modification of Aerosol-Assisted CVD Produced TiO2 Thin Film for Dye Sensitised Solar Cell

    Directory of Open Access Journals (Sweden)

    SuPei Lim

    2014-01-01

    Full Text Available We report a simple and convenient method for the preparation of Ag/TiO2 thin films supported on indium tin oxide, which was achieved by sonochemical deposition of Ag+ on aerosol-assisted chemical vapour deposited TiO2 thin films. Posttreatment was performed on the film by immersion in HCl. The as-prepared composite film was characterised by X-ray diffraction, ultraviolet-visible absorption spectroscopy, Raman spectroscopy, and field emission scanning electron microscopy. The photoelectrochemical measurements and J-V characterisation showed approximately fivefold increase in photocurrent density generation and approximately sevenfold enhancement in dye sensitiser solar cell (DSSC conversion efficiency, which was achieved after modification of the TiO2 film with HCl posttreatment and Ag particle deposition. The improved photocurrent density of 933.30 μA/cm2, as well as DSSC power conversion efficiency of 3.63% with high stability, is an indication that the as-synthesised thin film is a potential candidate for solar energy conversion applications.

  4. The properties of metal contacts on TiO2 thin films produced by reactive magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Brus V. V.

    2010-10-01

    Full Text Available The article deals with research on volt-ampere characteristics of metal contacts (Al, Cr, In, Mo, Ti on titanium dioxide thin films and influence of annealing in vacuum on their electric properties. Volt-ampere characteristics measurements were taken by three-probe method. There was established that indium contact on TiO2 thin films possessed sharply defined ohmic properties.

  5. Uniform thin films of TiO2 nanoparticles deposited by matrix-assisted pulsed laser evaporation

    International Nuclear Information System (INIS)

    Caricato, A.P.; Manera, M.G.; Martino, M.; Rella, R.; Romano, F.; Spadavecchia, J.; Tunno, T.; Valerini, D.

    2007-01-01

    We report morphological and optical properties of a colloidal TiO 2 nanoparticle film, deposited on a quartz substrate by using the Matrix-Assisted Pulsed Laser Evaporation (MAPLE) technique. Atomic Force Microscopy demonstrated that a good uniformity of the deposition can be obtained. The presence of agglomerates with dimensions of about 1 μm in size was noticed. Form UV-vis transmission spectra, recorded in the 200-800 nm range, the optical constants and the energy gap were determined besides the film thickness. The optical constants resulted in agreement with the values reported in literature for TiO 2 nanoparticle thin films

  6. Preparation of bone-implants by coating hydroxyapatite nanoparticles on self-formed titanium dioxide thin-layers on titanium metal surfaces

    International Nuclear Information System (INIS)

    Wijesinghe, W.P.S.L.; Mantilaka, M.M.M.G.P.G.; Chathuranga Senarathna, K.G.; Herath, H.M.T.U.; Premachandra, T.N.; Ranasinghe, C.S.K.; Rajapakse, R.P.V.J.; Rajapakse, R.M.G.; Edirisinghe, Mohan; Mahalingam, S.; Bandara, I.M.C.C.D.; Singh, Sanjleena

    2016-01-01

    Preparation of hydroxyapatite coated custom-made metallic bone-implants is very important for the replacement of injured bones of the body. Furthermore, these bone-implants are more stable under the corrosive environment of the body and biocompatible than bone-implants made up of pure metals and metal alloys. Herein, we describe a novel, simple and low-cost technique to prepare biocompatible hydroxyapatite coated titanium metal (TiM) implants through growth of self-formed TiO_2 thin-layer (SFTL) on TiM via a heat treatment process. SFTL acts as a surface binder of HA nanoparticles in order to produce HA coated implants. Colloidal HA nanorods prepared by a novel surfactant-assisted synthesis method, have been coated on SFTL via atomized spray pyrolysis (ASP) technique. The corrosion behavior of the bare and surface-modified TiM (SMTiM) in a simulated body fluid (SBF) medium is also studied. The highest corrosion rate is found to be for the bare TiM plate, but the corrosion rate has been reduced with the heat-treatment of TiM due to the formation of SFTL. The lowest corrosion rate is recorded for the implant prepared by heat treatment of TiM at 700 °C. The HA-coating further assists in the passivation of the TiM in the SBF medium. Both SMTiM and HA coated SMTiM are noncytotoxic against osteoblast-like (HOS) cells and are in high-bioactivity. The overall production process of bone-implant described in this paper is in high economic value. - Highlights: • Colloidal hydroxyapatite nanorods are prepared by a novel method. • Surfaces of titanium metal plates are modified by self-forming TiO_2 thin-films. • Prostheses are prepared by coating hydroxyapatite on surface modified Ti metal. • Bioactivity and noncytotoxicity are increased with surface modifications.

  7. Low Loss Sol-Gel TiO2 Thin Films for Waveguiding Applications

    Directory of Open Access Journals (Sweden)

    Alexis Fischer

    2013-03-01

    Full Text Available TiO2 thin films were synthesized by sol-gel process: titanium tetraisopropoxide (TTIP was dissolved in isopropanol, and then hydrolyzed by adding a water/isopropanol mixture with a controlled hydrolysis ratio. The as prepared sol was deposited by “dip-coating” on a glass substrate with a controlled withdrawal speed. The obtained films were annealed at 350 and 500 °C (2 h. The morphological properties of the prepared films were analyzed by Scanning Electron Microscopy (SEM and Atomic Force Microscopy (AFM. The optical waveguiding properties of TiO2 films were investigated for both annealing temperature using m-lines spectroscopy. The refractive indices and the film thickness were determined from the measured effective indices. The results show that the synthesized planar waveguides are multimodes and demonstrate low propagation losses of 0.5 and 0.8 dB/cm for annealing temperature 350 and 500 °C, respectively.

  8. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    NARCIS (Netherlands)

    Rafieian Boroujeni, Damon; Ogieglo, Wojciech; Savenije, Tom; Lammertink, Rob G.H.

    2015-01-01

    We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to

  9. Defect annealing in Mn/Fe-implanted TiO2 (rutile)

    International Nuclear Information System (INIS)

    Gunnlaugsson, H P; Svane, A; Weyer, G; Mantovan, R; Masenda, H; Naidoo, D; Mølholt, T E; Gislason, H; Ólafsson, S; Johnston, K; Bharuth-Ram, K; Langouche, G

    2014-01-01

    A study of the annealing processes and charge state of dilute Fe in rutile TiO 2 single crystals was performed in the temperature range 143–662 K, utilizing online 57 Fe emission Mössbauer spectroscopy following low concentrations (<10 −3  at%) implantation of 57 Mn (T 1/2  = 1.5 min). Both Fe 3+ and Fe 2+ were detected throughout the temperature range. Three annealing stages were distinguished: (i) a broad annealing stage below room temperature leading to an increased Fe 3+ fraction; (ii) a sharp annealing stage at ∼330 K characterized by conversion of Fe 3+ to Fe 2+ and changes in the hyperfine parameters of Fe 2+ , attributed to the annealing of Ti vacancies in the vicinity of the probe atoms; and (iii) an annealing stage in the temperature range from 550 to 600 K, where all Fe ions are transformed to Fe 3+ , attributed to the annealing of the nearby O vacancies. The dissociation energy of Mn Ti –V O pairs was estimated to be 1.60(15) eV. Fe 2+ is found in an environment where it can probe the lattice structure through the nuclear quadrupole interaction evidencing the extreme radiation hardness of rutile TiO 2 . Fe 3+ is found in a paramagnetic state with slow spin–lattice relaxation which follows a ∼T n temperature dependence with 4.1 < n < 6.3 at T > 350 K. (paper)

  10. Polythiophene thin films electrochemically deposited on sol-gel based TiO2 for photovoltaic applications

    International Nuclear Information System (INIS)

    Valaski, R.; Yamamoto, N.A.D.; Canestraro, C.D.; Micaroni, L.; Mello, R.M.Q.; Quirino, W.G.; Legani, C.; Achete, C.A.; Roman, L.S.; Cremona, M.

    2010-01-01

    In this work, the influence of titanium dioxide (TiO 2 ) thin films on the efficiency of organic photovoltaic devices based on electrochemically synthesized polythiophene (PT) was investigated. TiO 2 films were produced by sol-gel methods with controlled thickness. The best TiO 2 annealing condition was determined through the investigation of the temperature influence on the electron charge mobility and resistivity in a range between 723 K and 923 K. The PT films were produced by chronoamperometric method in a 3-electrode cell under a controlled atmosphere. High quality PT films were produced onto 40 nm thick TiO 2 layer previously deposited onto fluorine doped tin oxide (FTO) substrate. The morphology of PT films grown on both substrates and its strong influence on the device performance and PT minimum thickness were also investigated. The maximum external quantum efficiency (IPCE) reached was 9% under monochromatic irradiation (λ = 610 nm; 1 W/m 2 ) that is three orders of magnitude higher than that presented by PT-homolayer devices with similar PT thickness. In addition, the open-circuit voltage (V oc ) was about 700 mV and the short-circuit current density (J sc ) was 0.03 A/m 2 (λ = 610 nm; 7 W/m 2 ). However, as for the PT-homolayer also the TiO 2 /PT based devices are characterized by antibatic response when illuminated through FTO. Finally, the Fill Factor (FF) of these devices is low (25%), indicating that the series resistance (R s ), which is strongly dependent of the PT thickness, is too large. This large R s value is compensated by TiO 2 /PT interface morphology and by FTO/TiO 2 and TiO 2 /PT interface phenomena producing preferential paths in which the internal electrical field is higher, improving the device efficiency.

  11. Studies of LPCVD and anodised TiO2 thin films and their photoelectrocatalytic photochemical properties for destruction of organic effluents

    International Nuclear Information System (INIS)

    Tian, F.

    2001-01-01

    TiO 2 thin films prepared by CVD and anodisation methods and their applications for the photoelectrocatalytic and photocatalytic destruction of organic effluents are described in this thesis. The theoretical background of CVD, photoelectrocatalysis (PEC) and photocatalysis (PC) is introduced in Chapter 1. This chapter also contains an intensive literature review about TiO 2 thin film preparation, mainly by CVD, and about PEC and PC destruction of organic effluents by TiO 2 thin films. The experimental methods, which include CVD reactors, PEC cells and film characterisation and chemical analysis, are described in Chapter 2. The results for TiO 2 films deposited by LPCVD on SnO 2 coated glass using either TTIP or TTB precursors in the presence of O 2 , with or without water as a reagent, are discussed in Chapter 3 for a small CVD reactor and Chapter 4 for a large reactor. The effects of precursor, water and annealing on the crystal structure of the films have been investigated and compared. It was found that phase transition temperatures for changes from amorphous to anatase and anatase to rutile with TTIP were higher than those obtained with TTB. Water also had an effect by decreasing the temperature for depositing crystalline films. The other kind of TiO 2 films prepared by anodisation of titanium mesh was studied and the results are presented in Chapter 5. PEC or PC destruction of MPA, RDX and 4-CP have been studied using TiO 2 thin film anodes in small and large PEC reactors which are described in Chapter 6 and Chapter 7, respectively. PC destruction rates of organics are found to be improved significantly with an applied potential; i.e. by a PEC process. The effects of film properties, such as film crystallinity, thickness and film type on the PEC and PC efficiencies have been investigated. It was found that the different behaviour of films in PEC processes probably was due to surface effects rather than internal electric field differences. The extent of PEC and

  12. Studies on the performance of TiO2 thin films as protective layer to chlorophyll in Ocimum tenuiflorum L from UV radiation

    International Nuclear Information System (INIS)

    Malliga, P.; Selvi, B. Karunai; Pandiarajan, J.; Prithivikumaran, N.; Neyvasagam, K.

    2015-01-01

    Thin films of TiO 2 were prepared on glass substrates using sol-gel dip coating technique. The films with 10 coatings were prepared and annealed at temperatures 350°C, 450°C and 550°C for 1 hour in muffle furnace. The annealed films were characterized by X – Ray diffraction (XRD), UV – Visible, AFM, Field Effect Scanning Electron Microscopy (FESEM) and EDAX studies. Chlorophyll has many health benefits due to its structural similarity to human blood and its good chelating ability. It has antimutagenic and anticarcinogenic properties. UV light impairs photosynthesis and reduces size, productivity, and quality in many of the crop plant species. Increased exposure of UV light reduces chlorophyll contents a, b and total content in plants. Titanium Dioxide (TiO 2 ) is a wide band gap semiconductor and efficient light harvester. TiO 2 has strong UltraViolet (UV) light absorbing capability. Here, we have studied the performance of TiO 2 thin films as a protective layer to the chlorophyll contents present in medicinal plant, tulsi (Ocimum tenuiflorum L) from UV radiation. The study reveals that crystallite size increases, transmittance decreases and chlorophyll contents increases with increase in annealing temperature. This study showed that TiO 2 thin films are good absorber of UV light and protect the chlorophyll contents a, b and total content in medicinal plants

  13. An in-situ real-time optical fiber sensor based on surface plasmon resonance for monitoring the growth of TiO2 thin films.

    Science.gov (United States)

    Tsao, Yu-Chia; Tsai, Woo-Hu; Shih, Wen-Ching; Wu, Mu-Shiang

    2013-07-23

    An optical fiber sensor based on surface plasmon resonance (SPR) is proposed for monitoring the thickness of deposited nano-thin films. A side-polished multimode SPR optical fiber sensor with an 850 nm-LD is used as the transducing element for real-time monitoring of the deposited TiO2 thin films. The SPR optical fiber sensor was installed in the TiO2 sputtering system in order to measure the thickness of the deposited sample during TiO2 deposition. The SPR response declined in real-time in relation to the growth of the thickness of the TiO2 thin film. Our results show the same trend of the SPR response in real-time and in spectra taken before and after deposition. The SPR transmitted intensity changes by approximately 18.76% corresponding to 50 nm of deposited TiO2 thin film. We have shown that optical fiber sensors utilizing SPR have the potential for real-time monitoring of the SPR technology of nanometer film thickness. The compact size of the SPR fiber sensor enables it to be positioned inside the deposition chamber, and it could thus measure the film thickness directly in real-time. This technology also has potential application for monitoring the deposition of other materials. Moreover, in-situ real-time SPR optical fiber sensor technology is in inexpensive, disposable technique that has anti-interference properties, and the potential to enable on-line monitoring and monitoring of organic coatings.

  14. Synergistic effects for the TiO2/RuO2/Pt photodissociation of water

    Energy Technology Data Exchange (ETDEWEB)

    Blondel, G; Harriman, A; Williams, D

    1983-07-01

    Compressed discs of naked TiO2 or TiO2 coated with a thin film of a noble metal (e.g. Pt) do not photodissociate water upon illumination with UV light, but small amounts of H2 are generated if the TiO2 has been reduced in a stream of H2 at 600 C. Discs prepared from mixtures of TiO2/RuO2 facilitate the UV photodissociation of water into H2 and O2 although the yields are very low. When a thin (about 9 nm) film of Pt is applied to the TiO2/RuO2 discs, the yields of H2 and O2 observed upon irradiation with UV light are improved drastically. 25 references.

  15. Combination of short-length TiO_2 nanorod arrays and compact PbS quantum-dot thin films for efficient solid-state quantum-dot-sensitized solar cells

    International Nuclear Information System (INIS)

    Zhang, Zhengguo; Shi, Chengwu; Chen, Junjun; Xiao, Guannan; Li, Long

    2017-01-01

    Graphical abstract: The TiO_2 nanorod array with the length of 600 nm, the diameter of 20 nm, the areal density of 500 μm"−"2 was successfully prepared. The compact PbS quantum-dot thin film was firstly obtained on the TiO_2 nanorod array by spin-coating-assisted successive ionic layer absorption and reaction with using 1,2-ethanedithiol. The photoelectric conversion efficiency (PCE) of the compact PbS quantum-dot thin film sensitized solar cells achieved 4.10% using spiro-OMeTAD as a hole transporting layer, while the PCE of the PbS quantum-dot sensitized solar cells was only 0.54%. - Highlights: • Preparation of TiO_2 nanorod arrays with the length of 600 nm, diameter of 20 nm. • The compact PbS QD thin film and short-length TiO_2 nanorod array were combined. • EDT addition improved PbS nanoparticle coverage and photovoltaic performance. • The compact PbS QD thin film sensitized solar cell achieved the PCE of 4.10%. - Abstract: Considering the balance of the hole diffusion length and the loading quantity of quantum-dots, the rutile TiO_2 nanorod array with the length of 600 nm, the diameter of 20 nm, and the areal density of 500 μm"−"2 is successfully prepared by the hydrothermal method using the aqueous grown solution of 38 mM titanium isopropoxide and 6 M hydrochloric acid at 170 °C for 105 min. The compact PbS quantum-dot thin film on the TiO_2 nanorod array is firstly obtained by the spin-coating-assisted successive ionic layer absorption and reaction with using 1,2-ethanedithiol (EDT). The result reveals that the strong interaction between lead and EDT is very important to control the crystallite size of PbS quantum-dots and obtain the compact PbS quantum-dot thin film on the TiO_2 nanorod array. The all solid-state sensitized solar cell with the combination of the short-length, high-density TiO_2 nanorod array and the compact PbS quantum-dot thin film achieves the photoelectric conversion efficiency of 4.10%, along with an open

  16. The effect of aeration and solar intensity power on photocatalytic degradation of textile industrial wastewater using TiO2 thin film

    International Nuclear Information System (INIS)

    Abu Kassim, N.F.; Ku Hamid, K.H.; Azizan, A.

    2006-01-01

    Solar photo catalytic degradation of the textile industry wastewater using TiO 2 thin films was studied. This experiment was performed to investigate the effect of aeration and solar intensity power on decreasing of Chemical Oxygen Demand (COD). A serpentine flow photo catalytic reactor was developed for this purpose. TiO 2 thin films photo catalyst supported on the stainless steel 304 substrates were prepared using sol-gel dip coating method. The results of thin films were characterized by Scanning Electron Microscopy (SEM) and X-Ray Diffractometer (XRD). XRD result showed that the prepared thin films gave the anatase crystallite formation whilst SEM demonstrated the macro pores were formed. Finally, the aeration and solar intensity power factors are considered to be responsible for the photo catalytic degradation. (Author)

  17. Dye-Sensitized Solar Cells with Anatase TiO2 Nanorods Prepared by Hydrothermal Method

    Directory of Open Access Journals (Sweden)

    Ming-Jer Jeng

    2013-01-01

    Full Text Available The hydrothermal method provides an effective reaction environment for the synthesis of nanocrystalline materials with high purity and well-controlled crystallinity. In this work, we started with various sizes of commercial TiO2 powders and used the hydrothermal method to prepare TiO2 thin films. We found that the synthesized TiO2 nanorods were thin and long when smaller TiO2 particles were used, while larger TiO2 particles produced thicker and shorter nanorods. We also found that TiO2 films prepared by TiO2 nanorods exhibited larger surface roughness than those prepared by the commercial TiO2 particles. It was found that a pure anatase phase of TiO2 nanorods can be obtained from the hydrothermal method. The dye-sensitized solar cells fabricated with TiO2 nanorods exhibited a higher solar efficiency than those fabricated with commercial TiO2 nanoparticles directly. Further, triple-layer structures of TiO2 thin films with different particle sizes were investigated to improve the solar efficiency.

  18. Ultra-fine structures of Pd-Ag-HAp nanoparticle deposition on protruded TiO2 barrier layer for dental implant

    Science.gov (United States)

    Jang, Jae-Myung; Kim, Seung-Dai; Park, Tae-Eon; Choe, Han-Cheol

    2018-02-01

    The biocompatibility structure of an implant surface is of great importance to the formation of new bone tissue around the dental implant and also has a significant chemical reaction in the osseointegration process. Thus, ultra-fine Pd-Ag-HAp nanoparticles have been electrodeposited on protruded TiO2 barrier layer in mixed electrolyte solutions. Unusual protrusions patterns, which are assigned to Pd-Ag-HAp nanoparticles, can be clearly differentiated from a TiO2 nanotube oxide layer formed by an anodizing process. In the chemical bonding state, the surface characteristics of Pd/Ag/HAp compounds have been investigated by FE-SEM, EDS mapping analysis, and XPS analysis. The mapping dots of the elements including Ti, Ca, Pd, Ag, and P showed a homogeneous distribution throughout the entire surface when deposited onto the protruded TiO2 barrier layer. The XPS spectra of Ti-2p, O-1S, Pd-3d, and Ag-3d have been investigated, with the major XPS peak indicating Pd-3d. The Ag-3d level was clearly observed with further scanning of the Ca-2p region. Based on the results of the chemical states, the structural properties of the protrusion patterns were also examined after being deposited onto the barrier oxide film, resulting in the representative protrusion patterns being mainly composed of Pd-Ag-HAp compounds. The results of the soaking evaluation showed that the protrusion patterns and the protruded TiO2 barrier layer were all effective in regards to biocompatibility.

  19. Effects of LP-MOCVD prepared TiO2 thin films on the in vitro behavior of gingival fibroblasts

    International Nuclear Information System (INIS)

    Cimpean, Anisoara; Popescu, Simona; Ciofrangeanu, Cristina M.; Gleizes, Alain N.

    2011-01-01

    We report on the in vitro response of human gingival fibroblasts (HGF-1 cell line) to various thin films of titanium dioxide (TiO 2 ) deposited on titanium (Ti) substrates by low pressure metal-organic chemical vapor deposition (LP-MOCVD). The aim was to study the influence of film structural parameters on the cell behavior comparatively with a native-oxide covered titanium specimen, this objective being topical and interesting for materials applications in implantology. HGF-1 cells were cultured on three LP-MOCVD prepared thin films of TiO 2 differentiated by their thickness, roughness, transversal morphology, allotropic composition and wettability, and on a native-oxide covered Ti substrate. Besides traditional tests of cell viability and morphology, the biocompatibility of these materials was evaluated by fibronectin immunostaining, assessment of cell proliferation status and the zymographic evaluation of gelatinolytic activities specific to matrix metalloproteinases secreted by cells grown in contact with studied specimens. The analyzed surfaces proved to influence fibronectin fibril assembly, cell proliferation and capacity to degrade extracellular matrix without considerably affecting cell viability and morphology. The MOCVD of TiO 2 proved effective in positively modifying titanium surface for medical applications. Surface properties playing a crucial role for cell behavior were the wettability and, secondarily, the roughness, HGF-1 cells preferring a moderately rough and wettable TiO 2 coating.

  20. Love Wave Ultraviolet Photodetector Fabricated on a TiO2/ST-Cut Quartz Structure

    Directory of Open Access Journals (Sweden)

    Walter Water

    2014-01-01

    Full Text Available A TiO2 thin film deposited on a 90° rotated 42°45′ ST-cut quartz substrate was applied to fabricate a Love wave ultraviolet photodetector. TiO2 thin films were grown by radio frequency magnetron sputtering. The crystalline structure and surface morphology of TiO2 thin films were examined using X-ray diffraction, scanning electron microscope, and atomic force microscope. The effect of TiO2 thin film thickness on the phase velocity, electromechanical coupling coefficient, temperature coefficient of frequency, and sensitivity of ultraviolet of devices was investigated. TiO2 thin film increases the electromechanical coupling coefficient but decreases the temperature coefficient of frequency for Love wave propagation on the 90° rotated 42°45′ ST-cut quartz. For Love wave ultraviolet photodetector application, the maximum insertion loss shift and phase shift are 2.81 dB and 3.55 degree at the 1.35-μm-thick TiO2 film.

  1. Structural Modification of Sol-Gel Synthesized V2O5 and TiO2 Thin Films with/without Erbium Doping

    Directory of Open Access Journals (Sweden)

    Fatma Pınar Gökdemir

    2014-01-01

    Full Text Available Comparative work of with/without erbium- (Er- doped vanadium pentoxide (V2O5 and titanium dioxide (TiO2 thin films were carried out via sol-gel technique by dissolving erbium (III nitrate pentahydrate (Er(NO33·5H2O in vanadium (V oxoisopropoxide (OV[OCH(CH32]3 and titanium (IV isopropoxide (Ti[OCH(CH32]4. Effect of Er doping was traced by Fourier transform IR (FTIR, thermogravimetric/differential thermal (TG/DTA, and photoluminescence measurements. UV-Vis transmission/absorption measurement indicated a blue shift upon Er doping in V2O5 film due to the softening of V=O bond while appearance of typical absorption peaks in Er-doped TiO2 film. Granule size of the films increased (reduced upon Er substitution on host material compared to undoped V2O5 and TiO2 films, respectively.

  2. Synthesis of calcium-phosphorous doped TiO2 nanotubes by anodization and reverse polarization: A promising strategy for an efficient biofunctional implant surface

    International Nuclear Information System (INIS)

    Alves, Sofia A.; Patel, Sweetu B.; Sukotjo, Cortino; Mathew, Mathew T.; Filho, Paulo N.; Celis, Jean-Pierre

    2017-01-01

    Highlights: • A new surface modification methodology for bio-functionalization of TiO2 NTs is addressed • Bone-like structured TiO2 nanotubular surfaces containing Ca and P were synthesized. • Ca/P-doped TiO2 NTs enhanced adhesion and proliferation of osteoblastic-like cells. • The bio-functionalization granted improved bio-electrochemical stability to TiO2 NTs. - Abstract: The modification of surface features such as nano-morphology/topography and chemistry have been employed in the attempt to design titanium oxide surfaces able to overcome the current dental implants failures. The main goal of this study is the synthesis of bone-like structured titanium dioxide (TiO 2 ) nanotubes enriched with Calcium (Ca) and Phosphorous (P) able to enhance osteoblastic cell functions and, simultaneously, display an improved corrosion behavior. To achieve the main goal, TiO 2 nanotubes were synthetized and doped with Ca and P by means of a novel methodology which relied, firstly, on the synthesis of TiO 2 nanotubes by anodization of titanium in an organic electrolyte followed by reverse polarization and/or anodization, in an aqueous electrolyte. Results show that hydrophilic bone-like structured TiO 2 nanotubes were successfully synthesized presenting a highly ordered nano-morphology characterized by non-uniform diameters. The chemical analysis of such nanotubes confirmed the presence of CaCO 3 , Ca 3 (PO 4 ) 2 , CaHPO 4 and CaO compounds. The nanotube surfaces submitted to reverse polarization, presented an improved cell adhesion and proliferation compared to smooth titanium. Furthermore, these surfaces displayed a significantly lower passive current in artificial saliva, and so, potential to minimize their bio-degradation through corrosion processes. This study addresses a very simple and promising multidisciplinary approach bringing new insights for the development of novel methodologies to improve the outcome of osseointegrated implants.

  3. Preparation and optical properties of mesoporous TiO2 thin films by a two-step sol-gel technique

    International Nuclear Information System (INIS)

    Kartini, I.; Lu, G.Q.; Meredith, P.; Zhao, X.S.

    2002-01-01

    This paper concerns the preparation of mesoporous titania nanopowders and thin films for use in next generation photoelectrochemical solar cells. We have recently developed a novel method for preparing mesoporous TiO 2 powders using a Two-Step Sol-gel method (TSS). These materials have crystalline domains characteristic of anatase. The first step of the process involves the hydrolysis of titanium isopropoxide in a basic aqueous solution mediated by neutral surfactant. The solid product resulting from Step-1 is then treated in acidified ethanol solution containing a titanium precursor to yield anatase TiO 2 . The resultant powder exhibits a high surface area and large pore volume with uniform mesopores. Slurries made from the resultant powder of Steps 1 and 2 have been used to produce thin titania films on glass slides. The optical and structural properties of these films have been compared to the films made of a commercial titania (Degussa P25, BASF). We will discuss these properties with respect to the possible use of such mesoporous titania films as the wide band gap semiconductor in dye-sensitized nanocrystalline TiO 2 solar cells

  4. Antibacterial TiO2Coating Incorporating Silver Nanoparticles by Micro arc Oxidation and Ion Implantation

    International Nuclear Information System (INIS)

    Zhang, P.; Zhang, Z.; Li, W.

    2013-01-01

    Infection associated with titanium implants remains the most common serious complication in hard tissue replacement surgery. Since such postoperative infections are usually difficult to cure, it is critical to find optimal strategies for preventing infections. In this study, TiO 2 coating incorporating silver (Ag) nanoparticles were fabricated on pure titanium by micro arc oxidation and ion implantation. The antibacterial activity was evaluated by exposing the specimens to Staphylococcus aureus and comparing the reaction of the pathogens to Ti-MAO-Ag with Ti-MAO controls. Ti-MAO-Ag clearly inhibited bacterial colonization more than the control specimen. The coating’s antibacterial ability was enhanced by increasing the dose of silver ion implantation, and Ti-MAO-Ag 20.0 had the best antibacterial ability. In addition, cytocompatibility was assessed by culturing cell colonies on the specimens. The cells grew well on both specimens. These findings indicate that surface modification by means of this process combining MAO and silver ion implantation is useful in providing antibacterial activity and exhibits cytocompatibility with titanium implants

  5. Influences of the iron ion (Fe3+)-doping on structural and optical properties of nanocrystalline TiO2 thin films prepared by sol-gel spin coating

    International Nuclear Information System (INIS)

    Ben Naceur, J.; Mechiakh, R.; Bousbih, F.; Chtourou, R.

    2011-01-01

    Titanium dioxide (TiO 2 ) thin films doping of various iron ion (Fe 3+ ) concentrations were deposited on silicon (Si) (100) and quartz substrates by sol-gel Spin Coating technique followed by a thermal treatment at 600 deg. C. The structure, surface morphology and optical properties, as a function of the doping, have been studied by X-ray diffractometer (XRD), Raman, ultraviolet-visible (UV-vis) and Spectroscopic Ellipsometry (SE). XRD and Raman analyzes of our thin films show that the crystalline phase of TiO 2 thin films comprised only the anatase TiO 2 , but the crystallinity decreased when the Fe 3+ content increased from 0% to 20%. During the Fe 3+ addition to 20%, the phase of TiO 2 thin film still maintained the amorphous state. The grain size calculated from XRD patterns varies from 29.3 to 22.6 nm. The complex index and the optical band gap (E g ) of the films were determined by the spectroscopic ellipsometry analysis. We have found that the optical band gap decreased with an increasing Fe 3+ content.

  6. Quantum-dot light-emitting diodes utilizing CdSe /ZnS nanocrystals embedded in TiO2 thin film

    Science.gov (United States)

    Kang, Seung-Hee; Kumar, Ch. Kiran; Lee, Zonghoon; Kim, Kyung-Hyun; Huh, Chul; Kim, Eui-Tae

    2008-11-01

    Quantum-dot (QD) light-emitting diodes (LEDs) are demonstrated on Si wafers by embedding core-shell CdSe /ZnS nanocrystals in TiO2 thin films via plasma-enhanced metallorganic chemical vapor deposition. The n-TiO2/QDs /p-Si LED devices show typical p-n diode current-voltage and efficient electroluminescence characteristics, which are critically affected by the removal of QD surface ligands. The TiO2/QDs /Si system we presented can offer promising Si-based optoelectronic and electronic device applications utilizing numerous nanocrystals synthesized by colloidal solution chemistry.

  7. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    OpenAIRE

    Rafieian Boroujeni, Damon; Ogieglo, Wojciech; Savenije, Tom; Lammertink, Rob G.H.

    2015-01-01

    We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx

  8. TiO2 thin film growth using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M.I.B.

    2001-01-01

    Full Text Available Titanium oxide (TiO2 thin films were obtained using the MOCVD method. In this report we discuss the properties of a film, produced using a ordinary deposition apparatus, as a function of the deposition time, with constant deposition temperature (90 °C, oxygen flow (7,0 L/min and substrate temperature (400 °C. The films were characterized by X-ray diffraction (XRD, scanning electron microscopy (SEM, atomic force microscopy (AFM and visible and ultra-violet region spectroscopy (UV-Vis. The films deposited on Si (100 substrates showed the anatase polycrystalline phase, while the films grown on glass substrates showed no crystallinity. Film thickness increased with deposition time as expected, while the transmittance varied from 72 to 91% and the refractive index remained close to 2.6.

  9. Growth and characterization of nitrogen-doped TiO2 thin films prepared by reactive pulsed laser deposition

    International Nuclear Information System (INIS)

    Sauthier, G.; Ferrer, F.J.; Figueras, A.; Gyoergy, E.

    2010-01-01

    Nitrogen-doped titanium dioxide (TiO 2 ) thin films were grown on (001) SiO 2 substrates by reactive pulsed laser deposition. A KrF* excimer laser source (λ = 248 nm, τ FWHM ≅ 10 ns, ν = 10 Hz) was used for the irradiations of pressed powder targets composed by both anatase and rutile phase TiO 2 . The experiments were performed in a controlled reactive atmosphere consisting of oxygen or mixtures of oxygen and nitrogen gases. The obtained thin film crystal structure was investigated by X-ray diffraction, while their chemical composition as well as chemical bonding states between the elements were studied by X-ray photoelectron spectroscopy. An interrelation was found between nitrogen concentration, crystalline structure, bonding states between the elements, and the formation of titanium oxinitride compounds. Moreover, as a result of the nitrogen incorporation in the films a continuous red-shift of the optical absorption edge accompanied by absorption in the visible spectral range between 400 and 500 nm wavelength was observed.

  10. Surface characterization of poly(methylmethacrylate) based nanocomposite thin films containing Al2O3 and TiO2 nanoparticles

    International Nuclear Information System (INIS)

    Lewis, S.; Haynes, V.; Wheeler-Jones, R.; Sly, J.; Perks, R.M.; Piccirillo, L.

    2010-01-01

    Poly(methylmethacrylate) (PMMA) based nanocomposite electron beam resists have been demonstrated by spin coating techniques. When TiO 2 and Al 2 O 3 nanoparticles were directly dispersed into the PMMA polymer matrix, the resulting nanocomposites produced poor quality films with surface roughnesses of 322 and 402 nm respectively. To improve the surface of the resists, the oxide nanoparticles were encapsulated in toluene and methanol. Using the zeta potential parameter, it was found that the stabilities of the toluene/oxide nanoparticle suspensions were 7.7 mV and 19.4 mV respectively, meaning that the suspension was not stable. However, when the TiO 2 and Al 2 O 3 nanoparticles were encapsulated in methanol the zeta potential parameter was 31.9 mV and 39.2 mV respectively. Therefore, the nanoparticle suspension was stable. This method improved the surface roughness of PMMA based nanocomposite thin films by a factor of 6.6 and 6.4, when TiO 2 and Al 2 O 3 were suspended in methanol before being dispersed into the PMMA polymer.

  11. Low-temperature atomic layer deposition of TiO2 thin layers for the processing of memristive devices

    International Nuclear Information System (INIS)

    Porro, Samuele; Conti, Daniele; Guastella, Salvatore; Ricciardi, Carlo; Jasmin, Alladin; Pirri, Candido F.; Bejtka, Katarzyna; Perrone, Denis; Chiolerio, Alessandro

    2016-01-01

    Atomic layer deposition (ALD) represents one of the most fundamental techniques capable of satisfying the strict technological requirements imposed by the rapidly evolving electronic components industry. The actual scaling trend is rapidly leading to the fabrication of nanoscaled devices able to overcome limits of the present microelectronic technology, of which the memristor is one of the principal candidates. Since their development in 2008, TiO 2 thin film memristors have been identified as the future technology for resistive random access memories because of their numerous advantages in producing dense, low power-consuming, three-dimensional memory stacks. The typical features of ALD, such as self-limiting and conformal deposition without line-of-sight requirements, are strong assets for fabricating these nanosized devices. This work focuses on the realization of memristors based on low-temperature ALD TiO 2 thin films. In this process, the oxide layer was directly grown on a polymeric photoresist, thus simplifying the fabrication procedure with a direct liftoff patterning instead of a complex dry etching process. The TiO 2 thin films deposited in a temperature range of 120–230 °C were characterized via Raman spectroscopy and x-ray photoelectron spectroscopy, and electrical current–voltage measurements taken in voltage sweep mode were employed to confirm the existence of resistive switching behaviors typical of memristors. These measurements showed that these low-temperature devices exhibit an ON/OFF ratio comparable to that of a high-temperature memristor, thus exhibiting similar performances with respect to memory applications

  12. Optimization of time on CF_4/O_2 etchant for inductive couple plasma reactive ion etching of TiO_2 thin film

    International Nuclear Information System (INIS)

    Adzhri, R.; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M.; Arshad, M. K. Md.; Hashim, U.; Ayub, R. M.

    2016-01-01

    In this work, we investigate the optimum etching of titanium dioxide (TiO_2) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF_4/O_2 gases as plasma etchant with ratio of 3:1, three samples of TiO_2 thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF_4 gases with plasma enhancement by O_2 gas able to break the oxide bond of TiO_2 and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  13. Contribution of thickness dependent void fraction and TiSixOy interlayer to the optical properties of amorphous TiO2 thin films

    International Nuclear Information System (INIS)

    Zhang, Fan; Zhang, Rong-Jun; Zheng, Yu-Xiang; Xu, Zi-Jie; Zhang, Dong-Xu; Wang, Zi-Yi; Yu, Xiang; Chen, Liang-Yao

    2013-01-01

    The optical properties of TiO 2 thin films prepared by electron beam evaporation were studied by spectroscopic ellipsometry and analyzed quantitatively using effective medium approximation theory and an effective series capacitance model. The refractive indices of TiO 2 are essentially constant and approach to those of bulk TiO 2 for films thicker than 40 nm, but drop sharply with a decrease in thickness from 40 to 5.5 nm. This phenomenon can be interpreted quantitatively by the thickness dependence of the void fraction and interfacial oxide region. The optical band gaps calculated from Tauc law increase with an increase of film thickness, and can be attributed to the contribution of disorder effect. - Highlights: • Amorphous TiO 2 thin films fabricated on Si substrate by electron beam evaporation • The refractive index and band gap are obtained from spectroscopic ellipsometry. • The refractive index decreases with decreasing film thickness. • Effective medium approximation theory and effective series capacitance model introduced • A band gap increases gradually with an increase in film thickness

  14. A Micro Oxygen Sensor Based on a Nano Sol-Gel TiO2 Thin Film

    Directory of Open Access Journals (Sweden)

    Hairong Wang

    2014-09-01

    Full Text Available An oxygen gas microsensor based on nanostructured sol-gel TiO2 thin films with a buried Pd layer was developed on a silicon substrate. The nanostructured titania thin films for O2 sensors were prepared by the sol-gel process and became anatase after heat treatment. A sandwich TiO2 square board with an area of 350 μm × 350 μm was defined by both wet etching and dry etching processes and the wet one was applied in the final process due to its advantages of easy control for the final structure. A pair of 150 nm Pt micro interdigitated electrodes with 50 nm Ti buffer layer was fabricated on the board by a lift-off process. The sensor chip was tested in a furnace with changing the O2 concentration from 1.0% to 20% by monitoring its electrical resistance. Results showed that after several testing cycles the sensor’s output becomes stable, and its sensitivity is 0.054 with deviation 2.65 × 10−4 and hysteresis is 8.5%. Due to its simple fabrication process, the sensor has potential for application in environmental monitoring, where lower power consumption and small size are required.

  15. Instability of Hydrogenated TiO2

    Energy Technology Data Exchange (ETDEWEB)

    Nandasiri, Manjula I.; Shutthanandan, V.; Manandhar, Sandeep; Schwarz, Ashleigh M.; Oxenford, Lucas S.; Kennedy, John V.; Thevuthasan, Suntharampillai; Henderson, Michael A.

    2015-11-06

    Hydrogenated TiO2 (H-TiO2) is toted as a viable visible light photocatalyst. We report a systematic study on the thermal stability of H-implanted TiO2 using X-ray photoelectron spectroscopy (XPS), ultraviolet photoelectron spectroscopy (UPS), Rutherford backscattering spectrometry (RBS) and nuclear reaction analysis (NRA). Protons (40 keV) implanted at a ~2 atom % level within a ~120 nm wide profile of rutile TiO2(110) were situated ~300 nm below the surface. NRA revealed that this H-profile broadened preferentially toward the surface after annealing at 373 K, dissipated out of the crystal into vacuum at 473 K, and was absent within the beam sampling depth (~800 nm) at 523 K. Photoemission showed that the surface was reduced in concert with these changes. Similar anneals had no effect on pristine TiO2(110). The facile bulk diffusivity of H in rutile, as well as its activity toward interfacial reduction, significantly limits the utilization of H-TiO2 as a photocatalyst. This work was supported by the US Department of Energy, Office of Science, Office of Basic Energy Sciences, Division of Chemical Sciences, Geosciences & Biosciences. Pacific Northwest National Laboratory (PNNL) is a multiprogram national laboratory operated for DOE by Battelle. The research was performed using the Environmental Molecular Sciences Laboratory (EMSL), a national scientific user facility sponsored by the Department of Energy's Office of Biological and Environmental Research and located at Pacific Northwest National Laboratory.

  16. Incorporation of Kojic Acid-Azo Dyes on TiO2 Thin Films for Dye Sensitized Solar Cells Applications

    Directory of Open Access Journals (Sweden)

    Carolynne Zie Wei Sie

    2017-01-01

    Full Text Available Sensitization of heavy metal free organic dyes onto TiO2 thin films has gained much attention in dye sensitized solar cells (DSSCs. A series of new kojic acid based organic dyes KA1–4 were synthesized via nucleophilic substitution of azobenzene bearing different vinyl chains A1–4 with kojyl chloride 4. Azo dyes KA1–4 were characterized for photophysical properties employing absorption spectrometry and photovoltaic characteristic in TiO2 thin film. The presence of vinyl chain in A1–4 improved the photovoltaic performance from 0.20 to 0.60%. The introduction of kojic acid obtained from sago waste further increases the efficiency to 0.82–1.54%. Based on photovoltaic performance, KA4 achieved the highest solar to electrical energy conversion efficiency (η = 1.54% in the series.

  17. The photocatalytic application and regeneration of anatase thin films with embedded commercial TiO2 particles deposited on glass microrods

    International Nuclear Information System (INIS)

    Medina-Valtierra, Jorge; Garcia-Servin, Josafat; Frausto-Reyes, Claudio; Calixto, Sergio

    2006-01-01

    Anatase thin films ( 2 were prepared by sol-gel method. TiO 2 -anatase thin films were deposited on a fiberglass substrate and then ground to obtain glass microrods containing the composite films. The film structure was characterized using Raman spectroscopy, atomic absorption and UV-vis spectrophotometry, and atomic force microscopy. The photocatalytic activity of the composite films, calcined at 450 deg. C, and the regeneration of the activity under the same experimental conditions, were assessed using gas chromatography to study the photodegradation of phenol, an industrial pollutant, in water under 365 nm irradiation. The film with 15.0 wt.% of P25 TiO 2 was found to be more photoactive (54 ppm of degraded phenol at 6 h of illumination) than the other ones

  18. Manufacturing and investigation of surface morphology and optical properties of composite thin films reinforced by TiO2, Bi2O3 and SiO2 nanoparticles

    Science.gov (United States)

    Jarka, Paweł; Tański, Tomasz; Matysiak, Wiktor; Krzemiński, Łukasz; Hajduk, Barbara; Bilewicz, Marcin

    2017-12-01

    The aim of submitted paper is to present influence of manufacturing parameters on optical properties and surface morphology of composite materials with a polymer matrix reinforced by TiO2 and SiO2 and Bi2O3 nanoparticles. The novelty proposed by the authors is the use of TiO2 and SiO2 and Bi2O3 nanoparticles simultaneously in polymeric matrix. This allows using the combined effect of nanoparticles to a result composite material. The thin films of composite material were prepared by using spin-coating method with various spinning rates from solutions of different concentration of nanoparticles. In order to prepare the spinning solution polymer, Poly(methyl methacrylate) (PMMA) was used as a matrix. The reinforcing phase was the mixture of the nanoparticles of SiO2, TiO2 and B2O3. In order to identify the surface morphology of using thin films and arrangement of the reinforcing phase Atomic Force Microscope (AFM) and Scanning Electron Microscope (SEM) were used. In order to study the optical properties of the obtained thin films, the thin films of composites was subjected to an ellipsometry analysis. The measurements of absorbance of the obtained materials, from which the value of the band gap width was specified, were carried out using the UV/VIS spectroscopy. The optical properties of obtain composite thin films depend not only on the individual components used, but also on the morphology and the interfacial characteristics. Controlling the participation of three kinds of nanoparticles of different sizes and optical parameters allows to obtaining the most optimal optical properties of nanocomposites and also controlling the deposition parameters allows to obtaining the most optimal surface morphology of nanocomposites.

  19. Influence of surfactant and annealing temperature on optical properties of sol-gel derived nano-crystalline TiO2 thin films.

    Science.gov (United States)

    Vishwas, M; Sharma, Sudhir Kumar; Rao, K Narasimha; Mohan, S; Gowda, K V Arjuna; Chakradhar, R P S

    2010-03-01

    Titanium dioxide thin films have been synthesized by sol-gel spin coating technique on glass and silicon substrates with and without surfactant polyethylene glycol (PEG). XRD and SEM results confirm the presence of nano-crystalline (anatase) phase at an annealing temperature of 300 degrees C. The influence of surfactant and annealing temperature on optical properties of TiO(2) thin films has been studied. Optical constants and film thickness were estimated by Swanepoel's (envelope) method and by ellipsometric measurements in the visible spectral range. The optical transmittance and reflectance were found to decrease with an increase in PEG percentage. Refractive index of the films decreased and film thickness increased with the increase in percentage of surfactant. The refractive index of the un-doped TiO(2) films was estimated at different annealing temperatures and it has increased with the increasing annealing temperature. The optical band gap of pure TiO(2) films was estimated by Tauc's method at different annealing temperature. Copyright 2010 Elsevier B.V. All rights reserved.

  20. Surface nanostructuring of TiO2 thin films by ion beam irradiation

    International Nuclear Information System (INIS)

    Romero-Gomez, P.; Palmero, A.; Yubero, F.; Vinnichenko, M.; Kolitsch, A.; Gonzalez-Elipe, A.R.

    2009-01-01

    This work reports a procedure to modify the surface nanostructure of TiO 2 anatase thin films through ion beam irradiation with energies in the keV range. Irradiation with N + ions leads to the formation of a layer with voids at a depth similar to the ion-projected range. By setting the ion-projected range a few tens of nanometers below the surface of the film, well-ordered nanorods appear aligned with the angle of incidence of the ion beam. Slightly different results were obtained by using heavier (S + ) and lighter (B + ) ions under similar conditions

  1. Influence of the growth parameters on TiO2 thin films deposited using the MOCVD method

    Directory of Open Access Journals (Sweden)

    Bernardi M. I. B.

    2002-01-01

    Full Text Available In this work we report the synthesis of TiO2 thin films by the Organometallic Chemical Vapor Deposition (MOCVD method. The influence of deposition parameters used during the growth in the obtained structural characteristics was studied. Different temperatures of the organometallic bath, deposition time, temperature and type of the substrate were combined. Using Scanning Electron Microscopy associated to Electron Dispersive X-Ray Spectroscopy, Atomic Force Microscopy and X-ray Diffraction, the strong influence of these parameters in the thin films final microstructure was verified.

  2. On the optical, structural, and morphological properties of ZrO2 and TiO2 dip-coated thin films supported on glass substrates

    International Nuclear Information System (INIS)

    Cueto, Luisa F.; Sanchez, Enrique; Torres-Martinez, Leticia M.; Hirata, Gustavo A.

    2005-01-01

    This article reports the optical and morphological properties of dip-coated TiO 2 and ZrO 2 thin films on soda-lime glass substrates by metal-organic decomposition (MOD) of titanium IV and zirconium IV acetylacetonates respectively. Thermogravimetric and differential thermal analysis (DTA-TG) were performed on the precursor powders, indicating pure TiO 2 anatase and tetragonal ZrO 2 phase formation. Phase crystallization processes took place in the range of 300-500 deg. C for anatase and of 410-500 deg. C for ZrO 2 . Fourier Transform Infrared Spectroscopy (FT-IR) was used to confirm precursor bidentate ligand formation with keno-enolic equilibrium character. Deposited films were heated at different temperatures, and their structural, optical and morphological properties were studied by grazing-incidence X-ray Diffraction (GIXRD) and X-Ray Photoelectron Spectroscopy (XPS), Ultraviolet Visible Spectroscopy (UV-Vis), and Atomic Force Microscopy (AFM) respectively. Film thinning and crystalline phase formation were enhanced with increasing temperature upon chelate decomposition. The optimum annealing temperature for both pure anatase TiO 2 and tetragonal ZrO 2 thin films was found to be 500 deg. C since solid volume fraction increased with temperature and film refractive index values approached those of pure anatase and tetragonal zirconia. Conditions for clean stoichiometric film formation with an average roughness value of 2 nm are discussed in terms of material binding energies indicated by XPS analyses, refractive index and solid volume fraction obtained indirectly by UV-Vis spectra, and crystalline peak identification provided by GIXRD

  3. Fibroblast responses and antibacterial activity of Cu and Zn co-doped TiO2 for percutaneous implants

    Science.gov (United States)

    Zhang, Lan; Guo, Jiaqi; Yan, Ting; Han, Yong

    2018-03-01

    In order to enhance skin integration and antibacterial activity of Ti percutaneous implants, microporous TiO2 coatings co-doped with different doses of Cu2+ and Zn2+ were directly fabricated on Ti via micro-arc oxidation (MAO). The structures of coatings were investigated; the behaviors of fibroblasts (L-929) as well as the response of Staphylococcus aureus (S. aureus) were evaluated. During the MAO process, a large number of micro-arc discharges forming on Ti performed as penetrating channels; O2-, Ca2+, Zn2+, Cu2+ and PO43- delivered via the channels, giving rise to the formation of doped TiO2. Surface characteristics including phase component, topography, surface roughness and wettability were almost the same for different coatings, whereas, the amount of Cu doped in TiO2 decreased with the increased Zn amount. Compared with Cu single-doped TiO2 (0.77 Wt% Cu), the co-doped with appropriate amounts of Cu and Zn, for example, 0.55 Wt% Cu and 2.53 Wt% Zn, further improved proliferation of L-929, facilitated fibroblasts to switch to fibrotic phenotype, and enhanced synthesis of collagen I as well as the extracellular collagen secretion; the antibacterial properties including contact-killing and release-killing were also enhanced. By analyzing the relationship of Cu/Zn amount in TiO2 and the behaviors of L-929 and S. aureus, it can be deduced that when the doped Zn is in a low dose (<1.79 Wt%), the behaviors of L-929 and S. aureus are sensitive to the reduced amount of Cu2+, whereas, Zn2+ plays a key role in accelerating fibroblast functions and reducing S. aureus when its dose obviously increases from 2.63 to 6.47 Wt%.

  4. Structural properties and sensing performance of high-k Nd2TiO5 thin layer-based electrolyte-insulator-semiconductor for pH detection and urea biosensing.

    Science.gov (United States)

    Pan, Tung-Ming; Lin, Jian-Chi; Wu, Min-Hsien; Lai, Chao-Sung

    2009-05-15

    For high sensitive pH sensing, an electrolyte-insulator-semiconductor (EIS) device with Nd(2)TiO(5) thin layers fabricated on Si substrates by means of reactive sputtering and the subsequent post-deposition annealing (PDA) treatment was proposed. In this work, the effect of thermal annealing (600, 700, 800, and 900 degrees C) on the structural characteristics of Nd(2)TiO(5) thin layer was investigated by X-ray diffraction, X-ray photoelectron spectroscopy, and atomic force microscopy. The observed structural properties were then correlated with the resulting pH sensing performances. For enzymatic field-effect-transistors-based urea biosensing, a hybrid configuration of the proposed Nd(2)TiO(5) thin layer with urease-immobilized alginate film attached was established. Within the experimental conditions investigated, the EIS device with the Nd(2)TiO(5) thin layer annealed at 800 degrees C exhibited a higher pH detection sensitivity of 57.2 mV/pH, a lower hysteresis voltage of 2.33 mV, and a lower drift rate of 1.80 mV/h compared to those at other annealing temperatures. These results are attributed to the formation of a thinner low-k interfacial layer at the oxide/Si interface and the higher surface roughness occurred at this annealing temperature. Furthermore, the presented urea biosensor was also proved to be able to detect urea with good linearity (R(2)=0.99) and reasonable sensitivity of 9.52 mV/mM in the urea concentration range of 3-40 mM. As a whole, the present work has provided some fundamental data for the use of Nd(2)TiO(5) thin layer for EIS-based pH detection and the extended application for biosensing.

  5. Hydrogen incorporation by plasma treatment gives mesoporous black TiO 2 thin films with visible photoelectrochemical water oxidation activity

    Energy Technology Data Exchange (ETDEWEB)

    Islam, Syed Z.; Reed, Allen; Nagpure, Suraj; Wanninayake, Namal; Browning, James F.; Strzalka, Joseph; Kim, Doo Young; Rankin, Stephen E.

    2018-05-01

    In this work, we use neutron reflectometry (NR) to investigate the roles of hydrogen in plasma treated hydrogen doped mesoporous black titania thin films in their visible light absorption and enhanced photoactivity for water oxidation. The cubic ordered mesoporous TiO2 thin films are prepared by a surfactant-templated sol-gel method and are treated with hydrogen plasma, an approach hypothesized to capitalize on the high degree of disorder in the material and the high energy of the plasma species to achieve efficient hydrogen doping. UV-vis absorbance spectra indicate that H2 plasma treatment makes TiO2 films black, with broad-spectrum enhancement of visible light absorption, and XPS analysis shows peak for Ti3+ state in treated films. The presence of hydrogen in black mesoporous titania (H-TiO2) films is confirmed by the scattering length density (SLD) profiles obtained from neutron reflectometry measurements. The H-TiO2 shows ca. 28 times and 8 times higher photocurrent for photoelectrochemical water oxidation compared to undoped TiO2 films under UV (365 nm) and blue (455 nm) LED irradiation, respectively. These findings provide the first direct evidence that the dramatic change in visible light absorbance of H-treated black TiO2 is accompanied by significant hydrogen uptake and not just Ti3+ generation or surface disordering.

  6. Influence of Pore Size on the Optical and Electrical Properties of Screen Printed TiO2 Thin Films

    Directory of Open Access Journals (Sweden)

    Dinfa Luka Domtau

    2016-01-01

    Full Text Available Influence of pore size on the optical and electrical properties of TiO2 thin films was studied. TiO2 thin films with different weight percentages (wt% of carbon black were deposited by screen printing method on fluorine doped tin oxide (FTO coated on glass substrate. Carbon black decomposed on annealing and artificial pores were created in the films. All the films were 3.2 µm thick as measured by a surface profiler. UV-VIS-NIR spectrophotometer was used to study transmittance and reflectance spectra of the films in the photon wavelength of 300–900 nm while absorbance was studied in the range of 350–900 nm. Band gaps and refractive index of the films were studied using the spectra. Reflectance, absorbance, and refractive index were found to increase with concentrations of carbon black. There was no significant variation in band gaps of films with change in carbon black concentrations. Transmittance reduced as the concentration of carbon black in TiO2 increased (i.e., increase in pore size. Currents and voltages (I-V characteristics of the films were measured by a 4-point probe. Resistivity (ρ and conductivity (σ of the films were computed from the I-V values. It was observed that resistivity increased with carbon black concentrations while conductivity decreased as the pore size of the films increased.

  7. Electrospinning processed nanofibrous TiO2 membranes for photovoltaic applications

    Science.gov (United States)

    Onozuka, Katsuhiro; Ding, Bin; Tsuge, Yosuke; Naka, Takayuki; Yamazaki, Michiyo; Sugi, Shinichiro; Ohno, Shingo; Yoshikawa, Masato; Shiratori, Seimei

    2006-02-01

    We have recently fabricated dye-sensitized solar cells (DSSCs) comprising nanofibrous TiO2 membranes as electrode materials. A thin TiO2 film was pre-deposited on fluorine doped tin oxide (FTO) coated conducting glass substrate by immersion in TiF4 aqueous solution to reduce the electron back-transfer from FTO to the electrolyte. The composite polyvinyl acetate (PVac)/titania nanofibrous membranes can be deposited on the pre-deposited thin TiO2 film coated FTO by electrospinning of a mixture of PVac and titanium isopropoxide in N,N-dimethylformamide (DMF). The nanofibrous TiO2 membranes were obtained by calcining the electrospun composite nanofibres of PVac/titania as the precursor. Spectral sensitization of the nanofibrous TiO2 membranes was carried out with a ruthenium (II) complex, cis-dithiocyanate-N,N'-bis(2,2'-bipyridyl-4,4'-dicarboxylic acid) ruthenium (II) dihydrate. The results indicated that the photocurrent and conversion efficiency of electrodes can be increased with the addition of the pre-deposited TiO2 film and the adhesion treatment using DMF. Additionally, the dye loading, photocurrent, and efficiency of the electrodes were gradually increased by increasing the average thickness of the nanofibrous TiO2 membranes. The efficiency of the fibrous TiO2 photoelectrode with the average membrane thickness of 3.9 µm has a maximum value of 4.14%.

  8. Synthesis, characterization and application of Co doped TiO2 multilayer thin films

    Science.gov (United States)

    Khan, M. I.

    2018-06-01

    To use the visible portion of solar light, 2% cobalt doped TiO2 (Co: TiO2) multilayer thin films having 1, 2, 3 and 4 stacked layers have been deposited on FTO substrates using spray pyrolysis technique. XRD results show that 1 and 2 layers of films have anatase phase. Brookite phase has been appeared at the 3 and 4 layered films. The average grain size of 1, 2, 3 and 4 layers of films are 14.4, 23.5, 29.7 and 33.6 nm respectively. UV-Vis results show that 4th layer film has high absorption in the visible region. The calculated Eg of 1, 2, 3 and 4 layers is 3.54, 3.42, 3.30 and 3.03 eV respectively. The calculated average sheet resistivity of 1, 2, 3 and 4 layers of films is 7.68 × 104, 4.54 × 104, 8.85 × 103 and 7.95 × 102 (ohm-m) respectively, according to four point probe technique. Solar simulator results show that highest solar conversion efficiency (5.6%) has been obtained by using 3 stacked layers photoanode. This new structure in the form of stack layers provides a way to improve the efficiency of optoelectronic devices.

  9. Titanium dioxide (TIO2) thin film and plasma properties in RF magnetron sputtering

    International Nuclear Information System (INIS)

    Ali, Riyaz Ahmad Mohamed; Nayan, Nafarizal

    2013-01-01

    Lately, titanium dioxide (TiO 2 ) films with anatase crystalline property received numerous attentions as unique material properties. There are wide applications of TiO 2 thin film such as for photocatalytic application in solar cell. In the present study, radio frequency (RF) magnetron sputtering technique has been used to produce high dense, homogeneously controllable film layer at low deposition temperature using titanium (Ti) target. The diameter of the Ti target is 3 inch with fixed discharge power of 400W. Magnetron sputtering plasma has been produced in high purity 99.99% Argon (Ar) and 99.99% Oxygen (O 2 ) environment pressure ranging from 5 to 20 mTorr. The TiO2 were growth on silicon and glass substrates. Substrate temperature during deposition was kept constant at 400°C. The distance between target and substrate holder was maintain at 14 cm with rotation of 10 rotation-per-minutes. Our X-ray diffraction result, shows anatase crystalline successfully formed with characterization peaks of plane (101) at 2θ = 25.28°, plane (202) at 2θ = 48.05° and plane (211) at 2θ = 55.06°. In addition, it is our interest to study the plasma properties and optical spectrum of Ti, Ti+ , O- , ArM and Ar+ in the chamber during the deposition process. Result of emission line intensities, electron density and temperature from optical spectroscope and Langmuir probe will be discuss further during the workshop. This works were supported by Graduate Incentive Scheme of Universiti Tun Hussein Onn Malaysia (UTHM) and Fundamental Research Grant Scheme of Ministry of Higher Education, Malaysia. (author)

  10. Superhydrophobic ceramic coatings enabled by phase-separated nanostructured composite TiO2–Cu2O thin films

    International Nuclear Information System (INIS)

    Aytug, Tolga; Paranthaman, Parans M; Simpson, John T; Christen, David K; Bogorin, Daniela F; Mathis, John E

    2014-01-01

    By exploiting phase-separation in oxide materials, we present a simple and potentially low-cost approach to create exceptional superhydrophobicity in thin-film based coatings. By selecting the TiO 2 –Cu 2 O system and depositing through magnetron sputtering onto single crystal and metal templates, we demonstrate growth of nanostructured, chemically phase-segregated composite films. These coatings, after appropriate chemical surface modification, demonstrate a robust, non-wetting Cassie–Baxter state and yield an exceptional superhydrophobic performance, with water droplet contact angles reaching to ∼172° and sliding angles <1°. As an added benefit, despite the photo-active nature of TiO 2 , the chemically coated composite film surfaces display UV stability and retain superhydrophobic attributes even after exposure to UV (275 nm) radiation for an extended period of time. The present approach could benefit a variety of outdoor applications of superhydrophobic coatings, especially for those where exposure to extreme atmospheric conditions is required. (papers)

  11. Raman scattering and x-ray diffractometry studies of epitaxial TiO2 and VO2 thin films and multilayers on α-Al2O3(11 bar 20)

    International Nuclear Information System (INIS)

    Foster, C.M.; Chiarello, R.P.; Chang, H.L.M.; You, H.; Zhang, T.J.; Frase, H.; Parker, J.C.; Lam, D.J.

    1993-01-01

    Epitaxial thin films of TiO 2 and VO 2 single layers and TiO 2 /VO 2 multilayers were grown on (11 bar 20) sapphire (α-Al 2 O 3 ) substrates using the metalorganic chemical vapor deposition technique and were characterized using Raman scattering and four x-ray diffractometry. X-ray diffraction results indicate that the films are high quality single crystal material with well defined growth plane and small in-plane and out-of-plane mosaic. Single-layer films are shown to obey the Raman selection rules of TiO 2 and VO 2 single crystals. The close adherence to the Raman selection rules indicates the high degree of orientation of the films, both parallel and perpendicular to the growth plane. Selection rule spectra of two and three layer TiO 2 /VO 2 multilayers are dominated by the VO 2 layers with only minimal signature of the TiO 2 layers. Due to the low band gap of semiconducting vanadium dioxide, we attribute the strong signature of the VO 2 layers to resonant enhancement of the VO 2 Raman component accompanied with absorption of the both the incident and scattered laser light from the TiO 2 layers

  12. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    Science.gov (United States)

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  13. Effect of substrate type on the electrical and structural properties of TiO2 thin films deposited by reactive DC sputtering

    Science.gov (United States)

    Cheng, Xuemei; Gotoh, Kazuhiro; Nakagawa, Yoshihiko; Usami, Noritaka

    2018-06-01

    Electrical and structural properties of TiO2 thin films deposited at room temperature by reactive DC sputtering have been investigated on three different substrates: high resistivity (>1000 Ω cm) float zone Si(1 1 1), float zone Si(1 0 0) and alkali free glass. As-deposited TiO2 films on glass substrate showed extremely high resistivity of (∼5.5 × 103 Ω cm). In contrast, lower resistivities of ∼2 Ω cm and ∼5 Ω cm were obtained for films on Si(1 1 1) and Si(1 0 0), respectively. The as-deposited films were found to be oxygen-rich amorphous TiO2 for all the substrates as evidenced by X-ray photoemission spectroscopy and X-ray diffraction. Subsequent annealing led to appearance of anatase TiO2 on Si but not on glass. The surface of as-deposited TiO2 on Si was found to be rougher than that on glass. These results suggest that the big difference of electrical resistivity of TiO2 would be related with existence of more anatase nuclei forming on crystalline substrates, which is consistent with the theory of charged clusters that smaller clusters tend to adopt the substrate structure.

  14. Impact of ultra-thin Al2O3-y layers on TiO2-x ReRAM switching characteristics

    Science.gov (United States)

    Trapatseli, Maria; Cortese, Simone; Serb, Alexander; Khiat, Ali; Prodromakis, Themistoklis

    2017-05-01

    Transition metal-oxide resistive random access memory devices have demonstrated excellent performance in switching speed, versatility of switching and low-power operation. However, this technology still faces challenges like poor cycling endurance, degradation due to high electroforming (EF) switching voltages and low yields. Approaches such as engineering of the active layer by doping or addition of thin oxide buffer layers have been often adopted to tackle these problems. Here, we have followed a strategy that combines the two; we have used ultra-thin Al2O3-y buffer layers incorporated between TiO2-x thin films taking into account both 3+/4+ oxidation states of Al/Ti cations. Our devices were tested by DC and pulsed voltage sweeping and in both cases demonstrated improved switching voltages. We believe that the Al2O3-y layers act as reservoirs of oxygen vacancies which are injected during EF, facilitate a filamentary switching mechanism and provide enhanced filament stability, as shown by the cycling endurance measurements.

  15. Drug loading of nanoporous TiO2 films

    International Nuclear Information System (INIS)

    Ayon, Arturo A; Cantu, Michael; Chava, Kalpana; Agrawal, C Mauli; Feldman, Marc D; Johnson, Dave; Patel, Devang; Marton, Denes; Shi, Emily

    2006-01-01

    The loading of therapeutic amounts of drug on a nanoporous TiO 2 surface is described. This novel drug-loading scheme on a biocompatible surface, when employed on medical implants, will benefit patients who require the deployment of drug-eluting implants. Anticoagulants, analgesics and antibiotics can be considered on the associated implants for drug delivery during the time of maximal pain or risk for patients undergoing orthopedic procedures. Therefore, this scheme will maximize the chances of patient recovery. (communication)

  16. Intrinsic Photocatalytic Assessment of Reactively Sputtered TiO2 Films

    NARCIS (Netherlands)

    Rafieian Boroujeni, Damon; Driessen, Rick Theodorus; Driessen, Rick T.; Ogieglo, Wojciech; Lammertink, Rob G.H.

    2015-01-01

    Thin TiO2 films were prepared by DC magnetron reactive sputtering at different oxygen partial pressures. Depending on the oxygen partial pressure during sputtering, a transition from metallic Ti to TiO2 was identified by spectroscopic ellipsometry. The crystalline nature of the film developed during

  17. Effect of precursor concentration and film thickness deposited by layer on nanostructured TiO2 thin films

    Science.gov (United States)

    Affendi, I. H. H.; Sarah, M. S. P.; Alrokayan, Salman A. H.; Khan, Haseeb A.; Rusop, M.

    2018-05-01

    Sol-gel spin coating method is used in the production of nanostructured TiO2 thin film. The surface topology and morphology was observed using the Atomic Force Microscopy (AFM) and Field Emission Scanning Electron Microscopy (FESEM). The electrical properties were investigated by using two probe current-voltage (I-V) measurements to study the electrical resistivity behavior, hence the conductivity of the thin film. The solution concentration will be varied from 14.0 to 0.01wt% with 0.02wt% interval where the last concentration of 0.02 to 0.01wt% have 0.01wt% interval to find which concentrations have the highest conductivity then the optimized concentration's sample were chosen for the thickness parameter based on layer by layer deposition from 1 to 6 layer. Based on the result, the lowest concentration of TiO2, the surface becomes more uniform and the conductivity will increase. As the result, sample of 0.01wt% concentration have conductivity value of 1.77E-10 S/m and will be advanced in thickness parameter. Whereas in thickness parameter, the 3layer deposition were chosen as its conductivity is the highest at 3.9098E9 S/m.

  18. EGFET pH Sensor Performance Dependence on Sputtered TiO2 Sensing Membrane Deposition Temperature

    Directory of Open Access Journals (Sweden)

    Khairul Aimi Yusof

    2016-01-01

    Full Text Available Titanium dioxide (TiO2 thin films were sputtered by radio frequency (RF magnetron sputtering method and have been employed as the sensing membrane of an extended gate field effect transistor (EGFET for pH sensing detection application. The TiO2 thin films were deposited onto indium tin oxide (ITO coated glass substrates at room temperature and 200°C, respectively. The effect of deposition temperature on thin film properties and pH detection application was analyzed. The TiO2 samples used as the sensing membrane for EGFET pH-sensor and the current-voltage (I-V, hysteresis, and drift characteristics were examined. The sensitivity of TiO2 EGFET sensing membrane was obtained from the transfer characteristic (I-V curves for different substrate heating temperatures. TiO2 thin film sputtered at room temperature achieved higher sensitivity of 59.89 mV/pH compared to the one deposited at 200°C indicating lower sensitivity of 37.60 mV/pH. Moreover the hysteresis and the drift of TiO2 thin film deposited at room temperature showed lower values compared to the one at 200°C. We have also tested the effect of operating temperature on the performance of the EGFET pH-sensing and found that the temperature effect was very minimal.

  19. Study the target effect on the structural, surface and optical properties of TiO2 thin film fabricated by RF sputtering method

    Science.gov (United States)

    Vyas, Sumit; Tiwary, Rohit; Shubham, Kumar; Chakrabarti, P.

    2015-04-01

    The effect of target (Ti metal target and TiO2 target) on Titanium Dioxide (TiO2) thin films grown on ITO coated glass substrate by RF magnetron sputtering has been investigated. A comparative study of both the films was done in respect of crystalline structure, surface morphology and optical properties by using X-ray diffractometer (XRD), Atomic Force Microscopy (AFM) studies and ellipsometric measurements. The XRD results confirmed the crystalline structure and indicated that the deposited films have the intensities of anatase phase. The surface morphology and roughness values indicated that the film using Ti metal target has a smoother surface and densely packed with grains as compared to films obtained using TiO2 target. A high transmission in the visible region, and direct band gap of 3.67 eV and 3.75 eV for films derived by using Ti metal and TiO2 target respectively and indirect bandgap of 3.39 eV for the films derived from both the targets (Ti metal and TiO2 target) were observed by the ellipsometric measurements.

  20. Ambiguous Role of Growth-Induced Defects on the Semiconductor-to-Metal Characteristics in Epitaxial VO2/TiO2 Thin Films.

    Science.gov (United States)

    Mihailescu, Cristian N; Symeou, Elli; Svoukis, Efthymios; Negrea, Raluca F; Ghica, Corneliu; Teodorescu, Valentin; Tanase, Liviu C; Negrila, Catalin; Giapintzakis, John

    2018-04-25

    Controlling the semiconductor-to-metal transition temperature in epitaxial VO 2 thin films remains an unresolved question both at the fundamental as well as the application level. Within the scope of this work, the effects of growth temperature on the structure, chemical composition, interface coherency and electrical characteristics of rutile VO 2 epitaxial thin films grown on TiO 2 substrates are investigated. It is hereby deduced that the transition temperature is lower than the bulk value of 340 K. However, it is found to approach this value as a function of increased growth temperature even though it is accompanied by a contraction along the V 4+ -V 4+ bond direction, the crystallographic c-axis lattice parameter. Additionally, it is demonstrated that films grown at low substrate temperatures exhibit a relaxed state and a strongly reduced transition temperature. It is suggested that, besides thermal and epitaxial strain, growth-induced defects may strongly affect the electronic phase transition. The results of this work reveal the difficulty in extracting the intrinsic material response to strain, when the exact contribution of all strain sources cannot be effectively determined. The findings also bear implications on the limitations in obtaining the recently predicted novel semi-Dirac point phase in VO 2 /TiO 2 multilayer structures.

  1. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    NARCIS (Netherlands)

    Guo, J.; Bui, H.V.; Valdesueiro Gonzalez, D.; Yuan, Shaojun; Liang, Bin; van Ommen, J.R.

    2018-01-01

    This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2) pigment powders by extremely thin aluminum oxide (Al2O3) films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA) and H2O as precursors. The deposition was performed on

  2. Room temperature growth of nanocrystalline anatase TiO2 thin films by dc magnetron sputtering

    International Nuclear Information System (INIS)

    Singh, Preetam; Kaur, Davinder

    2010-01-01

    We report, the structural and optical properties of nanocrystalline anatase TiO 2 thin films grown on glass substrate by dc magnetron sputtering at room temperature. The influence of sputtering power and pressure over crystallinity and surface morphology of the films were investigated. It was observed that increase in sputtering power activates the TiO 2 film growth from relative lower surface free energy to higher surface free energy. XRD pattern revealed the change in preferred orientation from (1 0 1) to (0 0 4) with increase in sputtering power, which is accounted for different surface energy associated with different planes. Microstructure of the films also changes from cauliflower type to columnar type structures with increase in sputtering power. FESEM images of films grown at low pressure and low sputtering power showed typical cauliflower like structure. The optical measurement revealed the systematic variation of the optical constants with deposition parameters. The films are highly transparent with transmission higher than 90% with sharp ultraviolet cut off. The transmittance of these films was found to be influenced by the surface roughness and film thickness. The optical band gap was found to decrease with increase in the sputtering power and pressure. The refractive index of the films was found to vary in the range of 2.50-2.24 with increase in sputtering pressure or sputtering power, resulting in the possibility of producing TiO 2 films for device applications with different refractive index, by changing the deposition parameters.

  3. Elaboration and Characterization of TiO2 and Study of the Influence of The Number of Thin Films on the Methylene Blue Adsorption Rate

    Science.gov (United States)

    Madoui, Karima; Medjahed, Aicha; Hamici, Melia; Djamila, Abdi; Boudissa, Mokhtar

    2018-05-01

    Thin films of titanium oxide (TiO2) deposited on glass substrates were fabricated by using the sol-gel route. The realization of these thin layers was made using the dip-coating technique with a solution of titanium isopropoxyde as a precursor. The samples prepared with different numbers of deposited layers were annealed at 400 ° C for 2 hours. The main purposes of this work were investigations of both the effect of the number of thin TiO2 layers on the crystal structure of the anatase form first and, their ability to adsorb the solution of methylene blue in order to make colored filters from a photocatalytic process. The deposited titanium-oxide layers were characterized by using various techniques: namely, X-ray diffraction (XRD), Raman spectroscopy, atomic force microscopy (AFM) and UV-Visible spectrometry. The result obtained by using the XRD technique showed the appearance of an anatase phase, as was confirmed by using Raman spectroscopy. The AFM surface analysis allowed the surface topography to be characterized and the surface roughness to be measured, which increased with increasing number of layers. The UV-Visible spectra showed that the TiO2 films had a good transmittance varying from 65% to 95% according to the number of layers. The gap energy varied as a function of the number of deposited layers. The as deposited TiO2 layers were tested as a photocatalyst towards the adsorption of methylene blue dye. The results obtained during this study showed that the adsorption capacity varied according to the number of deposited thin layers and the exposing duration to ultraviolet (UV) light. The maximum absorption rate of the dye was obtained for the two-layer sample. Seventy-two hours of irradiation allowed the adsorption intensity of the dye to be maximized for two-layer films.

  4. Structural and electrical characteristics of ZrO2-TiO2 thin films by sol-gel method

    International Nuclear Information System (INIS)

    Hsu, Cheng-Hsing; Tseng, Ching-Fang; Lai, Chun-Hung; Tung, Hsin-Han; Lin, Shih-Yao

    2010-01-01

    In this paper, we investigated electrical properties and microstructures of ZrTiO 4 (ZrO 2 -TiO 2 ) thin films prepared by the sol-gel method on ITO substrates at different annealing temperatures. All films exhibited ZrTiO 4 (1 1 1) and (1 0 1) orientations perpendicular to the substrate surface, and the grain size increased with increase in the annealing temperature. A low leakage current density of 2.06 x 10 -6 A/cm 2 was obtained for the prepared films. Considering the primary memory switching behavior of ZrTiO 4 , ReRAM based on ZrTiO 4 shows promise for future nonvolatile memory applications.

  5. Influence of annealing on X-ray radiation sensing properties of TiO2 thin film

    Science.gov (United States)

    Sarma, M. P.; Kalita, J. M.; Wary, G.

    2018-03-01

    A recent study shows that the titanium dioxide (TiO2) thin film synthesised by a chemical bath deposition technique is a very useful material for the X-ray radiation sensor. In this work, we reported the influence of annealing on the X-ray radiation detection sensitivity of the TiO2 film. The films were annealed at 333 K, 363 K, 393 K, 473 K, and 573 K for 1 hour. Structural analyses showed that the microstrain and dislocation density decreased whereas the average crystallite size increased with annealing. The band gap of the films also decreased from 3.26 eV to 3.10 eV after annealing. The I-V characteristics record under the dark condition and under the X-ray irradiation showed that the conductivity increased with annealing. The influence of annealing on the detection sensitivity was negligible if the bias voltage applied across the films was low (within 0.2 V‒1.0 V). At higher bias voltage (>1.0 V), the contribution of electrons excited by X-ray became less significant which affected the detection sensitivity.

  6. Photocatalytic performance of Sn-doped and undoped TiO2 nanostructured thin films under UV and vis-lights

    International Nuclear Information System (INIS)

    Arpac, E.; Sayilkan, F.; Asiltuerk, M.; Tatar, P.; Kiraz, Nadir; Sayilkan, H.

    2007-01-01

    Sn-doped and undoped nano-TiO 2 particles have been synthesized by hydrotermal process without solvent at 200 deg. C in 1 h. Nanostructure-TiO 2 based thin films have been prepared on glass substrate by spin-coating technique. The structure, surface morphology and optical properties of the thin films and the particles have been investigated by element analysis and XRD, SEM, BET and UV-vis-NIR techniques. The photocatalytic performance of the films were tested for degradation of Malachite Green dye in solution under UV and vis-lights. The results showed that (a) hydrothermally synthesized nano-TiO 2 particles are fully anatase crystalline form and are easily dispersed in water, (b) the coated surfaces have nearly super-hydrophilic properties and (c) the doping of transition metal ion efficiently improved the photocatalytic performance of the TiO 2 thin film

  7. Complex damage distribution behaviour in cobalt implanted rutile TiO2 (1 1 0) lattice

    Science.gov (United States)

    Joshi, Shalik Ram; Padmanabhan, B.; Chanda, Anupama; Ojha, Sunil; Kanjilal, D.; Varma, Shikha

    2017-11-01

    The present work investigates the radiation damage, amorphization and structural modifications that are produced by ion-solid interactions in TiO2 crystals during 200 keV Cobalt ion implantation. RBS/C and GIXRD have been utilized to evaluate the damage in the host lattice as a function of ion fluence. Multiple scattering formalism has been applied to extract the depth dependent damage distributions in TiO2(1 1 0). The results have been compared with the MC simulations performed using SRIM-2013. RBS/C results delineate a buried amorphous layer at a low fluence. Surprisingly, ion induced dynamic activation produces a recovery in this damage at higher fluences. This improvement interestingly occurs only in deep regions (60-300 nm) where a systematic lowering in damage with fluence is observed. Formation of Co-Ti-O phases and generation of stress in TiO2 lattice can also be responsible for this improvement in deep regions. In contrast, surface region (0-60 nm) indicates a gradual increase in damage with fluence. Such a switch in the damage behavior creates a cross point in damage profiles at 60 nm. Surface region is a sink of vacancies whereas deep layers are interstitial rich. However, these regions are far separated from each other resulting in an intermediate (100-150 nm) region with a significant dip (valley) in damage which can be characterized by enhanced recombination of point defects. The damage profiles thus indicate a very complex behavior. MC simulations, however, present very different results. They depict a damage profile that extends to a depth of only 150 nm, which is only about half of the damage- width observed here via RBS/C. Moreover, MC simulations do not indicate presence of any valley like structure in the damage profile. The complex nature of damage distribution observed here via RBS/C may be related to the high ionic nature of the chemical bonds in the TiO2 lattice.

  8. Studies on transient characteristics of unipolar resistive switching processes in TiO2 thin film grown by atomic layer deposition

    Science.gov (United States)

    Sahu, Vikas Kumar; Das, Amit K.; Ajimsha, R. S.; Misra, P.

    2018-05-01

    The transient characteristics of resistive switching processes have been investigated in TiO2 thin films grown by atomic layer deposition (ALD) to study the temporal evolution of the switching processes and measure the switching times. The reset and set switching times of unipolar Au/TiO2/Pt devices were found to be ~250 µs and 180 ns, respectively in the voltage windows of 0.5–0.9 V for reset and 1.9–4.8 V for set switching processes, obtained from quasi-static measurements. The reset switching time decreased exponentially with increasing amplitude of applied reset voltage pulse, while the set switching time remained insensitive to the amplitude of the set voltage pulse. A fast reset process with a switching time of ~400 ns was achieved by applying a reset voltage of ~1.8 V, higher than that of the quasi-static reset voltage window but below the set voltage window. The sluggish reset process in TiO2 thin film and the dependence of the reset switching time on the amplitude of the applied voltage pulse was understood on the basis of a self-accelerated thermal dissolution model of conducting filaments (CFs), where a higher temperature of the CFs owing to enhanced Joule heating at a higher applied voltage imposes faster diffusion of oxygen vacancies, resulting in a shorter reset switching time. Our results clearly indicate that fast resistive switching with switching times in hundreds of nanoseconds can be achieved in ALD-grown TiO2 thin films. This may find applications in fast non-volatile unipolar resistive switching memories.

  9. Hydrogen effects on deep level defects in proton implanted Cu(In,Ga)Se{sub 2} based thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lee, D.W.; Seol, M.S.; Kwak, D.W.; Oh, J.S. [Department of Physics, Dongguk University, Seoul 100-715 (Korea, Republic of); Jeong, J.H. [Photo-electronic Hybrids Research Center, Korea Institute of Science and Technology, Seoul 136-791 (Korea, Republic of); Cho, H.Y., E-mail: hycho@dongguk.edu [Department of Physics, Dongguk University, Seoul 100-715 (Korea, Republic of)

    2012-08-01

    Hydrogen effects on deep level defects and a defect generation in proton implanted Cu(In,Ga)Se{sub 2} (CIGS) based thin films for solar cell were investigated. CIGS films with a thickness of 3 {mu}m were grown on a soda-lime glass substrate by a co-evaporation method, and then were implanted with protons. To study deep level defects in the proton implanted CIGS films, deep level transient spectroscopy measurements on the CIGS-based solar cells were carried out, these measurements found 6 traps (including 3 hole traps and 3 electron traps). In the proton implanted CIGS films, the deep level defects, which are attributed to the recombination centers of the CIGS solar cell, were significantly reduced in intensity, while a deep level defect was generated around 0.28 eV above the valence band maximum. Therefore, we suggest that most deep level defects in CIGS films can be controlled by hydrogen effects. - Highlights: Black-Right-Pointing-Pointer Proton implanted Cu(In,Ga)Se{sub 2} thin film and solar cell are prepared. Black-Right-Pointing-Pointer Deep level defects of Cu(In,Ga)Se{sub 2} thin film and solar cell are investigated. Black-Right-Pointing-Pointer Hydrogenation using proton implantation and H{sub 2} annealing reduces deep level defects. Black-Right-Pointing-Pointer Hydrogenation could enhance electrical properties and efficiency of solar cells.

  10. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    Science.gov (United States)

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  11. Photocatalytic performance of Sn-doped TiO2 nanostructured thin films for photocatalytic degradation of malachite green dye under UV and VIS-lights

    International Nuclear Information System (INIS)

    Sayilkan, F.; Asiltuerk, M.; Tatar, P.; Kiraz, N.; Sener, S.; Arpac, E.; Sayilkan, H.

    2008-01-01

    Sn-doped and undoped nano-TiO 2 particles have been synthesized by hydrotermal process without acid catalyst at 225 deg. C in 1 h. Nanostructure-TiO 2 based thin films, contain at different solid ratio of TiO 2 in coating, have been prepared on glass surfaces by spin-coating technique. The structure, surface morphology and optical properties of the thin films and the particles have been investigated by element analysis and XRD, BET and UV/VIS/NIR techniques. The photocatalytic performance of the films was tested for degradation of malachite green dye in solution under UV and VIS-lights. The results showed that the hydrothermally synthesized nano-TiO 2 particles are fully anatase crystalline form and are easily dispersed in water, the coated surfaces have nearly super-hydrophilic properties and, the doping of transition metal ion efficiently improved the photocatalytic performance of the TiO 2 thin film. The results also proved that malachite green is decomposed catalytically due to the pseudo first-order reaction kinetics

  12. Preparation of an orthodontic bracket coated with an nitrogen-doped TiO(2-x)N(y) thin film and examination of its antimicrobial performance.

    Science.gov (United States)

    Cao, Baocheng; Wang, Yuhua; Li, Na; Liu, Bin; Zhang, Yingjie

    2013-01-01

    A bracket coated with a nitrogen-doped (N-doped) TiO(2-x)N(y) thin film was prepared using the RF magnetron sputtering method. The physicochemical properties of the thin film were measured using X-ray diffraction and energy-dispersive X-ray spectrometry, while the antimicrobial activity of the bracket against common oral pathogenic microbes was assessed on the basis of colony counts. The rate of antimicrobial activity of the bracket coated with nano-TiO(2-x)N(y) thin film against Streptococcus mutans, Lactobacillus acidophilus, Actinomyces viscous, and Candida albicans was 95.19%, 91.00%, 69.44%, and 98.86%, respectively. Scanning electron microscopy showed that fewer microbes adhered to the surface of this newly designed bracket than to the surface of the normal edgewise bracket. The brackets coated with the N-doped TiO(2-x)N(y) thin film showed high antimicrobial and bacterial adhesive properties against normal oral pathogenic bacterial through visible light, which is effective in prevention of enamel demineralization and gingivitis in orthodontic patients.

  13. Chemically synthesized TiO2 and PANI/TiO2 thin films for ethanol sensing applications

    Science.gov (United States)

    Gawri, Isha; Ridhi, R.; Singh, K. P.; Tripathi, S. K.

    2018-02-01

    Ethanol sensing properties of chemically synthesized titanium dioxide (TiO2) and polyaniline/titanium dioxide nanocomposites (PANI/TiO2) had been performed at room temperature. In-situ oxidative polymerization process had been employed with aniline as a monomer in presence of anatase titanium dioxide nanoparticles. The prepared samples were structurally and morphologically characterized by x-ray diffraction, fourier transform infrared spectra, high resolution-transmission electron microscopy and field emission-scanning electron microscopy. The crystallinity of PANI/TiO2 nanocomposite was revealed by XRD and FTIR spectra confirmed the presence of chemical bonding between the polymer chains and metal oxide nanoparticles. HR-TEM micrographs depicted that TiO2 particles were embedded in polymer matrix, which provides an advantage over pure TiO2 nanoparticles in efficient adsorption of vapours. These images also revealed that the TiO2 nanoparticles were irregular in shape with size around 17 nm. FE-SEM studies revealed that in the porous structure of PANI/TiO2 film, the intercalation of TiO2 in PANI chains provides an advantage over pure TiO2 film for uniform interaction with ethanol vapors. The sensitivity values of prepared samples were examined towards ethanol vapours at room temperature. The PANI/TiO2 nanocomposite exhibited better sensing response and faster response-recovery examined at different ethanol concentrations ranging from 5 ppm to 20 ppm in comparison to pure TiO2 nanoparticles. The increase in vapour sensing of PANI/TiO2 sensing film as compared to pure TiO2 film had been explained in detail with the help of gas sensing mechanism of TiO2 and PANI/TiO2. This provides strong evidence that gas sensing properties of TiO2 had been considerably improved and enhanced with the addition of polymer matrix.

  14. Damage performance of TiO2/SiO2 thin film components induced by a long-pulsed laser

    International Nuclear Information System (INIS)

    Wang Bin; Dai Gang; Zhang Hongchao; Ni Xiaowu; Shen Zhonghua; Lu Jian

    2011-01-01

    In order to study the long-pulsed laser induced damage performance of optical thin films, damage experiments of TiO 2 /SiO 2 films irradiated by a laser with 1 ms pulse duration and 1064 nm wavelength are performed. In the experiments, the damage threshold of the thin films is measured. The damages are observed to occur in isolated spots, which enlighten the inducement of the defects and impurities originated in the films. The threshold goes down when the laser spot size decreases. But there exists a minimum threshold, which cannot be further reduced by decreasing the laser spot size. Optical microscopy reveals a cone-shaped cavity in the film substrate. Changes of the damaged sizes in film components with laser fluence are also investigated. The results show that the damage efficiency increases with the laser fluence before the shielding effects start to act.

  15. Optimization of charge transfer and transport processes at the CdSe quantum dots/TiO2 nanorod interface by TiO2 interlayer passivation

    International Nuclear Information System (INIS)

    Jaramillo-Quintero, O A; Rincon, M E; Triana, M A

    2017-01-01

    Surface trap states hinder charge transfer and transport properties in TiO 2 nanorods (NRs), limiting its application on optoelectronic devices. Here, we study the interfacial processes between rutile TiO 2 NR and CdSe quantum dots (QDs) using TiO 2 interlayer passivation treatments. Anatase or rutile TiO 2 thin layers were deposited on an NR surface by two wet-chemical deposition treatments. Reduced interfacial charge recombination between NRs and CdSe QDs was observed by electrochemical impedance spectroscopy with the introduction of TiO 2 thin film interlayers compared to bare TiO 2 NRs. These results can be ascribed to in-gap trap state passivation of the TiO 2 NR surface, which led to an increase in open circuit voltage. Moreover, the rutile thin layer was more efficient than anatase to promote a higher photo-excited electron transfer from CdSe QDs to TiO 2 NRs due to a large driving force for charge injection, as confirmed by surface photovoltage spectroscopy. (paper)

  16. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO2 Films Deposited by Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Rachel L. Wilson

    2018-03-01

    Full Text Available Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO2 and inferred for TiO2. In this paper, TiO2 thin films have been prepared by Atomic Layer Deposition (ALD using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes, at a temperature of 200 °C. The TiO2 films were exposed to different concentrations of CO, CH4, NO2, NH3 and SO2 to evaluate their gas sensitivities. These experiments showed that the TiO2 film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH4 and NH3 exposure indicated typical n-type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  17. Enhanced photoelectrochemical properties of F-containing TiO2 sphere thin film induced by its novel hierarchical structure

    International Nuclear Information System (INIS)

    Dong Xiang; Tao Jie; Li Yingying; Zhu Hong

    2009-01-01

    The novel nanostructured F-containing TiO 2 (F-TiO 2 ) sphere was directly synthesized on the surface of Ti foil in the solution of NH 4 F and HCl by one-step hydrothermal approach under low-temperature condition. The samples were characterized respectively by means of field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS). The results showed that the F-TiO 2 sphere was hierarchical structure, which composed of porous octahedron crystals with one truncated cone, leading to a football-like morphology. XPS results indicated that F - anions were just physically adsorbed on the surface of TiO 2 microspheres. The studies on the optical properties of the F-TiO 2 were carried out by UV-vis light absorption spectrum. The surface fluorination of the spheres, the unique nanostructure induced accessible macropores or mesopores, and the increased light-harvesting abilities were crucial for the high photoelectrochemical activity of the synthesized F-TiO 2 sphere for water-splitting. The photocurrent density of the F-TiO 2 sphere thin film was more than two times than that of the P25 thin film. Meanwhile, a formation mechanism was briefly proposed. This approach could provide a facile method to synthesize F-TiO 2 microsphere with a special morphology and hierarchical structure in large scale.

  18. Photocatalytic effects for the TiO2-coated phosphor materials

    International Nuclear Information System (INIS)

    Yoon, Jin-Ho; Jung, Sang-Chul; Kim, Jung-Sik

    2011-01-01

    Research highlights: → The photocatalytic behavior of the coupling of TiO 2 with phosphorescent materials. → The photobleaching of an MB aqueous solution under visible light irradiation. → The ALD TiO 2 -coated phosphor composite showed much higher photocatalytic reactivity. → The light emitted from the phosphors contributed to the photo-generation. - Abstract: This study investigated the photocatalytic behavior of the coupling of TiO 2 with phosphorescent materials. A TiO 2 thin film was deposited on CaAl 2 O 4 :Eu 2+ ,Nd 3+ phosphor particles by using atomic layer deposition (ALD), and its photocatalytic reaction was investigated by the photobleaching of an aqueous solution of methylene-blue (MB) under visible light irradiation. To clarify the mechanism of the TiO 2 -phosphorescent materials, two different samples of TiO 2 -coated phosphor and TiO 2 -Al 2 O 3 -coated phosphor particles were prepared. The photocatalytic mechanisms of the ALD TiO 2 -coated phosphor powders were different from those of the pure TiO 2 and TiO 2 -Al 2 O 3 -coated phosphor. The absorbance in a solution of the ALD TiO 2 -coated phosphor decreased much faster than that of pure TiO 2 under visible irradiation. In addition, the ALD TiO 2 -coated phosphor showed moderately higher photocatalytic degradation of MB solution than the TiO 2 -Al 2 O 3 -coated phosphor did. The TiO 2 -coated phosphorescent materials were characterized by transmission electron microscopy (TEM), Auger electron spectroscopy (AES) and X-ray photon spectroscopy (XPS).

  19. Enhanced Optical and Electrical Properties of TiO_2 Buffered IGZO/TiO_2 Bi-Layered Films

    International Nuclear Information System (INIS)

    Moon, Hyun-Joo; Kim, Daeil

    2016-01-01

    In and Ga doped ZnO (IGZO, 100-nm thick) thin films were deposited by radio frequency magnetron sputtering without intentional substrate heating on a bare glass substrate and a TiO_2-deposited glass substrate to determine the effect of the thickness of a thin TiO_2 buffer layer on the structural, optical, and electrical properties of the films. The thicknesses of the TiO_2 buffer layers were 5, 10 and 15 nm, respectively. As-deposited IGZO films with a 10 nm-thick TiO_2 buffer layer had an average optical transmittance of 85.0% with lower resistivity (1.83×10-2 Ω cm) than that of IGZO single layer films. The figure of merit (FOM) reached a maximum of 1.44×10-4 Ω-1 for IGZO/10 nm-thick TiO_2 bi-layered films, which is higher than the FOM of 6.85×10-5 Ω-1 for IGZO single layer films. Because a higher FOM value indicates better quality transparent conducting oxide (TCO) films, the IGZO/10 nm-thick TiO_2 bi-layered films are likely to perform better in TCO applications than IGZO single layer films.

  20. Suppressing the Photocatalytic Activity of TiO2 Nanoparticles by Extremely Thin Al2O3 Films Grown by Gas-Phase Deposition at Ambient Conditions

    Directory of Open Access Journals (Sweden)

    Jing Guo

    2018-01-01

    Full Text Available This work investigated the suppression of photocatalytic activity of titanium dioxide (TiO2 pigment powders by extremely thin aluminum oxide (Al2O3 films deposited via an atomic-layer-deposition-type process using trimethylaluminum (TMA and H2O as precursors. The deposition was performed on multiple grams of TiO2 powder at room temperature and atmospheric pressure in a fluidized bed reactor, resulting in the growth of uniform and conformal Al2O3 films with thickness control at sub-nanometer level. The as-deposited Al2O3 films exhibited excellent photocatalytic suppression ability. Accordingly, an Al2O3 layer with a thickness of 1 nm could efficiently suppress the photocatalytic activities of rutile, anatase, and P25 TiO2 nanoparticles without affecting their bulk optical properties. In addition, the influence of high-temperature annealing on the properties of the Al2O3 layers was investigated, revealing the possibility of achieving porous Al2O3 layers. Our approach demonstrated a fast, efficient, and simple route to coating Al2O3 films on TiO2 pigment powders at the multigram scale, and showed great potential for large-scale production development.

  1. Control of crystallographic texture and surface morphology of Pt/Tio2 templates for enhanced PZT thin film texture.

    Science.gov (United States)

    Fox, Austin J; Drawl, Bill; Fox, Glen R; Gibbons, Brady J; Trolier-McKinstry, Susan

    2015-01-01

    Optimized processing conditions for Pt/TiO2/SiO2/Si templating electrodes were investigated. These electrodes are used to obtain [111] textured thin film lead zirconate titanate (Pb[ZrxTi1-x ]O3 0 ≤ x ≤ 1) (PZT). Titanium deposited by dc magnetron sputtering yields [0001] texture on a thermally oxidized Si wafer. It was found that by optimizing deposition time, pressure, power, and the chamber pre-conditioning, the Ti texture could be maximized while maintaining low surface roughness. When oxidized, titanium yields [100]-oriented rutile. This seed layer has as low as a 4.6% lattice mismatch with [111] Pt; thus, it is possible to achieve strongly oriented [111] Pt. The quality of the orientation and surface roughness of the TiO2 and the Ti directly affect the achievable Pt texture and surface morphology. A transition between optimal crystallographic texture and the smoothest templating surface occurs at approximately 30 nm of original Ti thickness (45 nm TiO2). This corresponds to 0.5 nm (2 nm for TiO2) rms roughness as determined by atomic force microscopy and a full-width at half-maximum (FWHM) of the rocking curve 0002 (200) peak of 5.5/spl degrees/ (3.1/spl degrees/ for TiO2). A Pb[Zr0.52Ti 0.48]O3 layer was deposited and shown to template from the textured Pt electrode, with a maximum [111] Lotgering factor of 87% and a minimum 111 FWHM of 2.4/spl degrees/ at approximately 30 nm of original Ti.

  2. The Effect of Normal Force on Tribocorrosion Behaviour of Ti-10Zr Alloy and Porous TiO2-ZrO2 Thin Film Electrochemical Formed

    Science.gov (United States)

    Dănăilă, E.; Benea, L.

    2017-06-01

    The tribocorrosion behaviour of Ti-10Zr alloy and porous TiO2-ZrO2 thin film electrochemical formed on Ti-10Zr alloy was evaluated in Fusayama-Mayer artificial saliva solution. Tribocorrosion experiments were performed using a unidirectional pin-on-disc experimental set-up which was mechanically and electrochemically instrumented, under various solicitation conditions. The effect of applied normal force on tribocorrosion performance of the tested materials was determined. Open circuit potential (OCP) measurements performed before, during and after sliding tests were applied in order to determine the tribocorrosion degradation. The applied normal force was found to greatly affect the potential during tribocorrosion experiments, an increase in the normal force inducing a decrease in potential accelerating the depassivation of the materials studied. The results show a decrease in friction coefficient with gradually increasing the normal load. It was proved that the porous TiO2-ZrO2 thin film electrochemical formed on Ti-10Zr alloy lead to an improvement of tribocorrosion resistance compared to non-anodized Ti-10Zr alloy intended for biomedical applications.

  3. Preparation and characterization of TiO2 and Si-doped octacalcium phosphate composite coatings on zirconia ceramics (Y-TZP) for dental implant applications

    Science.gov (United States)

    Bao, Lei; Liu, Jingxiao; Shi, Fei; Jiang, Yanyan; Liu, Guishan

    2014-01-01

    In order to prevent the low temperature degradation and improve the bioactivity of zirconia ceramic implants, TiO2 and Si-doped octacalcium phosphate composite coating was prepared on zirconia substrate. The preventive effect on low temperature degradation and surface morphology of the TiO2 layer were studied. Meanwhile, the structure and property changes of the bioactive coating after doping Si were discussed. The results indicate that the dense TiO2 layer, in spite of some microcracks, inhibited the direct contact of the water vapor with the sample's surface and thus prevented the low temperature degradation of zirconia substrates. The acceleration aging test shows that the ratio of the monoclinic phase transition decreased from 10% for the original zirconia substrate to 4% for the TiO2-coated substrate. As to the Si-doped octacalcium phosphate coating prepared by biomimetic method, the main phase composition of the coating was octacalcium phosphate. The morphology of the coating was lamellar-like, and the surface was uniform and continuous with no cracks being observed. It is suggested that Si was added into the coating both through substituting for PO43- and doping as NaSiO3.

  4. Implanted ZnO thin films: Microstructure, electrical and electronic properties

    International Nuclear Information System (INIS)

    Lee, J.; Metson, J.; Evans, P.J.; Kinsey, R.; Bhattacharyya, D.

    2007-01-01

    Magnetron sputtered polycrystalline ZnO thin films were implanted using Al, Ag, Sn, Sb and codoped with TiN in order to improve the conductivity and to attempt to achieve p-type behaviour. Structural and electrical properties of the implanted ZnO thin films were examined with X-ray diffractometry (XRD), scanning electron microscopy (SEM), secondary ion mass spectrometry (SIMS), atomic force microscopy (AFM) and conductivity measurements. Depth profiles of the implanted elements varied with the implant species. Implantation causes a partial amorphisation of the crystalline structure and decreases the effective grain size of the films. One of the findings is the improvement, as a consequence of implantation, in the conductivity of initially poorly conductive samples. Heavy doping may help for the conversion of conduction type of ZnO thin films. Annealing in vacuum mitigated structural damage and stress caused by implantation, and improved the conductivity of the implanted ZnO thin films

  5. Unusual photoelectric behaviors of Mo-doped TiO2 multilayer thin films prepared by RF magnetron co-sputtering: effect of barrier tunneling on internal charge transfer

    Science.gov (United States)

    Yan, B. X.; Luo, S. Y.; Mao, X. G.; Shen, J.; Zhou, Q. F.

    2013-01-01

    Mo-doped TiO2 multilayer thin films were prepared by RF magnetron co-sputtering. Microstructures, crystallite parameters and the absorption band were investigated with atomic force microscopy, X-ray diffraction and ultraviolet-visible spectroscopy. Internal carrier transport characteristics and the photoelectric property of different layer-assemble modes were examined on an electrochemical workstation under visible light. The result indicates that the double-layer structure with an undoped surface layer demonstrated a red-shifted absorption edge and a much stronger photocurrent compared to the uniformly doped sample, signifying that the electric field implanted at the interface between particles in different layers accelerated internal charge transfer effectively. However, a heavily doped layer implanted at the bottom of the three-layer film merely brought about negative effects on the photoelectric property, mainly because of the Schottky junction existing above the substrate. Nevertheless, this obstacle was successfully eliminated by raising the Mo concentration to 1020 cm-3, where the thickness of the depletion layer fell into the order of angstroms and the tunneling coefficient manifested a dramatic increase. Under this circumstance, the Schottky junction disappeared and the strongest photocurrent was observed in the three-layer film.

  6. Hybrid micro/nano-topography of a TiO2 nanotube-coated commercial zirconia femoral knee implant promotes bone cell adhesion in vitro.

    Science.gov (United States)

    Frandsen, Christine J; Noh, Kunbae; Brammer, Karla S; Johnston, Gary; Jin, Sungho

    2013-07-01

    Various approaches have been studied to engineer the implant surface to enhance bone in-growth properties, particularly using micro- and nano-topography. In this study, the behavior of osteoblast (bone) cells was analyzed in response to a titanium oxide (TiO2) nanotube-coated commercial zirconia femoral knee implant consisting of a combined surface structure of a micro-roughened surface with the nanotube coating. The osteoblast cells demonstrated high degrees of adhesion and integration into the surface of the nanotube-coated implant material, indicating preferential cell behavior on this surface when compared to the bare implant. The results of this brief study provide sufficient evidence to encourage future studies. The development of such hierarchical micro- and nano-topographical features, as demonstrated in this work, can provide insightful designs for advanced bone-inducing material coatings on ceramic orthopedic implant surfaces. Copyright © 2013 Elsevier B.V. All rights reserved.

  7. Photocatalytic Anatase TiO2 Thin Films on Polymer Optical Fiber Using Atmospheric-Pressure Plasma.

    Science.gov (United States)

    Baba, Kamal; Bulou, Simon; Choquet, Patrick; Boscher, Nicolas D

    2017-04-19

    Due to the undeniable industrial advantages of low-temperature atmospheric-pressure plasma processes, such as low cost, low temperature, easy implementation, and in-line process capabilities, they have become the most promising next-generation candidate system for replacing thermal chemical vapor deposition or wet chemical processes for the deposition of functional coatings. In the work detailed in this article, photocatalytic anatase TiO 2 thin films were deposited at a low temperature on polymer optical fibers using an atmospheric-pressure plasma process. This method overcomes the challenge of forming crystalline transition metal oxide coatings on polymer substrates by using a dry and up-scalable method. The careful selection of the plasma source and the titanium precursor, i.e., titanium ethoxide with a short alkoxy group, allowed the deposition of well-adherent, dense, and crystalline TiO 2 coatings at low substrate temperature. Raman and XRD investigations showed that the addition of oxygen to the precursor's carrier gas resulted in a further increase of the film's crystallinity. Furthermore, the films deposited in the presence of oxygen exhibited a better photocatalytic activity toward methylene blue degradation assumedly due to their higher amount of photoactive {101} facets.

  8. Improvement of light harvesting and device performance of dye-sensitized solar cells using rod-like nanocrystal TiO2 overlay coating on TiO2 nanoparticle working electrode

    International Nuclear Information System (INIS)

    Liu, Xueyang; Fang, Jian; Gao, Mei; Wang, Hongxia; Yang, Weidong; Lin, Tong

    2015-01-01

    Novel TiO 2 single crystalline nanorods were synthesized by electrospinning and hydrothermal treatment. The role of the TiO 2 nanorods on TiO 2 nanoparticle electrode in improvement of light harvesting and photovoltaic properties of dye-sensitized solar cells (DSSCs) was examined. Although the TiO 2 nanorods had lower dye loading than TiO 2 nanoparticle, they showed higher light utilization behaviour. Electron transfer in TiO 2 nanorods received less resistance than that in TiO 2 nanoparticle aggregation. By just applying a thin layer of TiO 2 nanorods on TiO 2 nanoparticle working electrode, the DSSC device light harvesting ability and energy conversion efficiency were improved significantly. The thickness of the nanorod layer in the working electrode played an important role in determining the photovoltaic property of DSSCs. An energy conversion efficiency as high as 6.6% was found on a DSSC device with the working electrode consisting of a 12 μm think TiO 2 nanoparticle layer covered with 3 μm thick TiO 2 nanorods. The results obtained from this study may benefit further design of highly efficient DSSCs. - Highlights: • Single crystalline TiO 2 nanorods were prepared for DSSC application. • TiO 2 nanorods show effective light scattering performance. • TiO 2 nanorods have higher electron transfer efficiency than TiO 2 nanoparticles. • TiO 2 nanorods on TiO 2 nanoparticle electrode improve DSSC efficiency

  9. Pt Catalyst Supported within TiO2 Mesoporous Films for Oxygen Reduction Reaction

    International Nuclear Information System (INIS)

    Huang, Dekang; Zhang, Bingyan; Bai, Jie; Zhang, Yibo; Wittstock, Gunther; Wang, Mingkui; Shen, Yan

    2014-01-01

    In this study, dispersed Pt nanoparticles into mesoporous TiO 2 thin films are fabricated by a facile electrochemical deposition method as electro-catalysts for oxygen reduction reaction. The mesoporous TiO 2 thin films coated on the fluorine-doped tin oxide glass by screen printing allow a facile transport of reactants and products. The structural properties of the resulted Pt/TiO 2 electrode are evaluated by field emission scanning electron microscopy, energy dispersive X-ray spectrometry, X-ray diffraction, and X-ray photoelectron spectroscopy. Cyclic voltammetry measurements are performed to study the electrochemical properties of the Pt/TiO 2 electrode. Further study demonstrates the stability of the Pt catalyst supported within TiO 2 mesoporous films for the oxygen reduction reaction

  10. Preparation and solar-light photocatalytic activity of TiO2 composites: TiO2/kaolin, TiO2/diatomite, and TiO2/zeolite

    Science.gov (United States)

    Li, Y.; Li, S. G.; Wang, J.; Li, Y.; Ma, C. H.; Zhang, L.

    2014-12-01

    Three TiO2 loaded composites, TiO2/kaolin, TiO2/diatomite, and TiO2/zeolite, were prepared in order to improve the solar-light photocatalytic activity of TiO2. The results showed that the photocatalytic activity could obviously be enhanced by loading appropriate amount of inorganic mineral materials. Meanwhile, TiO2 content, heat-treatment temperature and heat-treatment time on the photocatalytic activity were reviewed. Otherwise, the effect of solar light irradiation time and dye concentration on the photocatalytic degradation of Acid Red B was investigated. Furthermore, the degradation mechanism and adsorption process were also discussed.

  11. Strong out-of-plane magnetic anisotropy in ion irradiated anatase TiO2 thin films

    Directory of Open Access Journals (Sweden)

    M. Stiller

    2016-12-01

    Full Text Available The temperature and field dependence of the magnetization of epitaxial, undoped anatase TiO2 thin films on SrTiO3 substrates was investigated. Low-energy ion irradiation was used to modify the surface of the films within a few nanometers, yet with high enough energy to produce oxygen and titanium vacancies. The as-prepared thin film shows ferromagnetism which increases after irradiation with low-energy ions. An optimal and clear magnetic anisotropy was observed after the first irradiation, opposite to the expected form anisotropy. Taking into account the experimental parameters, titanium vacancies as di-Frenkel pairs appear to be responsible for the enhanced ferromagnetism and the strong anisotropy observed in our films. The magnetic impurities concentrations was measured by particle-induced X-ray emission with ppm resolution. They are ruled out as a source of the observed ferromagnetism before and after irradiation.

  12. Semi-transparent ordered TiO_2 nanostructures prepared by anodization of titanium thin films deposited onto the FTO substrate

    International Nuclear Information System (INIS)

    Szkoda, Mariusz; Lisowska-Oleksiak, Anna; Grochowska, Katarzyna; Skowroński, Łukasz; Karczewski, Jakub; Siuzdak, Katarzyna

    2016-01-01

    Highlights: • High quality titanium coatings were doposited using industrial magnetron sputtering equipment. • Semi-transparent TiO_2 were prepared via anodization realized in various conditions. • Depending on electrolyte type, ordered tubular or porous TiO_2 layers were obtained. • Prepared material can act as semiconducting layer in photovoltaic cells. - Abstract: In a significant amount of cases, the highly ordered TiO_2 nanotube arrays grow through anodic oxidation of a titanium metal plate immersed in electrolyte containing fluoride ions. However, for some practical applications, e.g. solar cells or electrochromic windows, the semi-transparent TiO_2 formed directly on the transparent, conductive substrate is very much desired. This work shows that high-quality Ti coating could be formed at room temperature using an industrial magnetron sputtering system within 50 min. Under optimized conditions, the anodization process was performed on 2 μm titanium films deposited onto the FTO (fluorine-tin-oxide) support. Depending on the electrolyte type, highly ordered tubular or porous titania layers were obtained. The fabricated samples, after their thermal annealing, were investigated using scanning electron microscopy, Raman spectroscopy and UV–vis spectroscopy in order to investigate their morphology, crystallinity and absorbance ability. The photocurrent response curves indicate that materials are resistant to the photocorrosion process and their activity is strongly connected to optical properties. The most transparent TiO_2 films were fabricated when Ti was anodized in water electrolyte, whereas the highest photocurrent densities (12 μA cm"−"2) were registered for titania received after Ti anodization in ethylene glycol solution. The obtained results are of significant importance in the production of thin, semi-transparent titania nanostructures on a commercial scale.

  13. MOS memory structures by very-low-energy-implanted Si in thin SiO{sub 2}

    Energy Technology Data Exchange (ETDEWEB)

    Dimitrakis, P.; Kapetanakis, E.; Normand, P.; Skarlatos, D.; Tsoukalas, D.; Beltsios, K.; Claverie, A.; Benassayag, G.; Bonafos, C.; Chassaing, D.; Carrada, M.; Soncini, V

    2003-08-15

    The electrical characteristics of thin silicon dioxide layers with embedded Si nanocrystals obtained by low-energy ion beam implantation and subsequent annealing have been investigated through capacitance and current-voltage measurements of MOS capacitors. The effects of the implantation energy (range: 0.65-2 keV), annealing temperature (950-1050 deg. C) and injection oxide characteristics on charge injection and storage are reported. It is shown that the implantation energy allows for a fine control of the memory window characteristics, and various device options are possible including memory operation with charge injection at low gate voltages.

  14. Third generation biosensing matrix based on Fe-implanted ZnO thin film

    Science.gov (United States)

    Saha, Shibu; Gupta, Vinay; Sreenivas, K.; Tan, H. H.; Jagadish, C.

    2010-09-01

    Third generation biosensor based on Fe-implanted ZnO (Fe-ZnO) thin film has been demonstrated. Implantation of Fe in rf-sputtered ZnO thin film introduces redox center along with shallow donor level and thereby enhance its electron transfer property. Glucose oxidase (GOx), chosen as model enzyme, has been immobilized on the surface of the matrix. Cyclic voltammetry and photometric assay show that the prepared bioelectrode, GOx/Fe-ZnO/ITO/Glass is sensitive to the glucose concentration with enhanced response of 0.326 μA mM-1 cm-2 and low Km of 2.76 mM. The results show promising application of Fe-implanted ZnO thin film as an attractive matrix for third generation biosensing.

  15. Third generation biosensing matrix based on Fe-implanted ZnO thin film

    International Nuclear Information System (INIS)

    Saha, Shibu; Gupta, Vinay; Sreenivas, K.; Tan, H. H.; Jagadish, C.

    2010-01-01

    Third generation biosensor based on Fe-implanted ZnO (Fe-ZnO) thin film has been demonstrated. Implantation of Fe in rf-sputtered ZnO thin film introduces redox center along with shallow donor level and thereby enhance its electron transfer property. Glucose oxidase (GOx), chosen as model enzyme, has been immobilized on the surface of the matrix. Cyclic voltammetry and photometric assay show that the prepared bioelectrode, GOx/Fe-ZnO/ITO/Glass is sensitive to the glucose concentration with enhanced response of 0.326 μA mM -1 cm -2 and low Km of 2.76 mM. The results show promising application of Fe-implanted ZnO thin film as an attractive matrix for third generation biosensing.

  16. Comparative analysis of Dye-Sensitized Solar Cells (DSSC) having different nanocrystalline TiO2 layer structures

    International Nuclear Information System (INIS)

    Forcade, Fresnel; Gonzalez, Bernardo; Vigil, Elena; Jennings, James R.; Duna, Halina; Wang, Hongxia; Peter, Laurence M.

    2009-01-01

    Full text: Dye-sensitized solar cells (DSSC) are very prospective because of their low cost and comparatively not so low efficiency. This represents an advantage together with the innocuous character of the constituent materials. We study different types of DSSC. The procedure for making them has been the same except for the TiO 2 layer structure. This layer must be porous and nanocrystalline in order to increase light absorption by the sensitizer. On the other hand, this condition causes that the electrolyte contacts the transparent conducting oxide (TCO) underneath the TiO 2 originating undesired recombinations. Also the electrical contact of the Tio to the TCO depends on the technology used to deposit the TiO 2 . In order to avoid possible leakage currents caused by recombinations from the TCO to the electrolyte and improve TiO 2 -TCO electrical contact, a thin TiO 2 film is placed in between the porous TiO 2 layer and the TCO. Different structures are obtained using different technologies to obtain the thin TiO 2 film. These structures are analyzed from their volt-amperic characteristic, external quantum efficiency spectra and voltage decay observed when light is suppressed. Results obtained allow making recommendations regarding nanocrystalline TiO 2 structure to be used in DSSC. (author)

  17. Degradation of gas-phase trichloroethylene over thin-film TiO2 photocatalyst in multi-modules reactor

    International Nuclear Information System (INIS)

    Kim, Sang Bum; Lee, Jun Yub; Kim, Gyung Soo; Hong, Sung Chang

    2009-01-01

    The present paper examined the photocatalytic degradation (PCD) of gas-phase trichloroethylene (TCE) over thin-film TiO 2 . A large-scale treatment of TCE was carried out using scale-up continuous flow photo-reactor in which nine reactors were arranged in parallel and series. The parallel or serial arrangement is a significant factor to determine the special arrangement of whole reactor module as well as to compact the multi-modules in a continuous flow reactor. The conversion of TCE according to the space time was nearly same for parallel and serial connection of the reactors.

  18. Whiter, brighter, and more stable cellulose paper coated with TiO2 /SiO2 core/shell nanoparticles using a layer-by-layer approach.

    Science.gov (United States)

    Cheng, Fei; Lorch, Mark; Sajedin, Seyed Mani; Kelly, Stephen M; Kornherr, Andreas

    2013-08-01

    To inhibit the photocatalytic degradation of organic material supports induced by small titania (TiO2 ) nanoparticles, four kinds of TiO2 nanoparticles, that is, commercial P25-TiO2 , commercial rutile phase TiO2 , rutile TiO2 nanorods and rutile TiO2 spheres, prepared from TiCl4 , were coated with a thin, but dense, coating of silica (SiO2 ) using a conventional sol-gel technique to form TiO2 /SiO2 core/shell nanoparticles. These core/shell particles were deposited and fixed as a very thin coating onto the surface of cellulose paper samples by a wet-chemistry polyelectrolyte layer-by-layer approach. The TiO2 /SiO2 nanocoated paper samples exhibit higher whiteness and brightness and greater stability to UV-bleaching than comparable samples of blank paper. There are many potential applications for this green chemistry approach to protect cellulosic fibres from UV-bleaching in sunlight and to improve their whiteness and brightness. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Sol-Gel TiO2 thin films sensitized with the mulberry pigment cyanidin

    Directory of Open Access Journals (Sweden)

    Emerson Henrique de Faria

    2007-12-01

    Full Text Available TiO2 films have various applications, among them solar cells and photodegradation of pollutants. In this study, we investigated TiO2 films functionalized with the organic dye cyanidin extracted from black mulberry (Morus nigra. The TiO2 was functionalized by the sol-gel method and the film was deposited on glass substrates by dip-coating. Our aim was to investigate the interaction between the semiconductor and the dye, as well as the influence of the velocity and number of deposits on the characteristics of the film. Using ultraviolet-visible spectroscopy, we observed a shift from the maximum absorption band at 545 nm for the dye’s ethanol solution to 595 nm for the film, indicating interaction of the cyanidin with the TiO2. The absorption spectra in the infrared region of the functionalized TiO2 particles showed bands characteristic of the oxide and indicated their interaction with the dye. Using profilometry and m-line techniques, we found that the films presented thicknesses in the order of 100 nm. A SEM analysis confirmed the high density of the films.

  20. Nanoindentation study of the mechanical behavior of TiO2 nanotube arrays

    International Nuclear Information System (INIS)

    Xu, Y. N.; Wang, M. C.; Oloyede, A.; Bell, J. M.; Yan, C.; Liu, M. N.

    2015-01-01

    Titanium dioxide (TiO 2 ) nanotube arrays are attracting increasing attention for use in solar cells, lithium-ion batteries, and biomedical implants. To take full advantage of their unique physical properties, such arrays need to maintain adequate mechanical integrity in applications. However, the mechanical performance of TiO 2 nanotube arrays is not well understood. In this work, we investigate the deformation and failure of TiO 2 nanotube arrays using the nanoindentation technique. We found that the load–displacement response of the arrays strongly depends on the indentation depth and indenter shape. Substrate-independent elastic modulus and hardness can be obtained when the indentation depth is less than 2.5% of the array height. The deformation mechanisms of TiO 2 nanotube arrays by Berkovich and conical indenters are closely associated with the densification of TiO 2 nanotubes under compression. A theoretical model for deformation of the arrays under a large-radius conical indenter is also proposed

  1. Decolorization of dyeing wastewater in continuous photoreactors using tio2 coated glass tube media

    Directory of Open Access Journals (Sweden)

    Jutaporn Chanathaworn

    2014-02-01

    Full Text Available The present study deals with a decolorization development of malachite green (MG dyeing wastewater using TiO2 thin films coated glass tube media in photoreactor. The TiO2 photocatalyst was synthesized by three methods: TTIP sol-gel, TiO2 powder-modified sol, and TiO2 powder suspension coating on raschig ring glass tube media and was investigated crystallinity phase by SEM, XRD, and AFM. Degradation kinetics of the dyeing wastewater by photocatalytic was carried out under UV light irradiation. The Langmuir first-order model provided the best fit to the experimental data. The catalyst prepared by powder suspension technique and coated on glass tube had given the highest of decolorization kinetics and efficiency. Continuous photoreactor packed with the TiO2 coating media was designed and proven to be the high effectiveness for MG dyeing degradation and stable throughout the recyclability test. The light intensity, dye solution flow rate, and TiO2 loading were the most important parameters that response to decolorization efficiency. The optimum condition of photo decolorization of MG dye solution can be obtained from RSM model. Effectiveness of the synthesized TiO2 thin films using suspension technique and the continuous photoreactor design were obtained with a great potential to be proven for wastewater treatment at industrial scale.

  2. Microstructure and antibacterial property of in situ TiO(2) nanotube layers/titanium biocomposites.

    Science.gov (United States)

    Cui, C X; Gao, X; Qi, Y M; Liu, S J; Sun, J B

    2012-04-01

    The TiO(2) nanotube layer was in situ synthesized on the surface of pure titanium by the electrochemical anodic oxidation. The diameter of nano- TiO(2) nanotubes was about 70~100 nm. The surface morphology and phase compositions of TiO(2) nanotube layers were observed and analyzed using the scanning electron microscope (SEM). The important processing parameters, including anodizing voltage, reaction time, concentration of electrolyte, were optimized in more detail. The photocatalytic activity of the nano- TiO(2) nanotube layers prepared with optimal conditions was evaluated via the photodegradation of methylthionine in aqueous solution. The antibacterial property of TiO(2) nanotube layers prepared with optimal conditions was evaluated by inoculating Streptococcus mutans on the TiO(2) nanotube layers in vitro. The results showed that TiO(2) nanotube layers/Ti biocomposites had very good antibacterial activity to resist Streptococcus mutans. As a dental implant biomaterial, in situ TiO(2) nanotube layer/Ti biocomposite has better and wider application prospects. Copyright © 2012 Elsevier Ltd. All rights reserved.

  3. Photocorrosion Mechanism of TiO2-Coated Photoanodes

    Directory of Open Access Journals (Sweden)

    Arjen Didden

    2015-01-01

    Full Text Available Atomic layer deposition was used to coat CdS photoanodes with 7 nm thick TiO2 films to protect them from photocorrosion during photoelectrochemical water splitting. Photoelectrochemical measurements indicate that the TiO2 coating does not provide full protection against photocorrosion. The degradation of the film initiates from small pinholes and shows oscillatory behavior that can be explained by an Avrami-type model for photocorrosion that is halfway between 2D and 3D etching. XPS analysis of corroded films indicates that a thin layer of CdS remains present on the surface of the corroded photoanode that is more resilient towards photocorrosion.

  4. Optical properties of ZrO2, SiO2 and TiO2-SiO2 xerogels and coatings doped with Eu3+ and Eu2+

    Directory of Open Access Journals (Sweden)

    Gonçalves Rogéria R.

    1999-01-01

    Full Text Available Eu3+ doped bulk monoliths and thin films were obtained by sol-gel methods in the ZrO2, SiO2 and SiO2-TiO2 systems. Eu3+ 5D0 ® 7FJ emission and decay time characteristics were measured during the entire experimental preparation route from the initial sol to the final xerogels. The crystalline phases identified were tetragonal ZrO2 and mixtures of rutile and anatase TiO2 at high temperature treatments in bulk samples. Good quality thin films were obtained for all systems by dip-coating optical glasses (Schott BK270. The same spectroscopic features were observed either for the bulk monoliths or the films. By appropriate heat treatments under H2 atmosphere Eu2+ containing samples could be obtained in the SiO2-TiO2 system.

  5. Adsorption Equilibrium and Kinetics of Gardenia Blue on TiO2 Photoelectrode for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Tae-Young Kim

    2014-01-01

    Full Text Available Nanostructured porous TiO2 paste was deposited on the FTO conductive glass using squeeze printing technique in order to obtain a TiO2 thin film with a thickness of 10 μm and an area of 4 cm2. Gardenia blue (GB extracted from Gardenia jasminode Ellis was employed as the natural dye for a dye-sensitized solar cell (DSSC. Adsorption studies indicated that the maximum adsorption capacity of GB on the surface of TiO2 thin film was approximately 417 mg GB/g TiO2 photoelectrode. The commercial and natural dyes, N-719 and GB, respectively, were employed to measure the adsorption kinetic data, which were analyzed by pseudo-first-order and pseudo-second-order models. The energy conversion efficiency of the TiO2 electrode with successive adsorptions of GB dye was about 0.2%.

  6. Defect controlled tuning of the ratio of ultraviolet to visible light emission in TiO2 thin films

    International Nuclear Information System (INIS)

    Mondal, S.; Basak, D.

    2016-01-01

    The photoluminescence (PL) of sol–gel TiO 2 thin film has been found to be largely dependent on the post-deposition processing such as annealing at 500 °C in air, vacuum and ultraviolet (UV) light curing at room temperature. A detailed analysis of room temperature PL spectra shows that the UV/VIS PL peak intensity ratio is maximum for the film which has been annealed at 500 °C in air. X-ray photoelectron spectroscopy confirms the presence of Ti 3+ type of point defects. The visible emission is deconvoluted to green and orange emissions. Analyses of the present experimental results indicate that V O and/or Ti 3+ causes the green emission and OH and/or excess O 2 adsorption on TiO 2 surface probably causes the orange emission. The time correlated single photon counting spectroscopy data of the UV PL indicates higher number defects in vacuum annealed and UV cured films as compared to the air annealed film. Correlation of the results altogether allows us to conclude that the surface defects those causing the visible emission are smaller in number in the air annealed film. The present results may be useful for tuning the relative PL intensities of UV, green and orange emissions. - Highlights: • Sol–gel TiO 2 films were treated both in air, vacuum at 500 °C and under UV light (room temperature). • UV/VIS PL intensity ratio is maximum for air annealed and minimum for UV cured films. • Both green and orange emission predominantly controls the visible emission of TiO 2 . • The visible emission exhibit a clear correlation with Ti 3+  defects on the surface.

  7. Characterization and Comparison of Photocatalytic Activity Silver Ion doped on TiO2(TiO2/Ag+) and Silver Ion doped on Black TiO2(Black TiO2/Ag+)

    Science.gov (United States)

    Kim, Jin Yi; Sim, Ho Hyung; Song, Sinae; Noh, Yeoung Ah; Lee, Hong Woon; Taik Kim, Hee

    2018-03-01

    Titanium dioxide (TiO2) is one of the representative ceramic materials containing photocatalyst, optic and antibacterial activity. The hydroxyl radical in TiO2 applies to the intensive oxidizing agent, hence TiO2 is suitable to use photocatalytic materials. Black TiO2was prepared through reduction of amorphous TiO2 conducting under H2 which leads to color changes. Its black color is proven that absorbs 100% light across the whole-visible light, drawing enhancement of photocatalytic property. In this study, we aimed to compare the photocatalytic activity of silver ion doped on TiO2(TiO2/Ag+) and silver ion doped on black TiO2(black TiO2/Ag+) under visible light range. TiO2/Ag+ was fabricated following steps. 1) TiO2 was synthesized by a sol-gel method from Titanium tetraisopropoxide (TTIP). 2) Then AgNO3 was added during an aging process step for silver ion doping on the surface of TiO2. Moreover, Black TiO2/Ag+ was obtained same as TiO2/Ag+ except for calcination under H2. The samples were characterized X-ray diffraction (XRD), UV-visible reflectance (UV-vis DRS), and Methylene Blue degradation test. XRD analysis confirmed morphology of TiO2. The band gap of black TiO2/Ag+ was confirmed (2.6 eV) through UV-vis DRS, which was lower than TiO2/Ag+ (2.9 eV). The photocatalytic effect was conducted by methylene blue degradation test. It demonstrated that black TiO2/Ag+ had a photocatalytic effect under UV light also visible light.

  8. Thin film nano-photocatalyts with low band gap energy for gas phase degradation of p-xylene: TiO2 doped Cr, UiO66-NH2 and LaBO3 (B  =  Fe, Mn, and Co)

    Science.gov (United States)

    Loc Luu, Cam; Thuy Van Nguyen, Thi; Nguyen, Tri; Nguyen, Phung Anh; Hoang, Tien Cuong; Ha, Cam Anh

    2018-03-01

    By dip-coating technique the thin films of nano-photocatalysts TiO2, Cr-doped TiO2, LaBO3 perovskites (B  =  Fe, Mn, and Co) prepared by sol-gel method, and UiO66-NH2 prepared by a solvothermal were obtained and employed for gas phase degradation of p-xylene. Physicochemical characteristics of the catalysts were examined by the methods of BET, SEM, TEM, XRD, FT-IR, TGA, Raman and UV-vis spectroscopies. The thickness of film was determined by a Veeco-American Dektek 6M instrument. The activity of catalysts was evaluated in deep photooxidation of p-xylene in a microflow reactor at room temperature with the radiation sources of a UV (λ  =  365 nm) and LED lamps (λ  =  400-510 nm). The obtained results showed that TiO2 and TiO2 doped Cr thin films was featured by an anatase phase with nanoparticles of 10-100 nm. Doping TiO2 with 0.1%mol Cr2O3 led to reduce band gap energy from 3.01 down to 1.99 eV and extend the spectrum of photon absorption to the visible region (λ  =  622 nm). LaBO3 perovkite thin films were also featured by a crystal phase with average particle nanosize of 8-40 nm, a BET surface area of 17.6-32.7 m2 g-1 and band gap energy of 1.87-2.20 eV. UiO66-NH2 was obtained in the ball shape of 100-200 nm, a BET surface area of 576 m2 g-1 and a band gap energy of 2.83 eV. The low band gap energy nano-photocatalysts based on Cr-doped TiO2 and LaBO3 perovskites exhibited highly stable and active for photo-degradation of p-xylene in the gas phase under radiation of UV-vis light. Perovskite LaFeO3 and Cr-TiO2 thin films were the best photocatalysts with a decomposition yield being reached up to 1.70 g p-xylene/g cat.

  9. Enhanced interfacial contact between PbS and TiO2 layers in quantum dot solar cells using 2D-arrayed TiO2 hemisphere nanostructures

    Science.gov (United States)

    Lee, Wonseok; Ryu, Ilhwan; Lee, Haein; Yim, Sanggyu

    2018-02-01

    Two-dimensionally (2D) arrayed hemispherical nanostructures of TiO2 thin films were successfully fabricated using a simple procedure of spin-coating or dip-coating TiO2 nanoparticles onto 2D close-packed polystyrene (PS) nanospheres, followed by PS extraction. The nanostructured TiO2 film was then used as an n-type layer in a lead sulfide (PbS) colloidal quantum dot solar cell. The TiO2 nanostructure could provide significantly increased contacts with subsequently deposited PbS quantum dot layer. In addition, the periodically arrayed nanostructure could enhance optical absorption of the cell by redirecting the path of the incident light and increasing the path length passing though the active layer. As a result, the power conversion efficiency (PCE) reached 5.13%, which is approximately a 1.7-fold increase over that of the control cell without nanostructuring, 3.02%. This PCE enhancement can mainly be attributed to the increase of the short-circuit current density from 19.6 mA/cm2 to 30.6 mA/cm2, whereas the open-circuit voltage and fill factor values did not vary significantly.

  10. The Photocatalytic Activity and Compact Layer Characteristics of TiO2 Films Prepared Using Radio Frequency Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    H. C. Chang

    2014-01-01

    Full Text Available TiO2 compact layers are used in dye-sensitized solar cells (DSSCs to prevent charge recombination between the electrolyte and the transparent conductive substrate (indium tin oxide, ITO; fluorine-doped tin oxide, FTO. Thin TiO2 compact layers are deposited onto ITO/glass by means of radio frequency (rf magnetron sputtering, using deposition parameters that ensure greater photocatalytic activity and increased DSSC conversion efficiency. The photoinduced decomposition of methylene blue (MB and the photoinduced hydrophilicity of the TiO2 thin films are also investigated. The photocatalytic performance characteristics for the deposition of TiO2 films are improved by using the Grey-Taguchi method. The average transmittance in the visible region exceeds 85% for all samples. The XRD patterns of the TiO2 films, for sol-gel with spin coating of porous TiO2/TiO2 compact/ITO/glass, show a good crystalline structure. In contrast, without the TiO2 compact layer (only porous TiO2, the peak intensity of the anatase (101 plane in the XRD patterns for the TiO2 film has a lower value, which demonstrates inferior crystalline quality. With a TiO2 compact layer to prevent charge recombination, a higher short-circuit current density is obtained. The DSSC with the FTO/glass and Pt counter electrode demonstrates the energy conversion efficiency increased.

  11. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    Science.gov (United States)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  12. New TiO2/DSAT Immobilization System for Photodegradation of Anionic and Cationic Dyes

    Directory of Open Access Journals (Sweden)

    Wan Izhan Nawawi Wan Ismail

    2015-01-01

    Full Text Available A new immobilized TiO2 technique was prepared by coating TiO2 solution onto double-sided adhesive tape (DSAT as a thin layer binder without adding any organic additives. Glass plate was used as support material to immobilized TiO2/DSAT. Two different charges of dyes were applied, namely, anionic reactive red 4 (RR4 and cationic methylene blue (MB dyes. Photocatalytic degradation of RR4 and MB dyes was observed under immobilized TiO2/DSAT with the degradation rate slightly lower and higher, respectively, compared with TiO2 in suspension mode. It was observed that DSAT is able to provide a very strong intact between glass and TiO2 layers thus making the reusability of immobilized TiO2/DSAT be up to 30 cycles. In fact, a better photodegradation activity was observed by number of cycles due to increasing formation of pores on TiO2 surface observed by SEM analysis.

  13. Flow-Regulated Growth of Titanium Dioxide (TiO2 ) Nanotubes in Microfluidics.

    Science.gov (United States)

    Fan, Rong; Chen, Xinye; Wang, Zihao; Custer, David; Wan, Jiandi

    2017-08-01

    Electrochemical anodization of titanium (Ti) in a static, bulk condition is used widely to fabricate self-organized TiO 2 nanotube arrays. Such bulk approaches, however, require extended anodization times to obtain long TiO 2 nanotubes and produce only vertically aligned nanotubes. To date, it remains challenging to develop effective strategies to grow long TiO 2 nanotubes in a short period of time, and to control the nanotube orientation. Here, it is shown that the anodic growth of TiO 2 nanotubes is significantly enhanced (≈16-20 times faster) under flow conditions in microfluidics. Flow not only controls the diameter, length, and crystal orientations of TiO 2 nanotubes, but also regulates the spatial distribution of nanotubes inside microfluidic devices. Strikingly, when a Ti thin film is deposited on silicon substrates and anodized in microfluidics, both vertically and horizontally aligned (relative to the bottom substrate) TiO 2 nanotubes can be produced. The results demonstrate previously unidentified roles of flow in the regulation of growth of TiO 2 nanotubes, and provide powerful approaches to effectively grow long, oriented TiO 2 nanotubes, and construct hierarchical TiO 2 nanotube arrays on silicon-based materials. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Annealing of TiO2 Films Deposited on Si by Irradiating Nitrogen Ion Beams

    International Nuclear Information System (INIS)

    Yokota, Katsuhiro; Yano, Yoshinori; Miyashita, Fumiyoshi

    2006-01-01

    Thin TiO2 films were deposited on Si at a temperature of 600 deg. C by an ion beam assisted deposition (IBAD) method. The TiO2 films were annealed for 30 min in Ar at temperatures below 700 deg. C. The as-deposited TiO2 films had high permittivities such 200 εo and consisted of crystallites that were not preferentially oriented to the c-axis but had an expanded c-axis. On the annealed TiO2 films, permittivities became lower with increasing annealing temperature, and crystallites were oriented preferentially to the (110) plane

  15. Optical switching and photoluminescence in erbium-implanted vanadium dioxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Lim, Herianto, E-mail: mail@heriantolim.com; Stavrias, Nikolas; Johnson, Brett C.; McCallum, Jeffrey C. [School of Physics, University of Melbourne, Parkville, Victoria 3010 (Australia); Marvel, Robert E.; Haglund, Richard F. [Department of Physics and Astronomy, Vanderbilt University, Nashville, Tennessee 37240 (United States)

    2014-03-07

    Vanadium dioxide (VO{sub 2}) is under intensive consideration for optical switching due to its reversible phase transition, which features a drastic and rapid shift in infrared reflectivity. Classified as an insulator–to–metal transition, the phase transition in VO{sub 2} can be induced thermally, electrically, and optically. When induced optically, the transition can occur on sub-picosecond time scales. It is interesting to dope VO{sub 2} with erbium ions (Er{sup 3+}) and observe their combined properties. The first excited-state luminescence of Er{sup 3+} lies within the wavelength window of minimal transmission-loss in silicon and has been widely utilized for signal amplification and generation in silicon photonics. The incorporation of Er{sup 3+} into VO{sub 2} could therefore result in a novel photonic material capable of simultaneous optical switching and amplification. In this work, we investigate the optical switching and photoluminescence in Er-implanted VO{sub 2} thin films. Thermally driven optical switching is demonstrated in the Er-implanted VO{sub 2} by infrared reflectometry. Photoluminescence is observed in the thin films annealed at ∼800 °C or above. In addition, Raman spectroscopy and a statistical analysis of switching hysteresis are carried out to assess the effects of the ion implantation on the VO{sub 2} thin films. We conclude that Er-implanted VO{sub 2} can function as an optical switch and amplifier, but with reduced switching quality compared to pure VO{sub 2}.

  16. Defect annealing in Mn/Fe-implanted TiO2(rutile)

    CERN Document Server

    Gunnlaugsson, H P; Masenda, H; Mølholt, T E; Johnston, K; Bharuth-Ram, K; Gislason, H; Langouche, G; Naidoo, D; Ólafsson, S; Svane, A; Weyer, G

    2014-01-01

    A study of the annealing processes and charge state of dilute Fe in rutile TiO2 single crystals was performed in the temperature range 143-662 K, utilizing online 57Fe emission Mossbauer spectroscopy following low concentrations ( 350 K.

  17. Gas sensing of ruthenium implanted tungsten oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Tesfamichael, T., E-mail: t.tesfamichael@qut.edu.au [Institute for Future Environments, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, 2 George Street, Brisbane, QLD 4000 (Australia); Ahsan, M. [William A. Cook Australia, 95 Brandl Street Eight Mile Plains, Brisbane, QLD 4113 (Australia); Notarianni, M. [Institute for Future Environments, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, 2 George Street, Brisbane, QLD 4000 (Australia); Groß, A.; Hagen, G.; Moos, R. [University of Bayreuth, Faculty of Engineering Science, Department of Functional Materials, Universitätsstr. 30, 95440 Bayreuth (Germany); Ionescu, M. [ANSTO, Institute for Environmental Research, Locked Bag 2001, Kirrawee DC, NSW 2232 (Australia); Bell, J. [Institute for Future Environments, School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, 2 George Street, Brisbane, QLD 4000 (Australia)

    2014-05-02

    Different amounts of Ru were implanted into thermally evaporated WO{sub 3} thin films by ion implantation. The films were subsequently annealed at 600 °C for 2 h in air to remove defects generated during the ion implantation. The Ru concentrations of four samples have been quantified by Rutherford Backscattering Spectrometry as 0.8, 5.5, 9 and 11.5 at.%. The un-implanted WO{sub 3} films were highly porous but the porosity decreased significantly after ion implantation as observed by Transmission Electron Microscopy and Scanning Electron Microscopy. The thickness of the films also decreased with increasing Ru-ion dose, which is mainly due to densification of the porous films during ion implantation. From Raman Spectroscopy two peaks at 408 and 451 cm{sup −1} (in addition to the typical vibrational peaks of the monoclinic WO{sub 3} phase) associated with Ru were observed. Their intensity increased with increasing Ru concentration. X-ray Photoelectron Spectroscopy showed a metallic state of Ru with binding energy of Ru 3d{sub 5/2} at 280.1 eV. This peak position remained almost unchanged with increasing Ru concentration. The resistances of the Ru-implanted films were found to increase in the presence of NO{sub 2} and NO with higher sensor response to NO{sub 2}. The effect of Ru concentration on the sensing performance of the films was not explicitly observed due to reduced film thickness and porosity with increasing Ru concentration. However, the results indicate that the implantation of Ru into WO{sub 3} films with sufficient film porosity and film thickness can be beneficial for NO{sub 2} sensing at temperatures in the range of 250 °C to 350 °C. - Highlights: • Densification of WO{sub 3} thin films has occurred after Ru ion implantation. • Thickness and porosity of the films decrease with increasing Ru ion dose. • The amount of oxygen vacancies and defects increases with increasing Ru ion dose. • Ru has shown a crucial role in enhancing sensor response

  18. Osseointegration is improved by coating titanium implants with a nanostructured thin film with titanium carbide and titanium oxides clustered around graphitic carbon

    International Nuclear Information System (INIS)

    Veronesi, Francesca; Giavaresi, Gianluca; Fini, Milena; Longo, Giovanni; Ioannidu, Caterina Alexandra; Scotto d'Abusco, Anna; Superti, Fabiana; Panzini, Gianluca; Misiano, Carlo; Palattella, Alberto; Selleri, Paolo; Di Girolamo, Nicola; Garbarino, Viola; Politi, Laura; Scandurra, Roberto

    2017-01-01

    Titanium implants coated with a 500 nm nanostructured layer, deposited by the Ion Plating Plasma Assisted (IPPA) technology, composed of 60% graphitic carbon, 25% titanium oxides and 15% titanium carbide were implanted into rabbit femurs whilst into the controlateral femurs uncoated titanium implants were inserted as control. At four time points the animals were injected with calcein green, xylenol orange, oxytetracycline and alizarin. After 2, 4 and 8 weeks femurs were removed and processed for histology and static and dynamic histomorphometry for undecalcified bone processing into methylmethacrylate, sectioned, thinned, polished and stained with Toluidine blue and Fast green. The overall bone-implant contacts rate (percentage of bone-implant contacts/weeks) of the TiC coated implant was 1.6 fold than that of the uncoated titanium implant. The histomorphometric analyses confirmed the histological evaluations. More precisely, higher Mineral Apposition Rate (MAR, μm/day) (p < 0.005) and Bone Formation Rate (BFR, μm 2 /μm/day) (p < 0.0005) as well as Bone Implant Contact (Bic) and Bone Ingrowth values (p < 0.0005) were observed for the TiC coated implants compared to uncoated implants. In conclusion the hard nanostructured TiC layer protects the bulk titanium implant against the harsh conditions of biological tissues and in the same time, stimulating adhesion, proliferation and activity of osteoblasts, induces a better bone-implant contacts of the implant compared to the uncoated titanium implant. - Highlights: • Ti implants were coated with a nanostructured film composed of C gr , TiC and TiO x . • The TiC layer stimulates adhesion, proliferation and activity of osteoblasts. • Uncoated and TiC coated titanium implants were implanted in rabbit femurs. • Bone-implant contacts of TiC coated implants were higher than that of uncoated. • Mineral Apposition Rate of TiC coated implants were higher than that of uncoated.

  19. Role of oxygen vacancies in anodic TiO2 thin films

    International Nuclear Information System (INIS)

    Tit, N.; Halley, J.W.

    1992-05-01

    Defects play an important role in the electronic and optical properties of amorphous solids in general. Here we present both experimental and theoretical investigations on the nature and origin of defect states in anodic rutile TiO 2 thin films (of thickness 5nm to 20nm). There is experimental evidence that the observed gap state at 0.7eV below the edge of conduction-band is due to an oxygen vacancy. For this reason, oxygen vacancies are used in our model. A comparison of the calculated bulk-photoconductivity to photospectroscopy experiment reveals that the films have bulk-like transport properties. On the other hand a fit of the surface density of states to the scanning tunneling microscopy (STM) on the (001) surfaces has suggested a surface defect density of 5% of oxygen vacancies. To resolve this discrepancy, we calculated the dc-conductivity where localization effects are included. Our results show an impurity band formation at about p c =9% of oxygen vacancies. We concluded that the gap states seen in STM are localized and the oxygen vacancies are playing the role of trapping centers (deep levels) in the studied films. (author). 15 refs, 5 figs

  20. Preparación y propiedades de materiales cerámicos bioinertes en el sistema Al2O3-TiO2-SiO2

    Directory of Open Access Journals (Sweden)

    Boccaccini, A. R.

    1998-12-01

    Full Text Available Very fine and sinterable ceramic powders (100-600 nm in the system Al2O3-TiO2-SiO2 were obtained by the method of cohydrolisis from organo-metallic precursors. Isostatically pressed powder compacts could be densified to a relative high density (~ 92 % th. density at relative low temperatures (1320-1380ºC. The technical coefficient of thermal expansion was measured by dilatometry. The value obtained (8.8 10-6 1/ºC corresponds closely to that of Ti, opening the possibility to use Al2O3-TiO2-SiO2 ceramics to fabricate metal/ceramic composite implants. The measured mechanical properties of dense sintered Al2O3-TiO2-SiO2 material: Young´s modulus, flexure strength and compression strength, are higher than those of pure TiO2. Highly porous Al2O3-TiO2-SiO2 ceramics (P~ 65% were obtained by the method of evaporation of hydrogen peroxide. These materials exhibited interconnected porosity and their properties, particularly the Young´s modulus, resulted very similar to those of bone, which is an important pre-requisite for the design of quirurgical implants.Se han obtenido polvos cerámicos muy finos (100- 600 nm y de alta sinterabilidad, en el sistema Al2O3-TiO2-SiO2, por el método de co-hidrólisis controlada a partir de precursores organo-metálicos. Los compactos fabricados a partir del polvo de cohidrólisis calcinado fueron sinterizados en el rango de temperaturas 1320-1380 ºC, obteniéndose densidades elevadas (~ 92% D.T.. El coeficiente de expansión térmica técnico del material cerámico sinterizado fue medido por dilatometría. El valor obtenido, 8.8 10-6 ºC-1, es muy similar al de titanio metálico y por lo tanto el material cerámico Al2O3-TiO2-SiO2 puede ser candidato para la fabricación de implantes compuestos cerámico/metal. Las propiedades mecánicas: módulo de elasticidad, resistencia a la flexión y resistencia a la compresión, del material denso sinterizado, fueron determinadas, resultando muy superiores a las de TiO2 puro

  1. Photoluminescence study of trap-state defect on TiO2 thin films at different substrate temperature via RF magnetron sputtering

    Science.gov (United States)

    Abdullah, S. A.; Sahdan, M. Z.; Nafarizal, N.; Saim, H.; Bakri, A. S.; Cik Rohaida, C. H.; Adriyanto, F.; Sari, Y.

    2018-04-01

    This paper highlights the defect levels using photoluminescence spectroscopy of TiO2 thin films. The TiO2 were deposited by Magnetron Sputtering system with 200, 300, 400, and 500 °C substrate temperature on microscope glass substrate. The PL result shows profound effect of various substrate temperatures to defect levels of oxygen vacancies and Ti3+ at titanium interstitial site. Increasing temperature would minimize the oxygen vacancy defect, however Ti3+ shows otherwise. Green region of PL consist of trapped hole for oxygen vacancy, while red region of PL is trapped electron associated to structural defect Ti3+. Green PL is dominant peak at temperature 200 °C, indicating that oxygen vacancy is the main defect at this temperature. However, PL peak shows slightly same value for others samples indicating that the temperature did not give high influence to other level of defect after 200 °C.

  2. Thin-film Rechargeable Lithium Batteries for Implantable Devices

    Science.gov (United States)

    Bates, J. B.; Dudney, N. J.

    1997-05-01

    Thin films of LiCoO{sub 2} have been synthesized in which the strongest x ray reflection is either weak or missing, indicating a high degree of preferred orientation. Thin film solid state batteries with these textured cathode films can deliver practical capacities at high current densities. For example, for one of the cells 70% of the maximum capacity between 4.2 V and 3 V ({approximately}0.2 mAh/cm{sup 2}) was delivered at a current of 2 mA/cm{sup 2}. When cycled at rates of 0.1 mA/cm{sup 2}, the capacity loss was 0.001%/cycle or less. The reliability and performance of Li LiCoO{sub 2} thin film batteries make them attractive for application in implantable devices such as neural stimulators, pacemakers, and defibrillators.

  3. Highly antibacterial activity of N-doped TiO2 thin films coated on stainless steel brackets under visible light irradiation

    International Nuclear Information System (INIS)

    Cao, Shuai; Liu, Bo; Fan, Lingying; Yue, Ziqi; Liu, Bin; Cao, Baocheng

    2014-01-01

    In this study, the radio frequency (RF) magnetron sputtering method was used to prepare a TiO 2 thin film on the surface of stainless steel brackets. Eighteen groups of samples were made according to the experimental parameters. The crystal structure and surface morphology were characterized by X-ray diffraction, and scanning electron microscopy, respectively. The photocatalytic properties under visible light irradiation were evaluated by measuring the degradation ratio of methylene blue. The sputtering temperature was set at 300 °C, and the time was set as 180 min, the ratio of Ar to N was 30:1, and annealing temperature was set at 450 °C. The thin films made under these parameters had the highest visible light photocatalytic activity of all the combinations of parameters tested. Antibacterial activities of the selected thin films were also tested against Lactobacillus acidophilus and Candida albicans. The results demonstrated the thin film prepared under the parameters above showed the highest antibacterial activity.

  4. Preparation of Nanoporous TiO2 Electrodes for Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Hsiue-Hsyan Wang

    2011-01-01

    Full Text Available Nano-porous TiO2 thin films have been widely used as the working electrodes in dye-sensitized solar cells (DSSCs. In this work, the phase-pure anatase TiO2 (a-TiO2 and rutile TiO2 (r-TiO2 have been prepared using hydrothermal processes. The investigation of photo-to-electron conversion efficiency of DSSCs fabricated from mixed-TiO2 with a-TiO2 and r-TiO2 ratio of 80 : 20 (A8R2 was performed and compared to that from commercial TiO2 (DP-25. The results showed higher efficiency of DSSC for A8R2 cells with same dependence of cell efficiency on the film thickness for both A8R2 and DP-25 cells. The best efficiency obtained in this work is 5.2% from A8R2 cell with TiO2 film thickness of 12.0 μm. The correlation between the TiO2 films thickness and photoelectron chemical properties of DSSCs fabricated from A8R2 and DP-25 was compared and discussed.

  5. Annealing time dependence of the physical, electrical and pH response characteristics of spin coated TiO2 thin films

    International Nuclear Information System (INIS)

    Zulkefle, M A; Rahman, R A; Yusoff, K A; Herman, S H; Abdullah, W F H; Rusop, M

    2015-01-01

    Titanium dioxide (TiO 2 ) thin film was deposited on indium tin oxide (ITO) substrate and used as sensing membrane of EGFET pH sensor. The thin film was fabricated using sol- gel spin coating method. All samples were annealed at 400 °C but the annealing time was varied. This is done to study the effects of annealing time on physical and electrical properties of titanium dioxide thin film. The sensitivity of each sample towards H + ion was measured and result shows that sample annealed for 45 minutes has the highest sensitivity (52.6 mV/pH). It is found that increasing annealing duration will increase the pH sensitivity but a limit will be reached at certain point. Longer annealing processes done beyond this point will results in lower pH sensitivity. (paper)

  6. Post-deposition annealing temperature dependence TiO_2-based EGFET pH sensor sensitivity

    International Nuclear Information System (INIS)

    Zulkefle, M. A.; Rahman, R. A.; Yusoff, K. A.; Abdullah, W. F. H.; Rusop, M.; Herman, S. H.

    2016-01-01

    EGFET pH sensor is one type of pH sensor that is used to measure and determine pH of a solution. The sensing membrane of EGFET pH sensor plays vital role in the overall performance of the sensor. This paper studies the effects of different annealing temperature of the TiO_2 sensing membranes towards sensitivity of EGFET pH sensor. Sol-gel spin coating was chosen as TiO_2 deposition techniques since it is cost-effective and produces thin film with uniform thickness. Deposited TiO_2 thin films were then annealed at different annealing temperatures and then were connected to the gate of MOSFET as a part of the EGFET pH sensor structure. The thin films now act as sensing membranes of the EGFET pH sensor and sensitivity of each sensing membrane towards pH was measured. From the results it was determined that sensing membrane annealed at 300 °C gave the highest sensitivity followed by sample annealed at 400 °C and 500 °C.

  7. Aerosol deposition of Ba0.8Sr0.2TiO3 thin films

    Directory of Open Access Journals (Sweden)

    Branković Zorica

    2009-01-01

    Full Text Available In this work we optimized conditions for aerosol deposition of homogeneous, nanograined, smooth Ba0.8Sr0.2TiO3 thin films. Investigation involved optimization of deposition parameters, namely deposition time and temperature for different substrates. Solutions were prepared from titanium isopropoxide, strontium acetate and barium acetate. Films were deposited on Si (1 0 0 or Si covered by platinum (Pt (1 1 1 /Ti/SiO2/Si. Investigation showed that the best films were obtained at substrate temperature of 85ºC. After deposition films were slowly heated up to 650ºC, annealed for 30 min, and slowly cooled. Grain size of BST films deposited on Si substrate were in the range 40-70 nm, depending on deposition conditions, while the same films deposited on Pt substrates showed mean grain size in the range 35-50 nm. Films deposited under optimal conditions were very homogeneous, crackfree, and smooth with rms roughness lower than 4 nm for both substrates.

  8. Development of Dye-Sensitized Solar Cells with Sputtered N-Doped TiO2 Thin Films: From Modeling the Growth Mechanism of the Films to Fabrication of the Solar Cells

    OpenAIRE

    Duarte, D. A.; Massi, M.; da Silva Sobrinho, A. S.

    2014-01-01

    In this paper, nitrogen-doped TiO2 thin films were deposited by DC reactive sputtering at different doping levels for the development of dye-sensitized solar cells. The mechanism of film growth during the sputtering process and the effect of the nitrogen doping on the structural, optical, morphological, chemical, and electronic properties of the TiO2 were investigated by numerical modeling and experimental methods. The influence of the nitrogen doping on the working principle of the prototype...

  9. Photocatalytic performance of Sn-doped TiO2 nanostructured mono and double layer thin films for Malachite Green dye degradation under UV and vis-lights

    International Nuclear Information System (INIS)

    Sayilkan, F.; Asiltuerk, M.; Tatar, P.; Kiraz, N.; Arpac, E.; Sayilkan, H.

    2007-01-01

    Nanostructure Sn 4+ -doped TiO 2 based mono and double layer thin films, contain 50% solid ratio of TiO 2 in coating have been prepared on glass surfaces by spin-coating technique. Their photocatalytic performances were tested for degradation of Malachite Green dye in solution under UV and vis irradiation. Sn 4+ -doped nano-TiO 2 particle a doping ratio of about 5[Sn 4+ /Ti(OBu n ) 4 ; mol/mol%] has been synthesized by hydrotermal process at 225 deg. C. The structure, surface and optical properties of the thin films and/or the particles have been investigated by XRD, BET and UV/vis/NIR techniques. The results showed that the double layer coated glass surfaces have a very high photocatalytic performance than the other one under UV and vis lights. The results also proved that the hydrothermally synthesized nano-TiO 2 particles are fully anatase crystalline form and are easily dispersed in water. The results also reveal that the coated surfaces have hydrophilic property

  10. Improving the Efficiency of Dye-Sensitized Solar Cells by Growing Longer ZnO Nanorods on TiO2 Photoanodes

    Directory of Open Access Journals (Sweden)

    Bao-gai Zhai

    2017-01-01

    Full Text Available By increasing the temperature of hydrothermal reactions from 70 to 100°C, vertically aligned ZnO nanorods were grown on the TiO2 thin film in the photoanode of dye-sensitized solar cells (DSSCs as the blocking layer to reduce the electron back recombinations at the TiO2/electrolyte interfaces. The length effects of ZnO nanorods on the photovoltaic performances of TiO2 based DSSCs were investigated by means of scanning electron microscope, X-ray diffractometer, photoluminescence spectrophotometer, and the photocurrent-voltage measurement. Under the illumination of 100 mW/cm2, the power conversion efficiency of DSSC with ZnO nanorods decorated TiO2 thin film as its photoanode can be increased nearly fourfold from 0.27% to 1.30% as the length of ZnO nanorods increases from 300 to 1600 nm. The enhanced efficiency of DSSC with ZnO nanorods decorated TiO2 thin film as the photoanode can be attributed to the larger surface area and the lower defect density in longer ZnO nanorods, which are in favor of more dye adsorption and more efficient transport in the photoanode.

  11. Preparation of bone-implants by coating hydroxyapatite nanoparticles on self-formed titanium dioxide thin-layers on titanium metal surfaces.

    Science.gov (United States)

    Wijesinghe, W P S L; Mantilaka, M M M G P G; Chathuranga Senarathna, K G; Herath, H M T U; Premachandra, T N; Ranasinghe, C S K; Rajapakse, R P V J; Rajapakse, R M G; Edirisinghe, Mohan; Mahalingam, S; Bandara, I M C C D; Singh, Sanjleena

    2016-06-01

    Preparation of hydroxyapatite coated custom-made metallic bone-implants is very important for the replacement of injured bones of the body. Furthermore, these bone-implants are more stable under the corrosive environment of the body and biocompatible than bone-implants made up of pure metals and metal alloys. Herein, we describe a novel, simple and low-cost technique to prepare biocompatible hydroxyapatite coated titanium metal (TiM) implants through growth of self-formed TiO2 thin-layer (SFTL) on TiM via a heat treatment process. SFTL acts as a surface binder of HA nanoparticles in order to produce HA coated implants. Colloidal HA nanorods prepared by a novel surfactant-assisted synthesis method, have been coated on SFTL via atomized spray pyrolysis (ASP) technique. The corrosion behavior of the bare and surface-modified TiM (SMTiM) in a simulated body fluid (SBF) medium is also studied. The highest corrosion rate is found to be for the bare TiM plate, but the corrosion rate has been reduced with the heat-treatment of TiM due to the formation of SFTL. The lowest corrosion rate is recorded for the implant prepared by heat treatment of TiM at 700 °C. The HA-coating further assists in the passivation of the TiM in the SBF medium. Both SMTiM and HA coated SMTiM are noncytotoxic against osteoblast-like (HOS) cells and are in high-bioactivity. The overall production process of bone-implant described in this paper is in high economic value. Copyright © 2016 Elsevier B.V. All rights reserved.

  12. Effects of reductive annealing on insulating polycrystalline thin films of Nb-doped anatase TiO2: recovery of high conductivity

    International Nuclear Information System (INIS)

    Nakao, Shoichiro; Hirose, Yasushi; Hasegawa, Tetsuya

    2016-01-01

    We studied the effects of reductive annealing on insulating polycrystalline thin films of anatase Nb-doped TiO 2 (TNO). The insulating TNO films were intentionally fabricated by annealing conductive TNO films in oxygen ambient at 400 °C. Reduced free carrier absorption in the insulating TNO films indicated carrier compensation due to excess oxygen. With H 2 -annealing, both carrier density and Hall mobility recovered to the level of conducting TNO, demonstrating that the excess oxygen can be efficiently removed by the annealing process without introducing additional scattering centers. (paper)

  13. Electrical response of electron selective atomic layer deposited TiO2‑x heterocontacts on crystalline silicon substrates

    Science.gov (United States)

    Ahiboz, Doğuşcan; Nasser, Hisham; Aygün, Ezgi; Bek, Alpan; Turan, Raşit

    2018-04-01

    Integration of oxygen deficient sub-stoichiometric titanium dioxide (TiO2‑x) thin films as the electron transporting-hole blocking layer in solar cell designs are expected to reduce fabrication costs by eliminating high temperature processes while maintaining high conversion efficiencies. In this paper, we conducted a study to reveal the electrical properties of TiO2‑x thin films grown on crystalline silicon (c-Si) substrates by atomic layer deposition (ALD) technique. Effect of ALD substrate temperature, post deposition annealing, and doping type of the c-Si substrate on the interface states and TiO2‑x bulk properties were extracted by performing admittance (C-V, G-V) and current-voltage (J-V) measurements. Moreover, the asymmetry in C-V and J-V measurements between the p-n type and n-n TiO2‑x-c-Si heterojunction types were examined and the electron transport selectivity of TiO2‑x was revealed.

  14. Implantation of cobalt in SnO2 thin films studied by TDPAC

    Directory of Open Access Journals (Sweden)

    Juliana Schell

    2017-05-01

    Full Text Available Here we report time differential perturbed angular correlation (TDPAC results of Co-doped SnO2 thin films. Making use of stable Co and radioactive 111In implanted at the Bonn Radioisotope Separator with energies of 80 keV and 160 keV, respectively, it was possible to study the dopant incorporation and its lattice location during annealing. The hyperfine parameters have been probed as a function of temperature in vacuum. Two quadrupole interactions were observed. At high temperatures the dominant fraction for the probe nuclei can be assigned to the Cd-incorporation at the cation substitutional site in a highly disordered structure, obtained after implantation, to high crystallinity for the measurements at 873 K and 923 K. The similarity in TDPAC spectra obtained in undoped SnO gives indirect evidence that In and Co diffuse to different depths during the annealing process. Other interpretations will be discussed.

  15. Implant damage and redistribution of indium in indium-implanted thin silicon-on-insulator

    International Nuclear Information System (INIS)

    Chen Peng; An Zhenghua; Zhu Ming; Fu, Ricky K.Y.; Chu, Paul K.; Montgomery, Neil; Biswas, Sukanta

    2004-01-01

    The indium implant damage and diffusion behavior in thin silicon-on-insulator (SOI) with a 200 nm top silicon layer were studied for different implantation energies and doses. Rutherford backscattering spectrometry in the channeling mode (RBS/C) was used to characterize the implant damage before and after annealing. Secondary ion mass spectrometry (SIMS) was used to study the indium transient enhanced diffusion (TED) behavior in the top Si layer of the SOI structure. An anomalous redistribution of indium after relatively high energy (200 keV) and dose (1 x 10 14 cm -2 ) implantation was observed in both bulk Si and SOI substrates. However, there exist differences in these two substrates that are attributable to the more predominant out-diffusion of indium as well as the influence of the buried oxide layer in the SOI structure

  16. Studies of surface morphology and optical properties of ZnO nanostructures grown on different molarities of TiO_2 seed layer

    International Nuclear Information System (INIS)

    Asib, N. A. M.; Afaah, A. N.; Aadila, A.; Khusaimi, Z.; Rusop, M.

    2016-01-01

    Titanium dioxide (TiO_2) seed layer was prepared by using sol-gel spin-coating technique, followed by growth of 0.01 M of Zinc oxide (ZnO) nanostructures by solution-immersion. The molarities of TiO_2 seed layer were varied from 1.1 M to 0.100 M on glass substrates. The nanostructures thin films were characterized by Field Emission Scanning Electrons Microscope (FESEM), Photoluminescence (PL) spectroscopy and Ultraviolet-Visible (UV-Vis) spectroscopy. FESEM images demonstrate that needle-like ZnO nanostructures are formed on all TiO_2 seed layer. The smallest diameter of needle-like ZnO nanostructures (90.3 nm) were deposited on TiO_2 seed layer of 0.100 M. PL spectra of the TiO_2: ZnO nanostructures thin films show the blue shifted emissions in the UV regions compared to the ZnO thin film. Meanwhile, UV-vis spectra of films display high absorption in the UV region and high trasparency in the visible region. The highest absorbance at UV region was recorded for sample which has 0.100 M of TiO_2 seed layer.

  17. Low-temperature preparation and microwave photocatalytic activity study of TiO2-mounted activated carbon

    International Nuclear Information System (INIS)

    Liu Yazi; Yang Shaogui; Hong Jun; Sun Cheng

    2007-01-01

    TiO 2 thin films were deposited on granular activated carbon by a dip-coating method at low temperature (373 K), using microwave radiation to enhance the crystallization of titania nanoparticles. Uniform and continuous anatase titania films were deposited on the surface of activated carbon. BET surface area of TiO 2 -mounted activated carbon (TiO 2 /AC) decreased a little in comparison with activated carbon. TiO 2 /AC possessed strong optical absorption capacity with a band gap absorption edge around 360 nm. The photocatalytic activity did not increase when the as-synthesized TiO 2 /AC was thermally treated, but was much higher than commercial P-25 in degradation of phenol by irradiation of electrodeless discharge lamps (EDLs)

  18. Crystal Structure And Optical Properties Of TiO2 Thin Films Prepared By Reactive RF Magnetron Sputtering

    Directory of Open Access Journals (Sweden)

    Goto S.

    2015-06-01

    Full Text Available In sputtering deposition process of TiO2, metal Ti or sintered TiO2 target is used as deposition source. In this study, we have compared the characteristic of target materials. When TiO2 target was used, stoichiometric TiO2 films was deposited under the Ar atmosphere containing 1.0% of oxygen. The highest sputtering rate under this atmosphere was 3.9nm/min at 3.4W/cm2. But, sintered TiO2 target is fragile and cannot endure higher density of input power than 3.4W/cm2. On the other hand, Ti target needs higher oxygen concentration (8% in sputtering gas atmosphere for obtaining rutile/anatase. Even though Ti target can be input twice power density of 7.9W/cm2, the highest deposition rate for Ti target was 1.4/nm, which was ~35% of the highest rate for TiO2 target. Then we have study out the composite target consisting of Ti plate and TiO2 chips. Using the composite target, stoichiometric TiO2 films were prepared in the rate of 9.6nm/min at 6.8 W/cm2 under the atmosphere of Ar/2.5%O2. Furthermore, we have found that the TiO2 films obtained from the composite target consisted of about 100% anatase, whereas TiO2 films obtained from other target have rutile dominant structure. The optical band gap energy of the film is determined by using the Tauc plot. The calculated band gap energies for the films deposited by Ti target and composite target were 2.95 and 3.24eV, which are equivalent to that of rutile and anatase structure, respectively.

  19. Spray pyrolysed Ru:TiO2 thin film electrodes prepared for electrochemical supercapacitor

    Science.gov (United States)

    Fugare, B. Y.; Thakur, A. V.; Kore, R. M.; Lokhande, B. J.

    2018-04-01

    Ru doped TiO2 thin films are prepared by using 0.06 M aqueous solution of potassium titanium oxalate (pto), and 0.005 M aqueous solution of ruthenium tri chloride (RuCl3) precursors. The deposition was carried on stainless steel (SS) by using well known ultrasonic spray pyrolysis technique (USPT) at 723° K by maintaining the spray rate 12 cc/min and compressed air flow rate 10 Lmin-1. Prepared Ru:TiO2 thin films were characterized by structurally, morphologically and electrochemically. Deposited RuO2 shows amorphous structure and TiO2 shows tetragonal crystal structure with rutile as prominent phase at very low decomposition temperature. SEM micrographs of RuO2 exhibits porous, interconnected, spherical grains type morphology and TiO2 shows porous, nanorods and nanoplates like morphology and also Ru doped TiO2 shows porous, spherical, granular and nanorods type morphology. The electrochemical cyclic voltammetery shows mixed capacitive behavior. The achieved highest value of specific capacitance 2692 F/g was Ru doped TiO2 electrode in 0.5 M H2SO4.

  20. Two-Level Micro-to-Nanoscale Hierarchical TiO2 Nanolayers on Titanium Surface

    Directory of Open Access Journals (Sweden)

    Elena G. Zemtsova

    2016-12-01

    Full Text Available Joint replacement is being actively developed within modern orthopedics. One novel material providing fast implantation is bioactive coatings. The synthesis of targeted nanocoatings on metallic nanotitanium surface is reported in this paper. TiO2-based micro- and nanocoatings were produced by sol-gel synthesis using dip-coating technology with subsequent fast (shock drying in hot plate mode at 400 °C. As a result of shock drying, the two-level hierarchical TiO2 nanolayer on the nanotitanium was obtained. This two-level hierarchy includes nanorelief of porous xerogel and microrelief of the micron-sized “defect” network (a crack network. The thickness of TiO2 nanolayers was controlled by repeating dip-coating process the necessary number of times after the first layer deposition. The state of the MS3T3-E1 osteoblast cell line (young cells that form bone tissue on the two-level hierarchical surface has been studied. Particularly, adhesion character, adhesion time and morphology have been studied. The reported results may serve the starting point for the development of novel bioactive coatings for bone and teeth implants.

  1. H2O2 and/or TiO2 photocatalysis under UV irradiation for the removal of antibiotic resistant bacteria and their antibiotic resistance genes

    International Nuclear Information System (INIS)

    Guo, Changsheng; Wang, Kai; Hou, Song; Wan, Li; Lv, Jiapei; Zhang, Yuan; Qu, Xiaodong; Chen, Shuyi; Xu, Jian

    2017-01-01

    Highlights: • TiO 2 thin film was successfully synthesized for treating ARB and ARGs from water. • More than 5.5 log units of ARB reduction was achieved by TiO 2 under UV irradiation. • With TiO 2 , ARGs were reduced by more than 5 log units under UV irradiation. • TiO 2 could remove both intracellular and extracellular forms of ARGs. - Abstract: Inactivating antibiotic resistant bacteria (ARB) and removing antibiotic resistance genes (ARGs) are very important to prevent their spread into the environment. Previous efforts have been taken to eliminate ARB and ARGs from aqueous solution and sludges, however, few satisfying results have been obtained. This study investigated whether photocatalysis by TiO 2 was able to reduce the two ARGs, mecA and ampC, within the host ARB, methicillin-resistant Staphylococcus aureus (MRSA) and Pseudomonas aeruginosa, respectively. The addition of H 2 O 2 and matrix effect on the removal of ARB and ARGs were also studied. TiO 2 thin films showed great effect on both ARB inactivation and ARGs removal. Approximately 4.5-5.0 and 5.5–5.8 log ARB reductions were achieved by TiO 2 under 6 and 12 mJ/cm 2 UV 254 fluence dose, respectively. For ARGs, 5.8 log mecA reduction and 4.7 log ampC reduction were achieved under 120 mJ/cm 2 UV 254 fluence dose in the presence of TiO 2 . Increasing dosage of H 2 O 2 enhanced the removal efficiencies of ARB and ARGs. The results also demonstrated that photocatalysis by TiO 2 was capable of removing both intracellular and extracellular forms of ARGs. This study provided a potential alternative method for the removal of ARB and ARGs from aqueous solution.

  2. Variable range hopping in TiO2 insulating layers for oxide electronic devices

    Directory of Open Access Journals (Sweden)

    Y. L. Zhao

    2012-03-01

    Full Text Available TiO2 thin films are of importance in oxide electronics, e.g., Pt/TiO2/Pt for memristors and Co-TiO2/TiO2/Co-TiO2 for spin tunneling devices. When such structures are deposited at a variety of oxygen pressures, how does TiO2 behave as an insulator? We report the discovery of an anomalous resistivity minimum in a TiO2 film at low pressure (not strongly dependent on deposition temperature. Hall measurements rule out band transport and in most of the pressure range the transport is variable range hopping (VRH though below 20 K it was difficult to differentiate between Mott and Efros-Shklovskii's (ES mechanism. Magnetoresistance (MR of the sample with lowest resistivity was positive at low temperature (for VRH but negative above 10 K indicating quantum interference effects.

  3. Preparation and properties of TiO2 films by complexing agent-assisted sol-gel method. Yuki haiishi wo mochiita sol gel ho ni yoru TiO2 usumaku no sakusei to seishitsu

    Energy Technology Data Exchange (ETDEWEB)

    Nishide, T [Nissan Motor Co. Ltd., Tokyo (Japan); Mizukami, F [National Chemical Laboratory for Industry, Tsukuba (Japan)

    1992-09-01

    In order to control optical properties of TiO2 thin films, the TiO2 films were prepared by the sol-gel method using glycols or their ether derivatives as organic ligands to study the effect of the organic ligands on the refractive indices and crystal phases. Samples were prepared as follows: Organic ligand was added into the ethanol solution of Ti(O-iso-pr) 4, and aqueous nitric acid solution was added after reflux, and sol obtained by subsequent reflux was applied on soda lime glass or silicon wafer substrates, which were baked at 400 to 900 centigrade. Three kinds of ligand such as 2-(2-methoxyethoxy) ethanol were used. Measured items are the film thickness, thermal characteristics, crystal phase and refractive indices of thin films. The key points are as follows: In case of TiO2 thin films on the soda lime glass substrate, the changing pattern of refractive indices by temperature changes with the kind of ligand used and further the peak strength of anatase changes with the kind of ligand used. In case of silicon wafer substrates, the peak strength of anatase and rutile changes with the kind of ligand. The refractive indices and crystal phase can be controlled by properly using the ligand. 7 refs., 11 figs.

  4. Deliberate Design of TiO2 Nanostructures towards Superior Photovoltaic Cells.

    Science.gov (United States)

    Sun, Ziqi; Liao, Ting; Sheng, Liyuan; Kou, Liangzhi; Kim, Jung Ho; Dou, Shi Xue

    2016-08-01

    TiO2 nanostructures are being sought after as flexibly utilizable building blocks for the fabrication of the mesoporous thin-film photoelectrodes that are the heart of the third-generation photovoltaic devices, such as dye-sensitized solar cells (DSSCs), quantum-dot-sensitized solar cells (QDSSCs), and the recently promoted perovskite-type solar cells. Here, we report deliberate tailoring of TiO2 nanostructures for superior photovoltaic cells. Morphology engineering of TiO2 nanostructures is realized by designing synthetic protocols in which the precursor hydrolysis, crystal growth, and oligomer self-organization are precisely controlled. TiO2 nanostructures in forms varying from isolated nanocubes, nanorods, and cross-linked nanorods to complex hierarchical structures and shape-defined mesoporous micro-/nanostructures were successfully synthesized. The photoanodes made from the shape-defined mesoporous TiO2 microspheres and nanospindles presented superior performances, owing to the well-defined overall shapes and the inner ordered nanochannels, which allow not only a high amount of dye uptake, but also improved visible-light absorption. This study provides a new way to seek an optimal synthetic protocol to meet the required functionality of the nanomaterials. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Versatile preparation method for mesoporous TiO2 electrodes ...

    Indian Academy of Sciences (India)

    Unknown

    cyanate into CuI layer further enhanced the efficiency up to 2⋅75% under the irradiance .... an extremely easy way to dope films with virtually any .... to see the effect of ionic liquid on CuI, 1-ethyl-3-methyl- ... This analysis showed that TiO2 electrodes were polycrys- .... thin insulating layer of Al2O3 by using dip-coating meth-.

  6. Interfacial characteristics and dielectric properties of Ba0.65Sr0.35TiO3 thin films

    International Nuclear Information System (INIS)

    Quan Zuci; Zhang Baishun; Zhang Tianjin; Zhao Xingzhong; Pan Ruikun; Ma Zhijun; Jiang Juan

    2008-01-01

    Ba 0.65 Sr 0.35 TiO 3 (BST) thin films were deposited on Pt/Ti/SiO 2 /Si substrates by radio frequency magnetron sputtering technique. X-ray photoelectron spectroscopy (XPS) depth profiling data show that each element component of the BST film possesses a uniform distribution from the outermost surface to subsurface, but obvious Ti-rich is present to BST/Pt interface because Ti 4+ cations are partially reduced to form amorphous oxides such as TiO x (x -7 A/cm 2 at 1.23 V and lower than 5.66 x 10 -6 A/cm 2 at 2.05 V as well as breakdown strength is above 3.01 x 10 5 V/cm

  7. Controlled formation of anatase and rutile TiO2 thin films by reactive magnetron sputtering

    Directory of Open Access Journals (Sweden)

    Damon Rafieian

    2015-09-01

    Full Text Available We discuss the formation of TiO2 thin films via DC reactive magnetron sputtering. The oxygen concentration during sputtering proved to be a crucial parameter with respect to the final film structure and properties. The initial deposition provided amorphous films that crystallise upon annealing to anatase or rutile, depending on the initial sputtering conditions. Substoichiometric films (TiOx<2, obtained by sputtering at relatively low oxygen concentration, formed rutile upon annealing in air, whereas stoichiometric films formed anatase. This route therefore presents a formation route for rutile films via lower (<500 °C temperature pathways. The dynamics of the annealing process were followed by in situ ellipsometry, showing the optical properties transformation. The final crystal structures were identified by XRD. The anatase film obtained by this deposition method displayed high carriers mobility as measured by time-resolved microwave conductance. This also confirms the high photocatalytic activity of the anatase films.

  8. Photocatalytic Water Treatment on TiO2 Thin Layers.

    Czech Academy of Sciences Publication Activity Database

    Šolcová, Olga; Spáčilová, L.; Maléterová, Ywetta; Morozová, Magdalena; Ezechiáš, Martin; Křesinová, Zdena

    2016-01-01

    Roč. 57, č. 25 (2016), s. 11631-11638 ISSN 1944-3994. [International Conference on Protection and Restoration of the Environment /12./. Skiathos Island, 29.06.2014-03.07.2014] R&D Projects: GA TA ČR TA01020804 Institutional support: RVO:67985858 ; RVO:61388971 Keywords : water purification * endocrine disruptor * photocatalytic * TiO2 layers Subject RIV: CI - Industrial Chemistry, Chemical Engineering; EE - Microbiology, Virology (MBU-M) Impact factor: 1.631, year: 2016

  9. Hysteretic current-voltage characteristics in RF-sputtered nanocrystalline TiO2 thin films

    International Nuclear Information System (INIS)

    Villafuerte, Manuel; Juarez, Gabriel; Heluani, Silvia P. de; Comedi, David

    2007-01-01

    We have measured the current-voltage characteristics at room temperature of a nanocrystalline TiO 2 thin film fabricated by reactive RF-sputtering deposition and sandwiched between ITO (indium-tin-oxide)-buffered glass substrate and an indium top electrode. The I-V characteristics are ohmic for low voltages and become non-linear, hysteretic and asymmetric as the voltage is increased. The system is shown to be well represented by two distinct resistance states in the non-ohmic region. Current transient evolutions were also measured for constant voltage excitations. The resistance is stable in time for voltages in the ohmic regime. In contrast, for voltages in the non-ohmic regime, the resistance has a small variation for a short period of time (order of tens seconds) and then increases with time. For those transients, long characteristic times (on the order of tens of minutes up to hours) were found. The behavior of the system is discussed on the basis of experimental results reported in the literature for similar systems and existing models for electric-field induced resistive switching

  10. Development of Dye-Sensitized Solar Cells with Sputtered N-Doped TiO2 Thin Films: From Modeling the Growth Mechanism of the Films to Fabrication of the Solar Cells

    Directory of Open Access Journals (Sweden)

    D. A. Duarte

    2014-01-01

    Full Text Available In this paper, nitrogen-doped TiO2 thin films were deposited by DC reactive sputtering at different doping levels for the development of dye-sensitized solar cells. The mechanism of film growth during the sputtering process and the effect of the nitrogen doping on the structural, optical, morphological, chemical, and electronic properties of the TiO2 were investigated by numerical modeling and experimental methods. The influence of the nitrogen doping on the working principle of the prototypes was investigated by current-voltage relations measured under illuminated and dark conditions. The results indicate that, during the film deposition, the control of the oxidation processes of the nitride layers plays a fundamental role for an effective incorporation of substitutional nitrogen in the film structure and cells built with nitrogen-doped TiO2 have higher short-circuit photocurrent in relation to that obtained with conventional DSSCs. On the other hand, DSSCs built with nondoped TiO2 have higher open-circuit voltage. These experimental observations indicate that the incorporation of nitrogen in the TiO2 lattice increases simultaneously the processes of generation and destruction of electric current.

  11. Promoted Fixation of Molecular Nitrogen with Surface Oxygen Vacancies on Plasmon-Enhanced TiO2 Photoelectrodes.

    Science.gov (United States)

    Li, Chengcheng; Wang, Tuo; Zhao, Zhi-Jian; Yang, Weimin; Li, Jian-Feng; Li, Ang; Yang, Zhilin; Ozin, Geoffrey A; Gong, Jinlong

    2018-02-19

    A hundred years on, the energy-intensive Haber-Bosch process continues to turn the N 2 in air into fertilizer, nourishing billions of people while causing pollution and greenhouse gas emissions. The urgency of mitigating climate change motivates society to progress toward a more sustainable method for fixing N 2 that is based on clean energy. Surface oxygen vacancies (surface O vac ) hold great potential for N 2 adsorption and activation, but introducing O vac on the very surface without affecting bulk properties remains a great challenge. Fine tuning of the surface O vac by atomic layer deposition is described, forming a thin amorphous TiO 2 layer on plasmon-enhanced rutile TiO 2 /Au nanorods. Surface O vac in the outer amorphous TiO 2 thin layer promote the adsorption and activation of N 2 , which facilitates N 2 reduction to ammonia by excited electrons from ultraviolet-light-driven TiO 2 and visible-light-driven Au surface plasmons. The findings offer a new approach to N 2 photofixation under ambient conditions (that is, room temperature and atmospheric pressure). © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Fabrication of TiO2-modified polytetrafluoroethylene ultrafiltration membranes via plasma-enhanced surface graft pretreatment

    Science.gov (United States)

    Qian, Yingjia; Chi, Lina; Zhou, Weili; Yu, Zhenjiang; Zhang, Zhongzhi; Zhang, Zhenjia; Jiang, Zheng

    2016-01-01

    Surface hydrophilic modification of polymer ultrafiltration membrane using metal oxide represents an effective yet highly challenging solution to improve water flux and antifouling performance. Via plasma-enhanced graft of poly acryl acid (PAA) prior to coating TiO2, we successfully fixed TiO2 functional thin layer on super hydrophobic polytetrafluoroethylene (PTFE) ultrafiltration (UF) membranes. The characterization results evidenced TiO2 attached on the PTFE-based UF membranes through the chelating bidentate coordination between surface-grafted carboxyl group and Ti4+. The TiO2 surface modification may greatly reduce the water contact angle from 115.8° of the PTFE membrane to 35.0° without degradation in 30-day continuous filtration operations. The novel TiO2/PAA/PTFE membranes also exhibited excellent antifouling and self-cleaning performance due to the intrinsic hydrophilicity and photocatalysis properties of TiO2, which was further confirmed by the photo-degradation of MB under Xe lamp irradiation.

  13. Reflection Enhancement Using TiO2/SiO2 Bilayer Films Prepared by Cost-Effective Sol-gel Method

    Directory of Open Access Journals (Sweden)

    R. Ajay Kumar

    2017-04-01

    Full Text Available Multilayer dielectric thin film structure has been demanded for its application in optoelectronic devices such as optical waveguides, vertical cavity surface-emitting devices, biosensors etc. In this paper, we present the fabrication and characterization of bilayer thin films of TiO2/SiO2 using sol-gel spin coating method. Ellipsometer measurement showed refractive index values 1.46, 2.1 corresponding to the SiO2 and TiO2 films respectively. The FTIR transmittance peaks observed at ~970 cm-1, ~1100 cm-1 and ~1400 cm-1 are attributed to the Ti-O-Si, Si-O-Si and Ti-O-Ti bonds respectively. Maximum reflectance is observed from two bilayer film structure which can be further optimized to get the high reflection to a broad wavelength range.

  14. Large improvement of electron extraction from CdSe quantum dots into a TiO2 thin layer by N3 dye coabsorption

    International Nuclear Information System (INIS)

    Mora-Sero, Ivan; Dittrich, Thomas; Susha, Andrei S.; Rogach, Andrey L.; Bisquert, Juan

    2008-01-01

    Extraction of electrons and holes photogenerated in CdSe quantum dots (QD) of 2.3 nm diameter, is monitored by Surface Photovoltage Spectroscopy. The extraction of electrons into a thin TiO 2 layer increases five-fold by absorption of N3 dye molecules on top of the QD layer. This process is facilitated by efficient hole extraction from the valence band of the QDs to the ground state of the N3 dye. Our results represent a direct measurement of charge separation in the N3/QD/TiO 2 system

  15. In vivo behavior of surface modified Ti6Al7Nb alloys used in selective laser melting for custom-made implants. A preliminary study.

    Science.gov (United States)

    Rotaru, H; Armencea, G; Spîrchez, Diana; Berce, C; Marcu, Teodora; Leordean, D; Kim, Seong Gon; Lee, Sang Woon; Dinu, C; Băciuţ, G; Băciuţ, Mihaela

    2013-01-01

    The objectives of this study were to test the biocompatibility and to evaluate the osseointegration of Titanium-Aluminum-Niobium (Ti6Al7Nb) alloy used in the manufacturing of personalized implants with selective laser melting (SLM) technology and to compare the growth viability of osteoblastic-like cells on different Ti6Al7Nb alloy samples (plain, coated with hydroxyapatite or SiO2-TiO2) implanted into the cranial bone of Wistar rats. In terms of biocompatibility, the cone-beam computer-tomography head scans taken at the moment of sacrifice of each group (one, two and three months) showed no implant displacement, no osteolysis and no liquid collection around the implants. At one month, around all types of implants new bone formation was noticed, although around the plain Ti6Al7Nb implant a large amount of powder debris was present. Still, no inflammatory reaction was seen. At two months, the distance between the implants and the calvarial bone margins diminished. A thin layer of fibrous tissue was noticed around the Ti6Al7Nb implant coated with hydroxyapatite but no bone contact was achieved. In the group sacrificed at three months there was still no bone contact, but noticeable were the SiO2-TiO2. In the group sacrificed at three months SiO2-TiO2 particles detached from the implant and completely integrated in the tissue were noticeable. All results suggested that the Ti6Al7Nb alloy with or without infiltration is well biologically tolerated.

  16. Enhanced gas sensing performance of TiO2 functionalized magneto-optical SPR sensors

    OpenAIRE

    Manera, Maria Grazia; Montagna, G.; Ferreiro-Vila, Elías; González-García, Lola; Sánchez-Valencia, J.R.; González-Elipe, Agustín R.; Cebollada, Alfonso; García-Martín, José Miguel; García-Martín, Antonio; Armelles Reig, Gaspar; Rella, Roberto

    2011-01-01

    Porous TiO2 thin films deposited by glancing angle deposition are used as sensing layers to monitor their sensing capabilities towards Volatile Organic Compounds both in a standard Surface Plasmon Resonance (SPR) sensor and in Magneto-Optical Surface Plasmon Resonance (MO-SPR) configuration in order to compare their sensing performances. Here our results on the enhanced sensing capability of these TiO2 functionalized MO-SPR sensors with Au/Co/Au transducers with respect to traditional SPR gas...

  17. Synthesis of Ag ion-implanted TiO{sub 2} thin films for antibacterial application and photocatalytic performance

    Energy Technology Data Exchange (ETDEWEB)

    Hou, Xinggang, E-mail: hou226@mail.tjnu.edu.cn [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Ma, Huiyan; Liu, Feng; Deng, Jianhua; Ai, Yukai; Zhao, Xinlei; Mao, Dong; Li, Dejun [Department of Physics, Tianjin Normal University, Tianjin 300387 (China); Liao, Bin [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-12-15

    Highlights: • Implanted TiO{sub 2} films with excellent antibacterial and photocatalytic ability was prepared. • Bactericidal effect of released Ag ions was confirmed using VC as radical scavenger. • Excitation of TiO{sub 2} to visible region is attributed to subtitutional Ag. • Synergetic effect of Ag{sup 3+} and Ag{sup +} accounts for the enhanced ability of TiO{sub 2}. - Abstract: TiO{sub 2} thin films were deposited by spin coating method. Silver ions were implanted into the films using a Metal Vapor Vacuum Arc implanter. The antibacterial ability of implanted films was tested using Escherichia coli removal under fluorescent irradiation and in the dark. The concentration of E. coli was evaluated by plating technique. The photocatalytic efficiency of the implanted films was studied by degradation of methyl orange under fluorescent illumination. The surface free energy of the implanted TiO{sub 2} films was calculated by contact angle testing. Vitamin C was used as radical scavengers to explore the antibacterial mechanism of the films. The results supported the model that both generation of reactive oxygen species and release of silver ions played critical roles in the toxic effect of implanted films against E. coli. XPS experimental results demonstrated that a portion of the Ag(Ag{sup 3+}) ions were doped into the crystalline lattice of TiO{sub 2}. As demonstrated by density functional theory calculations, the impurity energy level of subtitutional Ag was responsible for enhanced absorption of visible light. Ag ion-implanted TiO{sub 2} films with excellent antibacterial efficiency against bacteria and decomposed ability against organic pollutants could be potent bactericidal surface in moist environment.

  18. Fabrication and Film Qualification of Sr Modified Pb(Ca) TiO3 Thin Films

    International Nuclear Information System (INIS)

    Naw Hla Myat San; Khin Aye Thwe; Than Than Win; Yin Maung Maung; Ko Ko Kyaw Soe

    2011-12-01

    Strontium and calcium - modified lead titanate (Pb0.7 Ca0.15 Sr0.15 ) TiO3 (PCST)thin films were prepared by using spin coating technique. Phase transition of PCST was interpreted by means of Er-T characteristics. Process temperature dependence on micro-structure of PCST film was studied. Charge conduction mechanism of PCST thin film was also investigated for film qualification.

  19. Synthesis of carbon-coated TiO 2 nanotubes for high-power lithium-ion batteries

    Science.gov (United States)

    Park, Sang-Jun; Kim, Young-Jun; Lee, Hyukjae

    Carbon-coated TiO 2 nanotubes are prepared by a simple one-step hydrothermal method with an addition of glucose in the starting powder, and are characterized by morphological analysis and electrochemical measurement. A thin carbon coating on the nanotube surface effectively suppresses severe agglomeration of TiO 2 nanotubes during hydrothermal reaction and post calcination. This action results in better ionic and electronic kinetics when applied to lithium-ion batteries. Consequently, carbon-coated TiO 2 nanotubes deliver a remarkable lithium-ion intercalation/deintercalation performance, such as reversible capacities of 286 and 150 mAh g -1 at 250 and 7500 mA g -1, respectively.

  20. Nano-MnO2@TiO2 microspheres: A novel structure and excellent performance as anode of lithium-ion batteries

    Science.gov (United States)

    Cao, Zhiguang; Chen, Xiaoqiao; Xing, Lidang; Liao, Youhao; Xu, Mengqing; Li, Xiaoping; Liu, Xiang; Li, Weishan

    2018-03-01

    A structurally hierarchical MnO2/TiO2 composite (Nano-MnO2@TiO2) is fabricated by calcining MnCO3 microspheres and coating a thin layer of TiO2 through the heat decomposition of tetrabutyl titanate, and evaluated as anode of gravimetrically and volumetrically high energy density lithium ion battery. The characterizations from FESEM, TEM, HRTEM and XRD, indicate that the resulting Nano-MnO2@TiO2 takes a spherical morphology with a core of about 2 μm in diameter, consisting of compact MnO2 nanoparticles, and a shell of 60 nm thick, consisting of smaller TiO2 nanoparticles. The charge/discharge tests demonstrate that Nano-MnO2@TiO2 exhibits excellent performance as anode of lithium ion battery, delivering a capacity of 938 mAh g-1 at 300 mA g-1 after 200 cycles, compared to the 103 mAh g-1 of the uncoated sample. The microsphere consisting of compact nanoparticles provides Nano-MnO2@TiO2 with high specific gravity. The dimensionally and structurally stable TiO2 maintains the integrity of MnO2 microspheres and facilitates lithium insertion/extraction. This unique structure yields the excellent cyclic stability and rate capability of Nano-MnO2@TiO2.

  1. Anatase TiO2 hierarchical structures composed of ultra-thin nano-sheets exposing high percentage {0 0 1} facets and their application in quantum-dot sensitized solar cells

    International Nuclear Information System (INIS)

    Wu, Dapeng; Zhang, Shuo; Jiang, Shiwei; He, Jinjin; Jiang, Kai

    2015-01-01

    Graphical abstract: TiO 2 hierarchical structures assembled from ultra-thin nanosheets exposing ∼90% {0 0 1} facets were employed as photoanode materials to improve the performance of CdS/CdSe co-sensitized solar cells. - Highlights: • THSs composited of nanosheets exposing high percent {0 0 1} facets were prepared. • THSs improve the QDs loading amount and light scattering of the photoanode. • THSs suppress the carrier recombination and finally lead to ∼25% PCE improvement. - Abstract: TiO 2 hierarchical structures (THSs) composed of ultra-thin nano-sheets exposing ∼90% {0 0 1} facets were prepared via a hydrothermal method. Time dependent trails revealed the formation of THSs experienced a self-assemble process. The as-prepared product were used as the photoanode materials for CdS/CdSe co-sensitized solar cells, and the THSs/nanoparticle hybrid photoanode demonstrated a power conversion efficiency of 3.47%, indicating ∼25% improvement compared with the nanoparticle cell

  2. Fabrication of a TiO2-P25/(TiO2-P25+TiO2 nanotubes junction for dye sensitized solar cells

    Directory of Open Access Journals (Sweden)

    Nguyen Huy Hao

    2016-08-01

    Full Text Available The dye sensitized solar cell (DSSC, which converts solar light into electric energy, is expected to be a promising renewable energy source for today's world. In this work, dye sensitized solar cells, one containing a single layer and one containing a double layer, were fabricated. In the double layer DSSC structure, the under-layer was TiO2-P25 film, and the top layer consisted of a mixture of TiO2-P25 and TiO2 nanotubes. The results indicated that the efficiency of the DSSC with the double layer structure was a significant improvement in comparison to the DSSC consisting of only a single film layer. The addition of TiO2-P25 in the top layer caused an improvement in the adsorption of dye molecules on the film rather than on the TiO2 nanotubes only. The presence of the TiO2 nanotubes together with TiO2-P25 in the top layer revealed the enhancement in harvesting the incident light and an improvement of electron transport through the film.

  3. TiO2 coatings via atomic layer deposition on polyurethane and polydimethylsiloxane substrates: Properties and effects on C. albicans growth and inactivation process

    Science.gov (United States)

    Pessoa, R. S.; dos Santos, V. P.; Cardoso, S. B.; Doria, A. C. O. C.; Figueira, F. R.; Rodrigues, B. V. M.; Testoni, G. E.; Fraga, M. A.; Marciano, F. R.; Lobo, A. O.; Maciel, H. S.

    2017-11-01

    Atomic layer deposition (ALD) surges as an attractive technology to deposit thin films on different substrates for many advanced biomedical applications. Herein titanium dioxide (TiO2) thin films were successful obtained on polyurethane (PU) and polydimethylsiloxane (PDMS) substrates using ALD. The effect of TiO2 films on Candida albicans growth and inactivation process were also systematic discussed. TiCl4 and H2O were used as precursors at 80 °C, while the reaction cycle number ranged from 500 to 2000. Several chemical, physical and physicochemical techniques were used to evaluate the growth kinetics, elemental composition, material structure, chemical bonds, contact angle, work of adhesion and surface morphology of the ALD TiO2 thin films grown on both substrates. For microbiological analyses, yeasts of standard strains of C. albicans were grown on non- and TiO2-coated substrates. Next, the antifungal and photocatalytic activities of the TiO2 were also investigated by counting the colony-forming units (CFU) before and after UV-light treatment. Chlorine-doped amorphous TiO2 films with varied thicknesses and Cl concentration ranging from 2 to 12% were obtained. In sum, the ALD TiO2 films suppressed the yeast-hyphal transition of C. albicans onto PU, however, a high adhesion of yeasts was observed. Conversely, for PDMS substrate, the yeast adhesion did not change, as observed in control. Comparatively to control, the TiO2-covered PDMS had a reduction in CFU up to 59.5% after UV treatment, while no modification was observed to TiO2-covered PU. These results pointed out that ALD chlorine-doped amorphous TiO2 films grown on biomedical polymeric surfaces may act as fungistatic materials. Furthermore, in case of contamination, these materials may also behave as antifungal materials under UV light exposure.

  4. 500 keV Ar2+ ion irradiation induced anatase to brookite phase transformation and ferromagnetism at room temperature in TiO2 thin films

    Science.gov (United States)

    Bharati, B.; Mishra, N. C.; Kanjilal, D.; Rath, Chandana

    2018-01-01

    In our earlier report, where we have demonstrated ferromagnetic behavior at room temperature (RT) in TiO2 thin films deposited through electron beam evaporation technique followed by annealing either in Ar or O2 atmosphere [Mohanty et al., Journal of Magnetism and Magnetic Materials 355 (2014) 240-245], here we have studied the evolution of structure and magnetic properties after irradiating the TiO2 thin films with 500 keV Ar2+ ions. The pristine film while exhibits anatase phase, the films become amorphous after irradiating at fluence in the range 1 × 1014 to 1 × 1016 ions/cm2. Increasing the fluence up to 5 × 1016 ions/cm2, amorphous to crystalline phase transformation occurs and the structure becomes brookite. Although anatase to rutile phase transformation is usually reported in literatures, anatase to brookite phase transformation is an unusual feature which we have reported here for the first time. Such anatase to brookite phase transformation is accompanied with grain growth without showing any change in film thickness evidenced from Rutherford's Back Scattering (RBS) measurement. From scanning probe micrographs (SPM), roughness is found to be more in amorphous films than in the crystalline ones. Anatase to brookite phase transformation could be realized by considering the importance of intermediate amorphous phase. Because due to amorphous phase, heat deposited by energetic ions are localized as dissipation of heat is less and as a result, the localized region crystallizes in brookite phase followed by grain growth as observed in highest fluence. Further, we have demonstrated ferromagnetic behavior at RT in irradiated films similar to pristine one, irrespective of their phase and crystallinity. Origin for room temperature ferromagnetism (RTFM) is attributed to the presence of oxygen vacancies which is confirmed by carrying out XPS measurement.

  5. Photocatalytic Study of New Immobilized TiO2 Technique Towards Degradation of Reactive Red 4 Dye

    Directory of Open Access Journals (Sweden)

    Ain S. K.

    2016-01-01

    Full Text Available The study on TiO2 for wastewater remediation has gained interest among researchers. However, the application of this photocatalyst is limited due to non-recyclability of conventional TiO2. Thus, immobilization technique has been developed to solve this issue. Hence, a comparison study between two types of immobilized photocatalysts namely titanium dioxide (TiO2 and TiO2 mixed with polyvinyl alcohol (PVA has been conducted in this work to observe the significant effect of PVA polymer in photocatalysis reaction of reactive red 4 (RR4 dye. Double sided adhesive tape (DSAT was used as thin layer binder in this immobilization system. The result shows that the photocatalytic performance of TiO2-PVA/DSAT was higher than that of TiO2/DSAT under both normal UV and visible light irradiations due to the conjugated unsaturated polymer from PVA serve as electron donor for TiO2 thus increase the photocatalysis process. Besides, TiO2-PVA/DSAT was also found to possess much better adhesion strength to the support material compared to TiO2/DSAT. Based on the findings, this TiO2 immobilization system is expected to be beneficial in the industrial wastewater treatment. Thus, further study to improve the photocatalytic activity of this immobilized TiO2 will be in our future work.

  6. Few-Layer MoS2 Nanodomains Decorating TiO2 Nanoparticles: A Case Study for the Photodegradation of Carbamazepine

    Directory of Open Access Journals (Sweden)

    Sara Cravanzola

    2018-03-01

    Full Text Available S-doped TiO2 and hybrid MoS2/TiO2 systems have been synthesized, via the sulfidation with H2S of the bare TiO2 and of MoOx supported on TiO2 systems, with the aim of enhancing the photocatalytic properties of TiO2 for the degradation of carbamazepine, an anticonvulsant drug, whose residues and metabolites are usually inefficiently removed in wastewater treatment plants. The focus of this study is to find a relationship between the morphology/structure/surface properties and photoactivity. The full characterization of samples reveals the strong effects of the H2S action on the properties of TiO2, with the formation of defects at the surface, as shown by transmission electron microscopy (TEM and infrared spectroscopy (IR, while also the optical properties are strongly affected by the sulfidation treatment, with changes in the electronic states of TiO2. Meanwhile, the formation of small and thin few-layer MoS2 domains, decorating the TiO2 surface, is evidenced by both high-resolution transmission electron microscopy (HRTEM and UV-Vis/Raman spectroscopies, while Fourier-transform infrared (FTIR spectra give insights into the nature of Ti and Mo surface sites. The most interesting findings of our research are the enhanced photoactivity of the MoS2/TiO2 hybrid photocatalyst toward the carbamazepine mineralization. Surprisingly, the formation of hazardous compounds (i.e., acridine derivatives, usually obtained from carbamazepine, is precluded when treated with MoS2/TiO2 systems.

  7. Influences of Stacking Architectures of TiO2 Nanoparticle Layers on Characteristics of Dye-Sensitized Solar Cells

    Directory of Open Access Journals (Sweden)

    Chih-Hung Tsai

    2013-01-01

    Full Text Available We investigated the influences of stacking architectures of the TiO2 nanoparticle layers on characteristics and performances of DSSCs. TiO2 nanoparticles of different sizes and compositions were characterized for their morphological and optical/scattering properties in thin films. They were used to construct different stacking architectures of the TiO2 nanoparticle layers for use as working electrodes of DSSCs. Characteristics and performances of DSSCs were examined to establish correlation of the stacking architectures of TiO2 nanoparticle layers with characteristics of DSSCs. The results suggest that the three-layer DSSC architecture, with sandwiching a 20 nm TiO2 nanoparticle layer between a 37 nm TiO2 nanoparticle layer and a hundred nm sized TiO2 back scattering/reflection layer, is effective in enhancing DSSC efficiencies. The high-total-transmittance 37 nm TiO2 nanoparticle layer with a larger haze can serve as an effective front scattering layer to scatter a portion of the incident light into larger oblique angles and therefore increase optical paths and absorption.

  8. Optical and electrical properties of Ti(Cr)O_2:N thin films deposited by magnetron co-sputtering

    International Nuclear Information System (INIS)

    Kollbek, K.; Szkudlarek, A.; Marzec, M.M.; Lyson-Sypien, B.; Cecot, M.; Bernasik, A.; Radecka, M.; Zakrzewska, K.

    2016-01-01

    Graphical abstract: - Highlights: • Co-doped well-crystallized stoichiometric Ti(Cr)O_2:N thin films are deposited. • Magnetron sputtering of ceramic TiO_2 target is a new strategy for co-doping. • Bigger contribution from substitutionally incorporated nitrogen is seen in XPS. • Significant red shift of the fundamental absorption edge is obtained. - Abstract: The paper deals with TiO_2-based thin films, doped with Cr and N, obtained by magnetron co-sputtering from titanium dioxide ceramic and chromium targets in Ar + N_2 atmosphere. Co-doped samples of Ti(Cr)O_2:N are investigated from the point of view of morphological, crystallographic, optical, and electrical properties. Characterization techniques such as: X-ray diffraction, XRD, scanning electron microscopy, SEM, atomic force microscopy, AFM, Energy Dispersive X-ray spectroscopy, EDX, X-ray photoelectron spectroscopy, XPS, optical spectrophotometry as well as impedance spectroscopy are applied. XRD reveals TiO_2 and TiO_2:N thin films are well crystallized as opposed to those of TiO_2:Cr and Ti(Cr)O_2:N. XPS spectra confirm that co-doping has been successfully performed with the biggest contribution from the lower binding energy component of N 1s peak at 396 eV. SEM analysis indicates uniform and dense morphology without columnar growth. Comparison between the band gaps indicates a significant shift of the absorption edge towards visible range from 3.69 eV in the case of non-stoichiometric Ti(Cr)O_2_−_x:N to 2.78 eV in the case of stoichiometric Ti(Cr)O_2:N which should be attributed to the incorporation of both dopants at substitutional positions in TiO_2 lattice. Electrical conductivity of stoichiometric Ti(Cr)O_2:N increases in comparison to co-doped nonstoichiometric TiO_2_−_x thin film and reaches almost the same value as that of TiO_2 stoichiometric film.

  9. Low-temperature technique of thin silicon ion implanted epitaxial detectors

    Energy Technology Data Exchange (ETDEWEB)

    Kordyasz, A.J.; Bednarek, A. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); Le Neindre, N.; Bougault, R.; Lopez, O.; Merrer, Y.; Vient, E. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); Parlog, M. [Universite de Caen, LPC, IN2P3-CNRS, ENSICAEN, Caen-Cedex (France); ' ' Horia Hulubei' ' National Institute of Physics and Nuclear Engineering (IFIN-HH), Bucharest Magurele (Romania); Casini, G.; Poggi, G.; Bini, M.; Valdre, S.; Scarlini, E.; Pasquali, G.; Pastore, G.; Piantelli, S.; Stefanini, A.; Olmi, A.; Barlini, S. [INFN Firenze, Sesto Fiorentino (Italy); Universita di Firenze, Sesto Fiorentino (Firenze) (Italy); Kowalczyk, M. [Warsaw University, Heavy Ion Laboratory, Warsaw (Poland); University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Frankland, J.D.; Bonnet, E.; Chbihi, A.; Gruyer, D. [CEA et IN2P3-CNRS, GANIL, Caen-Cedex 05 (France); Borderie, B.; Ademard, G.; Edelbruck, P.; Rivet, M.F.; Salomon, F. [IN2P3-CNRS, Institut de Physique Nucleaire, Orsay-Cedex (France); Boiano, A.; Rosato, E.; Meoli, A.; Ordine, A.; Spadaccini, G.; Tortone, G.; Vigilante, M.; Vanzanella, E. [Universita di Napoli ' ' Federico II' ' , Dipartimento di Scienze Fisiche, Napoli (Italy); INFN, Napoli (Italy); Bruno, M.; Serra, S.; Morelli, L.; Guerzoni, M. [INFN, Bologna (Italy); Universita di Bologna, Bologna (Italy); Alba, R.; Santonocito, D.; Maiolino, C. [INFN, Catania (Italy); Universita di Catania, LNS, Catania (Italy); Cinausero, M.; Gramegna, F.; Marchi, T. [INFN LNL Legnaro, Legnaro (Padova) (Italy); Kozik, T.; Kulig, P.; Twarog, T.; Sosin, Z. [Jagiellonian University, Cracow (Poland); Gasior, K.; Grzeszczuk, A.; Zipper, W. [University of Silesia, Silesian University, Katowice (Poland); Sarnecki, J.; Lipinski, D.; Wodzinska, H.; Brzozowski, A.; Teodorczyk, M.; Gajewski, M.; Zagojski, A.; Krzyzak, K. [Institute of Electronic Materials Technology, Warsaw (Poland); Tarasiuk, K.J. [University of Warsaw, Institute of Experimental Physics, Warsaw (Poland); Khabanowa, Z. [Faculty of Physics, Warsaw University of Technology, Warsaw (Poland); Kordyasz, L. [Warsaw University of Technology, Faculty of Mechatronics, Institute of Mikromechanics and Photonics, Department of Design of Precision Devices, Warsaw (Poland)

    2015-02-01

    A new technique of large-area thin ion implanted silicon detectors has been developed within the R and D performed by the FAZIA Collaboration. The essence of the technique is the application of a low-temperature baking process instead of high-temperature annealing. This thermal treatment is performed after B{sup +} ion implantation and Al evaporation of detector contacts, made by using a single adjusted Al mask. Extremely thin silicon pads can be therefore obtained. The thickness distribution along the X and Y directions was measured for a prototype chip by the energy loss of α-particles from {sup 241}Am (left angle E{sub α} right angle = 5.5 MeV). Preliminary tests on the first thin detector (area ∼ 20 x 20 mm{sup 2}) were performed at the INFN-LNS cyclotron in Catania (Italy) using products emitted in the heavy-ion reaction {sup 84}Kr (E = 35 A MeV) + {sup 112}Sn. The ΔE - E ion identification plot was obtained using a telescope consisting of our thin ΔE detector (21 μm thick) followed by a typical FAZIA 510 μm E detector of the same active area. The charge distribution of measured ions is presented together with a quantitative evaluation of the quality of the Z resolution. The threshold is lower than 2 A MeV depending on the ion charge. (orig.)

  10. Fabrication of TiO_2-modified polytetrafluoroethylene ultrafiltration membranes via plasma-enhanced surface graft pretreatment

    International Nuclear Information System (INIS)

    Qian, Yingjia; Chi, Lina; Zhou, Weili; Yu, Zhenjiang; Zhang, Zhongzhi; Zhang, Zhenjia; Jiang, Zheng

    2016-01-01

    Graphical abstract: - Highlights: • Multifunctional TiO_2/PAA/PTFE ultrafiltration membrane was fabricated via tight coating of TiO_2 functional layer onto the plasma-assisted graft of PAA on PTFE. • The high water flux rate, remarkable enhanced ultrafiltration performance and excellent self-cleaning ability were demonstrated. • The formation of COO−Ti bidentate coordination between TiO_2 and PAA was responsible for the successful coating. - Abstract: Surface hydrophilic modification of polymer ultrafiltration membrane using metal oxide represents an effective yet highly challenging solution to improve water flux and antifouling performance. Via plasma-enhanced graft of poly acryl acid (PAA) prior to coating TiO_2, we successfully fixed TiO_2 functional thin layer on super hydrophobic polytetrafluoroethylene (PTFE) ultrafiltration (UF) membranes. The characterization results evidenced TiO_2 attached on the PTFE-based UF membranes through the chelating bidentate coordination between surface-grafted carboxyl group and Ti"4"+. The TiO_2 surface modification may greatly reduce the water contact angle from 115.8° of the PTFE membrane to 35.0° without degradation in 30-day continuous filtration operations. The novel TiO_2/PAA/PTFE membranes also exhibited excellent antifouling and self-cleaning performance due to the intrinsic hydrophilicity and photocatalysis properties of TiO_2, which was further confirmed by the photo-degradation of MB under Xe lamp irradiation.

  11. Ion beam modification of TiO2 films prepared by Cat-CVD for solar cell

    International Nuclear Information System (INIS)

    Narita, Tomoki; Iida, Tamio; Ogawa, Shunsuke; Mizuno, Kouichi; So, Jisung; Kondo, Akihiro; Yoshida, Norimitsu; Itoh, Takashi; Nonomura, Shuichi; Tanaka, Yasuhito

    2008-01-01

    The effects of nitrogen ion bombardment on TiO 2 films prepared by the Cat-CVD method have been studied to improve the optical and electrical properties of the material for use in Si thin film solar cells. The refractive index n and the dark conductivity of the TiO 2 film increased with irradiation time. The refractive index n of the TiO 2 film was changed from 2.1 to 2.4 and the electrical conductivity was improved from 3.4 x 10 -2 to 1.2 x 10 -1 S/cm by the irradiation. These results are due to the formation of Ti-N bonds and oxygen vacancies in the film

  12. Magneto-optical spectroscopy of diluted magnetic oxides TiO2-δ: Co

    International Nuclear Information System (INIS)

    Gan'shina, E.A.; Granovsky, A.B.; Orlov, A.F.; Perov, N.S.; Vashuk, M.V.

    2009-01-01

    We report an experimental study on transversal Kerr effect (TKE) in magnetic oxide semiconductors TiO 2-δ :Co. The TiO 2-δ : Co thin films were deposited on LaAlO 3 (0 0 1) substrates by magnetron sputtering in the argon-oxygen atmosphere at oxygen partial pressure of 2x10 -6 -2x10 -4 Torr. It was obtained that TKE spectra in ferromagnetic samples are extremely sensitive to the Co-volume fraction, the crystalline structure, and technology parameters. The observed well-pronounced peaks in TKE spectra for anatase Co-doped TiO 2-δ films at low Co ( 2-δ matrix that indicates on intrinsic ferromagnetism in these samples. With increase of Co-volume fraction up to 5-8% the fine structure of TKE spectra disappears and magneto-optical response in reflection mode becomes larger than that for thick Co films

  13. Bactericidal Activity of TiO2 on Cells of Pseudomonas aeruginosa ATCC 27853

    Directory of Open Access Journals (Sweden)

    J. L. Aguilar Salinas

    2013-01-01

    Full Text Available The photocatalytic activity of semiconductors is increasingly being used to disinfect water, air, soils, and surfaces. Titanium dioxide (TiO2 is widely used as a photocatalyst in thin films, powder, and in mixtures with other semiconductors or metals. This work presents the antibacterial effects of TiO2 and light exposure (at 365 nm on Pseudomonas aeruginosa ATCC 27853. TiO2 powder was prepared from a mixture of titanium isopropoxide, ethanol, and nitric acid using a green and short time sol-gel technique. The obtained gel annealed at 450°C was characterized by X-ray diffraction, Raman spectroscopy, ultraviolet-visible spectroscopy, diffuse reflectance, scanning electron microscopy, and transmission electron microscopy. The nanocomposite effectively catalyzed the inactivation of Pseudomonas aeruginosa. Following 90 minutes exposure to TiO2 and UV light, logarithm of cell density was reduced from 6 to 3. These results were confirmed by a factorial design incorporating two experimental replicates and two independent factors.

  14. Microstructured extremely thin absorber solar cells

    DEFF Research Database (Denmark)

    Biancardo, Matteo; Krebs, Frederik C

    2007-01-01

    In this paper we present the realization of extremely thin absorber (ETA) solar cells employing conductive glass substrates functionalized with TiO2 microstructures produced by embossing. Nanocrystalline or compact TiO2 films on Indium doped tin oxide (ITO) glass substrates were embossed by press......In this paper we present the realization of extremely thin absorber (ETA) solar cells employing conductive glass substrates functionalized with TiO2 microstructures produced by embossing. Nanocrystalline or compact TiO2 films on Indium doped tin oxide (ITO) glass substrates were embossed...

  15. Influence of Zr doping on structure and morphology of TiO2 nanorods prepared using hydrothermal method

    Science.gov (United States)

    Muslimin, Masliana; Jumali, Mohammad Hafizuddin; Tee, Tan Sin; Beng, Lee Hock; Hui, Tan Chun; Chin, Yap Chi

    2018-04-01

    The aim of this work is to investigate the effect of Zr doping on TiO2 nanostructure. TiO2 nanorods thin films with different Zr-doping concentrations (6 × 10-3 M, 13 × 10-3 M and 25 × 10-3 M) were successfully prepared using a simple hydrothermal method. The structural and morphological properties of the samples were evaluated using XRD and FESEM respectively. The XRD results revealed that the TiO2 in all samples stabilized as rutile phase. The FESEM micrographs confirmed that TiO2 exist as square like nanorods with blunt tips. Although the crystallographic nature remains unchanged, the introduction of Zr has altered the surface density, structure and morphology of TiO2 which subsequently will have significant effect on its properties.

  16. Synthesis of TiO2-loaded Co0.85Se thin films with heterostructure and their enhanced catalytic activity for p-nitrophenol reduction and hydrazine hydrate decomposition

    International Nuclear Information System (INIS)

    Zuo, Yong; Song, Ji-Ming; Niu, He-Lin; Mao, Chang-Jie; Zhang, Sheng-Yi; Shen, Yu-Hua

    2016-01-01

    P-nitrophenol (4-NP) and hydrazine hydrate are considered to be highly toxic pollutants in wastewater, and it is of great importance to remove them. Herein, TiO 2 -loaded Co 0.85 Se thin films with heterostructure were successfully synthesized by a hydrothermal route. The as-synthesized samples were characterized by x-ray diffraction, x-ray photoelectron spectroscopy, transmission electron microscopy and selective-area electron diffraction. The results demonstrate that TiO 2 nanoparticles with a size of about 10 nm are easily loaded on the surface of graphene-like Co 0.85 Se nanofilms, and the NH 3  · H 2 O plays an important role in the generation and crystallization of TiO 2 nanoparticles. Brunauer–Emmett–Teller measurement shows that the obtained nanocomposites have a larger specific surface area (199.3 m 2 g −1 ) than that of Co 0.85 Se nanofilms (55.17 m 2 g −1 ) and TiO 2 nanoparticles (19.49 m 2 g −1 ). The catalytic tests indicate Co 0.85 Se–TiO 2 nanofilms have the highest activity for 4-NP reduction and hydrazine hydrate decomposition within 10 min and 8 min, respectively, compared with the corresponding precursor Co 0.85 Se nanofilms and TiO 2 nanoparticles. The enhanced catalytic performance can be attributed to the larger specific surface area and higher rate of interfacial charge transfer in the heterojunction than that of the single components. In addition, recycling tests show that the as-synthesized sample presents stable conversion efficiency for 4-NP reduction. (paper)

  17. Preparation and switching kinetics of Pb(Zr, Ti)O3 thin films deposited by reactive sputtering

    International Nuclear Information System (INIS)

    Hase, Takashi; Shiosaki, Tadashi

    1991-01-01

    Ferroelectric Pb(Zr, Ti)O 3 [PZT] thin films have been prepared on Pt/Ti/SiO 2 /Si and Pt/SiO 2 /Si substrates using the reactive sputtering method with a metal composite target. The (111)-oriented PZT (80/20) thin films with a perovskite structure have been obtained at a substrate temperature of 595degC on highly (111)-oriented Pt films formed on SiO 2 /Si substrates. When an 8 V pulse sequence was applied to a 265 nm-thick film with an electrode area of 50 x 50 μm 2 , the switching time and the switched charge density measured were 20 ns and 10 μC/cm 2 , respectively. The switching time was strongly dependent on the electrode area. (author)

  18. TiO2 Nanotubes on Transparent Substrates: Control of Film Microstructure and Photoelectrochemical Water Splitting Performance

    Directory of Open Access Journals (Sweden)

    Matus Zelny

    2018-01-01

    Full Text Available Transfer of semiconductor thin films on transparent and or flexible substrates is a highly desirable process to enable photonic, catalytic, and sensing technologies. A promising approach to fabricate nanostructured TiO2 films on transparent substrates is self-ordering by anodizing of thin metal films on fluorine-doped tin oxide (FTO. Here, we report pulsed direct current (DC magnetron sputtering for the deposition of titanium thin films on conductive glass substrates at temperatures ranging from room temperature to 450 °C. We describe in detail the influence that deposition temperature has on mechanical, adhesion and microstructural properties of titanium film, as well as on the corresponding TiO2 nanotube array obtained after anodization and annealing. Finally, we measure the photoelectrochemical water splitting activity of different TiO2 nanotube samples showing that the film deposited at 150 °C has much higher activity correlating well with the lower crystallite size and the higher degree of self-organization observed in comparison with the nanotubes obtained at different temperatures. Importantly, the film showing higher water splitting activity does not have the best adhesion on glass substrate, highlighting an important trade-off for future optimization.

  19. Using TiO2 as a Conductive Protective Layer for Photocathodic H2 Evolution

    DEFF Research Database (Denmark)

    Seger, Brian; Pedersen, Thomas; Laursen, Anders Bo

    2013-01-01

    Surface passivation is a general issue for Si-based photoelectrodes because it progressively hinders electron conduction at the semiconductor/electrolyte interface. In this work, we show that a sputtered 100 nm TiO2 layer on top of a thin Ti metal layer may be used to protect an n+p Si photocatho...

  20. Preparation and Photocatalytic Property of TiO2/Diatomite-Based Porous Ceramics Composite Materials

    Directory of Open Access Journals (Sweden)

    Shuilin Zheng

    2012-01-01

    Full Text Available The diatomite-based porous ceramics was made by low-temperature sintering. Then the nano-TiO2/diatomite-based porous ceramics composite materials were prepared by hydrolysis deposition method with titanium tetrachloride as the precursor of TiO2 and diatomite-based porous as the supporting body of the nano-TiO2. The structure and microscopic appearance of nano-TiO2/diatomite-based porous ceramics composite materials was characterized by XRD and SEM. The photocatalytic property of the composite was investigated by the degradation of malachite green. Results showed that, after calcination at 550°C, TiO2 thin film loaded on the diatomite-based porous ceramics is anatase TiO2 and average grain size of TiO2 is about 10 nm. The degradation ratio of the composite for 5 mg/L malachite green solution reached 86.2% after irradiation for 6 h under ultraviolet.

  1. Enhanced photovoltaic performance of novel TiO2 photoelectrode on TCO substrates for dye-sensitized solar cells.

    Science.gov (United States)

    Nam, Jung Eun; Kwon, Soon Jin; Jo, Hyo Jeong; Yi, Kwang Bok; Kim, Dae-Hwan; Kang, Jin-Kyu

    2014-12-01

    In this study, we report synthesis and growth of rutile-anatase TiO2 thin film on fluorine-doped tin oxide (FTO) glass by a two-step hydrothermal method. The effects of additional treatments (i.e., TiCl4 post-treatment and seed layer formation were also studied. Photocurrent-voltage (I-V) measurement of rutile-anatase TiO2 thin film was performed under 1.5 G light illumination. Photovoltaic performance was investigated by incident photon-to-electron conversion efficiency (IPCE), electrochemical impedance spectroscopy (EIS), intensity-modulated photocurrent/photovoltage spectroscopy (IMVS/IMPS) and open-circuit photovoltage decay (OCVD).

  2. Synthesis of dense TiO2 nanoparticle multilayers using spin coating technique

    Science.gov (United States)

    DeSilva, L. Ajith; Thakurdesai, Madhavi; Bandara, T. M. W. J.; Preston, Joshua; Johnson, Wyatt; Gaquere-Parker, Anne; Survase, Smita

    2018-04-01

    A stack of nine layers is prepared by sequential spun casting of commercially available colloidal TiO2 nanoparticles of average size of 10-15 nm. Scanning electron microscopy (SEM) is employed to investigate the surface morphology of the multilayers. SEM micrographs exhibit formation of highly uniform and dense TiO2 nanoparticle layers. The uniformity and density is found to be increasing with layer thickness. Structural characterization is carried out using X-ray diffraction (XRD) technique. XRD spectra indicate improvement in crystalline quality of all the layers with increasing layer thickness. All the layers are having mainly the anatase phase of TiO2. Optical characterization is carried out by UV-visible spectroscopy. The value of bandgap estimated on the basis of absorption coefficient is found to be 3.26 eV and approximately remains the same for the layers. The electrical characterization suggests that multilayer resistivity increases with increasing layer thickness. The good quality spin coated thin dense TiO2 layers have many applications in optoelectronics.

  3. TiO2-TiO2 composite resistive humidity sensor: ethanol crosssensitivity

    International Nuclear Information System (INIS)

    Ghalamboran, Milad; Saedi, Yasin

    2016-01-01

    The fabrication method and characterization results of a TiO 2 -TiO 2 composite bead used for humidity sensing along with its negative cross-sensitivity to ethanol vapor are reported. The bead shaped resistive sample sensors are fabricated by the drop-casting of a TiO 2 slurry on two Pt wire segments. The dried bead is pre-fired at 750°C and subsequently impregnated with a Ti-based sol. The sample is ready for characterization after a thermal annealing at 600°C in air. Structurally, the bead is a composite of the micron-sized TiO 2 crystallites embedded in a matrix of nanometric TiO 2 particle aggregates. The performance of the beads as resistive humidity sensors is recorded at room temperature in standard humidity level chambers. Results evince the wide dynamic range of the sensors fabricated in the low relative humidity range. While the sensor conductance is not sensitive to ethanol vapor in dry air, in humid air, sensor's responses are negatively affected by the contaminant. (paper)

  4. Fabrication of doped TiO2 nanotube array films with enhanced photo-catalytic activity

    Science.gov (United States)

    Peighambardoust, Naeimeh-Sadat; Khameneh-asl, Shahin; Khademi, Adib

    2018-01-01

    In the present work, we investigate the N and Fe-doped TiO2 nanotube array film prepared by treating TiO2 nanotube array film with ammonia solution and anodizing in Fe(NO3)3 solution respectively. This method avoided the use of hazardous ammonia gas, or laborious ion implantation process. N and Fe-doped TiO2 nanotube arrays (TiO2 NTs) were prepared by electrochemical anodization process in 0.5 wt % HF aqueous solution. The anodization was performed at the conditions of 20 V and 20 min, Followed by a wet immersion in NH3.H2O (1M) for N-doping for 2 hr and annealing post-treatment at 450 °C. The morphology and structure of the nanotube films were characterized by field emission scanning electron microscope (FESEM) and EDX. UV-vis. illumination test were done to observe photo-enhanced catalysis. The effect of different annealing temperature on the structure and photo-absorption property of the TiO2-TNTs was investigated. The results showed that N-TNTs nanotubes exhibited higher photocatalytic activity compared whit the Fe-doped and pure TNTs, because doping N promoted the separation of the photogenerated electrons and holes.

  5. Controlled fabrication of Si nanocrystal delta-layers in thin SiO2 layers by plasma immersion ion implantation for nonvolatile memories

    International Nuclear Information System (INIS)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M.; Spiegel, Y.; Torregrosa, F.; Normand, P.; Dimitrakis, P.; Kapetanakis, E.; Sahu, B. S.; Slaoui, A.

    2013-01-01

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO 2 films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories

  6. Characterization and photocatalytic activity of boron-doped TiO2 thin ...

    Indian Academy of Sciences (India)

    Wintec

    Chen Daimai, Wang Dong Yang and Jiang Zhongyi 2006 Ind. Eng. Chem. 45 4110. Cheng Jen-hao 2003 A study on photocatalytic oxidation of aque- ous chlorobenzene solution by nanostructured film of TiO2,. Master Thesis, National Sun Yat-Sen University, Taiwan. Deki S, Henin Yu Yu Ko, Fujita T, Akamatsu K, Mizuhata ...

  7. Quantum size effects in TiO2 thin films grown by atomic layer deposition

    Directory of Open Access Journals (Sweden)

    Massimo Tallarida

    2014-01-01

    Full Text Available We study the atomic layer deposition of TiO2 by means of X-ray absorption spectroscopy. The Ti precursor, titanium isopropoxide, was used in combination with H2O on Si/SiO2 substrates that were heated at 200 °C. The low growth rate (0.15 Å/cycle and the in situ characterization permitted to follow changes in the electronic structure of TiO2 in the sub-nanometer range, which are influenced by quantum size effects. The modified electronic properties may play an important role in charge carrier transport and separation, and increase the efficiency of energy conversion systems.

  8. Directed laser processing of compacted powder mixtures Al2O3-TiO2-Y2O3

    Directory of Open Access Journals (Sweden)

    Vlasova M.

    2013-01-01

    Full Text Available The phase formation, microstructure and surface texture of laser treated ternary powder mixtures of Al2O3-TiO2-Y2O3 had been studied. Rapid high temperature heating and subsequent rapid cooling due to the directed movement of the laser beam forms concave ceramic tracks. Phase composition and microstructure of the tracks depends on the Al2O3 content and the TiO2/Y2O3 ratio of the initial mixtures. The main phases observed are Y3Al5O12, Y2Ti2O7, Al2O3 and Al2TiO5. Due to the temperature gradient in the heating zone, complex layered structures are formed. The tracks consist of three main layers: a thin surface layer, a layer of crystallization products of eutectic alloys, and a lower sintered layer. The thickness of the crystallization layer and the shrinkage of the irradiation zone depend on the amount of Y3Al5O12 and Al2O3 crystallized from the melt.

  9. Preparation of Anatase TiO2 Thin Films with (OiPr)2Ti(CH3COCHCONEt2)2 Precursor by MOCVD

    International Nuclear Information System (INIS)

    Bae, Byoung Jae; Seo, Won Seok; Miah, Arzu; Park, Joon T.; Lee, Kwang Yeol; Kim, Keun Chong

    2004-01-01

    The reaction of titanium tetraisopropoxide with 2 equiv of N,N-diethyl acetoacetamide affords Ti(O i Pr) 2 (CH 3 COCHCONEt 2 ) 2 (1) as colorless crystals in 80% yield. Compound 1 is characterized by spectroscopic (Mass and 1 H/ 13 C NMR) and microanalytical data. Molecular structure of 1 has been determined by a single crystal X-ray diffraction study, which reveals that it is a monomeric, cis-diisopropoxide and contains a six coordinate Ti(IV) atom with a cis(CONEt 2 ), trans(COCH 3 ) configuration (1a) in a distorted octahedral environment. Variable-temperature 1 H NMR spectra of 1 indicate that it exists as an equilibrium mixture of cis, trans (1a) and cis, cis (1b) isomers in a 0.57 : 0.43 ratio at -20 .deg. C in toluene-d 8 solution. Thermal properties of 1 as a MOCVD precursor for titanium dioxide films have been evaluated by thermal gravimetric analysis and vapor pressure measurement. Thin films of pure anatase titanium dioxide (after annealing above 500 .deg. C under oxygen) have been grown on Si(100) with precursor 1 in the substrate temperature range of 350- 500 .deg. C using a bubbler-based MOCVD method

  10. Electrochemical Characterization of TiO 2 Blocking Layers for Dye-Sensitized Solar Cells

    KAUST Repository

    Kavan, Ladislav; Té treault, Nicolas; Moehl, Thomas; Grä tzel, Michael

    2014-01-01

    Thin compact layers of TiO2 are grown by thermal oxidation of Ti, by spray pyrolysis, by electrochemical deposition, and by atomic layer deposition. These layers are used in dye-sensitized solar cells to prevent recombination of electrons from

  11. Gold and TiO2 Nanostructure Surfaces for Assembling of Electrochemical Biosensors

    International Nuclear Information System (INIS)

    Curulli, A.; Zane, D.

    2008-01-01

    Devices based on nano materials are emerging as a powerful and general class of ultrasensitive sensors for the direct detection of biological and chemical species. In this work, we report the preparation and the full characterization of nano materials such as gold nano wires and TiO 2 nano structured films to be used for assembling of electrochemical biosensors. Gold nano wires were prepared by electroless deposition within the pores of polycarbonate particle track-etched membranes (PMS). Glucose oxidase was deposited onto the nano wires using self-assembling monolayer as an anchor layer for the enzyme molecules. Finally, cyclic voltammetry was performed for different enzymes to test the applicability of gold nano wires as biosensors. Considering another interesting nano material, the realization of functionalized TiO 2 thin films on Si substrates for the immobilization of enzymes is reported. Glucose oxidase and horseradish peroxidase immobilized onto TiO 2 -based nano structured surfaces exhibited a pair of well-defined and quasi reversible voltammetric peaks. The electron exchange between the enzyme and the electrodes was greatly enhanced in the TiO 2 nano structured environment. The electrocatalytic activity of HRP and GOD embedded in TiO 2 electrodes toward H 2 O 2 and glucose, respectively, may have a potential perspective in the fabrication of third-generation biosensors based on direct electrochemistry of enzymes.

  12. Hydroxyapatite coatings on titanium dioxide thin films prepared by pulsed laser deposition method

    International Nuclear Information System (INIS)

    Suda, Yoshiaki; Kawasaki, Hiroharu; Ohshima, Tamiko; Nakashima, Shouta; Kawazoe, Syuichi; Toma, Tetsuya

    2006-01-01

    Hydroxyapatite (HAp) coated on titanium dioxide (TiO 2 ) thin films has been developed to supplement the defects of both TiO 2 and HAp. Thin films have been prepared by pulsed laser deposition (PLD) method using HAp and HAp(10%) + TiO 2 targets. X-ray diffraction (XRD) shows that there are many small peaks of Ca 1 0(PO 4 ) 6 (OH) 2 crystal, and no impurity other than HAp is detected in HAp films prepared using pure HAp target. The composition ratio of the film was analyzed by X-ray photoelectron spectroscopy (XPS). HAp coatings on TiO 2 thin films have been prepared using HAp(10%) + TiO 2 targets. XRD and XPS measurements suggest that crystalline HAp + TiO 2 thin films are obtained by the PLD method using HAp(10%) + TiO 2 target

  13. Effect of growth time on the structure, morphology and optical properties of hydrothermally synthesized TiO2 nanorod thin films

    Science.gov (United States)

    Mohapatra, A. K.; Nayak, J.

    2018-05-01

    Titanium dioxide (TiO2) nanorod thin films were deposited on fluorine doped tin oxide coated glass substrates by a single step rapid hydrothermal process. The concentration of the precursor, the temperature of the reaction mixture were optimized in order to enhance the rate of deposition. Unlike the previously reported hydrothermal treatment for 24 - 48 h, the deposition of well aligned titanium dioxide nanorods was achieved in a short time such as 3 - 8 h. The crystal structure of the films were investigated by X-rays diffraction. The morphology of the nanorod films were studied with scanning electron microscopy. The optical properties were studied by photoluminescence spectroscopy.

  14. Reduction of metallosis in hip implant using thin film coating

    Science.gov (United States)

    Rajeshshyam, R.; Chockalingam, K.; Gayathri, V.; Prakash, T.

    2018-04-01

    Hip implant finds its emerging attraction due to it continuous demand over the years. The hip implants (femoral head) and acetabulum cup) mainly fabricated by metals such as stainless steel, cobalt chrome and titanium alloys, other than that ceramics and polyethylene have been used. The metal-on-metal hip implant was found to be best implant material for most of the surgeons due to its high surface finish, low wear rate and low chance of dislocation from its position after implanting. Where in metal based hip implant shows less wear rate of 0.01mm3/year. Metal-on-metal implant finds its advantage over other materials both in its mechanical and physical stability against human load. In M-O-M Cobalt- chromium alloys induce metal allergy. The metal allergy (particulate debris) that is generated by wear, fretting, fragmentation and which is unavoidable when a prosthesis is implanted, can induce an inflammatory reaction in some circumstances. The objectives of this research to evaluate thin film coating with Nano particle additives to reduce the wear leads to regarding metal ion release. Experimental results reveals that thin film Sol-Gel coating with 4wt. % of specimen reduced the cobalt and chromium ion release and reduces the wear rate. Wear rate reduced by 98% for 4wt. % graphene in 20N and 95% for 4wt. % graphene in 10N.

  15. Intrastromal corneal ring implants for corneal thinning disorders: an evidence-based analysis.

    Science.gov (United States)

    2009-01-01

    surgeons in selecting ring segment size, number and position. Generally, two segments of equal thickness are placed superiorly and inferiorly to manage symmetrical patterns of corneal thinning whereas one segment may be placed to manage asymmetric thinning patterns. Following implantation, the major safety concerns are for potential adverse events including corneal perforation, infection, corneal infiltrates, corneal neovascularization, ring migration and extrusion and corneal thinning. Technical results can be unsatisfactory for several reasons. Treatment may result in an over or under-correction of refraction and may induce astigmatism or asymmetry of the cornea. Progression of the corneal cone with corneal opacities is also invariably an indication for progression to corneal transplant. Other reasons for treatment failure or patient dissatisfaction include foreign body sensation, unsatisfactory visual quality with symptoms such as double vision, fluctuating vision, poor night vision or visual side effects related to ring edge or induced or unresolved astigmatism. The literature search strategy employed keywords and subject headings to capture the concepts of 1) intrastromal corneal rings and 2) corneal diseases, with a focus on keratoconus, astigmatism, and corneal ectasia. The initial search was run on April 17, 2008, and a final search was run on March 6, 2009 in the following databases: Ovid MEDLINE (1996 to February Week 4 2009), OVID MEDLINE In-Process and Other Non-Indexed Citations, EMBASE (1980 to 2009 Week 10), OVID Cochrane Library, and the Centre for Reviews and Dissemination/International Agency for Health Technology Assessment. Parallel search strategies were developed for the remaining databases. Search results were limited to human and English-language published between January 2000 and April 17, 2008. The resulting citations were downloaded into Reference Manager, v.11 (ISI Researchsoft, Thomson Scientific, U.S.A), and duplicates were removed. The Web

  16. Non-noble metal Cu-loaded TiO2 for enhanced photocatalytic H2 production.

    Science.gov (United States)

    Foo, Wei Jian; Zhang, Chun; Ho, Ghim Wei

    2013-01-21

    Here we have demonstrated the preparation of high-quality, monodispersed and tunable phases of Cu nanoparticles. Structural and chemical composition studies depict the evolution of Cu-Cu(2)O-CuO nanoparticles at various process stages. The loading of Cu and Cu oxide nanoparticles on TiO(2) catalyst has enhanced the photocatalytic H(2) production. Comparatively, H(2) treatment produces well-dispersed Cu nanoparticles with thin oxide shells that show the highest H(2) production amongst the samples. The relatively higher photocatalytic performance is deemed to result from reduced structural defects, higher surface area and dispersivity as well as favorable charge transfer, which inhibits recombination. The Cu nanoparticles are shown to be a promising alternative to noble metal-loaded TiO(2) catalyst systems due to their low cost and high performance in photocatalytic applications.

  17. Antibacterial activity and inflammation inhibition of ZnO nanoparticles embedded TiO2 nanotubes

    Science.gov (United States)

    Yao, Shenglian; Feng, Xujia; Lu, Jiaju; Zheng, Yudong; Wang, Xiumei; Volinsky, Alex A.; Wang, Lu-Ning

    2018-06-01

    Titanium (Ti) with nanoscale structure on the surface exhibits excellent biocompatibility and bone integration. Once implanted, the surgical implantation may lead to bacterial infection and inflammatory reaction, which cause the implant failure. In this work, irregular and nanorod-shaped ZnO nanoparticles were doped into TiO2 nanotubes (TNTs) with inner diameter of about 50 nm by electro-deposition. The antibacterial properties of ZnO incorporated into TiO2 nanotubes (TNTs/ZnO) were evaluated using Staphylococcus aureus (S. aureus). Zn ions released from the nanoparticles and the morphology could work together, improving antibacterial effectiveness up to 99.3% compared with the TNTs. Macrophages were cultured on the samples to determine their respective anti-inflammatory properties. The proliferation and viability of macrophages were evaluated by the CCK-8 method and Live&Dead stain, and the morphology of the cells was observed by scanning electron microscopy. Results indicated that TNTs/ZnO has a significant inhibitory effect on the proliferation and adhesion of macrophages, which could be used to prevent chronic inflammation and control the inflammatory reaction. Besides, the release of Zn ions from the ZnO nanoparticles is a long-term process, which could be beneficial for bone integration. Results demonstrate that ZnO deposited into TNTs improved the antibacterial effectiveness and weakened the inflammatory reaction of titanium-based implants, which is a promising approach to enhance their bioactivity.

  18. Synthesis and characterization of Fe-doped TiO2 photocatalyst by the sol–gel method

    International Nuclear Information System (INIS)

    Luu, Cam Loc; Ho, Si Thoang; Nguyen, Quoc Tuan

    2010-01-01

    Thin layers of pure TiO 2 and TiO 2 doped by different amounts of Fe 2 O 3 have been prepared by the sol–gel method with tetraisopropyl orthotitanate and Fe(NO 3 ) 3 . Physico-chemical properties of catalysts were characterized by BET Adsorption, x-ray Diffraction (XRD), FE-SEM, as well as Raman and UV-Vis spectroscopy. The photocatalytic activity of the obtained materials was investigated in the reaction of complete oxidation of p-xylene in gas phase under the radiation of UV (λ=365 nm) and LED (λ=470 nm) lamps. It has been found that the particle size of all samples was distributed in the range 20–30 nm. The content of the rutile phase in Fe-doped TiO 2 samples varied in the range 6.8 to 41.8% depending on the Fe content. Iron oxide doped into TiO 2 enables the photon absorbing zone of TiO 2 to extend from UV towards visible waves as well as to reduce its band gap energy from 3.2 to 2.67 eV. Photocatalytic activities of the TiO 2 samples modified by Fe 3+ have been found to be higher than those of pure TiO 2 by about 2.5 times

  19. Interface engineering of CsPbBr3/TiO2 heterostructure with enhanced optoelectronic properties for all-inorganic perovskite solar cells

    Science.gov (United States)

    Qian, Chong-Xin; Deng, Zun-Yi; Yang, Kang; Feng, Jiangshan; Wang, Ming-Zi; Yang, Zhou; Liu, Shengzhong Frank; Feng, Hong-Jian

    2018-02-01

    Interface engineering has become a vital method in accelerating the development of perovskite solar cells in the past few years. To investigate the effect of different contacted surfaces of a light absorber with an electron transporting layer, TiO2, we synthesize CsPbBr3/TiO2 thin films with two different interfaces (CsBr/TiO2 and PbBr2/TiO2). Both interfacial heterostructures exhibit enhanced visible light absorption, and the CsBr/TiO2 thin film presents higher absorption than the PbBr2/TiO2 interface, which is attributed to the formation of interface states and the decreased interface bandgap. Furthermore, compared with the PbBr2/TiO2 interface, CsBr/TiO2 solar devices present larger output short circuit current and shorter photoluminescence decay time, which indicates that the CsBr contacting layer with TiO2 can better extract and separate the photo-induced carriers. The first-principles calculations confirm that, due to the existence of staggered gap (type II) offset junction and the interface states, the CsBr/TiO2 interface can more effectively separate the photo-induced carriers and thus drive the electron transfer from the CsPbBr3 perovskite layer to the TiO2 layer. These results may be beneficial to exploit the potential application of all-inorganic perovskite CsPbBr3-based solar cells through the interface engineering route.

  20. TiO2 based photo-catalysts prepared by chemical vapor infiltration (CVI) on micro-fibrous substrates

    International Nuclear Information System (INIS)

    Sarantopoulos, Ch.

    2007-10-01

    This thesis deals with micro-fibrous glass substrates functionalized with TiO 2 . The oxide is deposited as a thin film onto the micro fibres by chemical vapour infiltration (CVI), yielding a photo-catalytic material usable for cleaning polluted air. We studied the relation between the structure of the material and its photo-catalytic efficiency. TiO 2 thin films were prepared at low pressure, in a hot-wall CVD reactor, using Ti(O-iPr) 4 as a precursor. They were characterized by XRD, SEM, EDX, XPS and BET, and by recording the kinetics of decomposition of varied pollutants in solution (orange G, malic acid, imazapyr) and in air (toluene). The conditions favoring the growth of porous films through a columnar growth mode were established by MOCVD-depositing TiO 2 thin films on flat substrates. The subsequent works with micro fibrous thick substrates showed the uniformity of infiltration to be the main factor governing the photo-catalytic efficiency. Operating parameters that optimize infiltration do not yield columnar growth mode. A compromise is necessary. Our photo-catalysts are showing high efficiency comparable, if not higher, to those actually commercialized. These promising results are opening real perspectives for the proposed process. (author)

  1. Photocatalytic degradation of crystal violet by thiourea-doped TiO2 ...

    African Journals Online (AJOL)

    In this study, optimisation of the photocatalytic behaviour of crystal violet (CV) by thiourea (Tu)-codoped TiO2 thin film in fixed bed photoreactor was investigated by central composite designs (CCDs). The effective variables were pH, the concentration of CV dye, flow rate and reaction time. The results of the CCD model ...

  2. Evidence of room temperature ferromagnetism in argon/oxygen annealed TiO2 thin films deposited by electron beam evaporation technique

    International Nuclear Information System (INIS)

    Mohanty, P.; Kabiraj, D.; Mandal, R.K.; Kulriya, P.K.; Sinha, A.S.K.; Rath, Chandana

    2014-01-01

    TiO 2 thin films deposited by electron beam evaporation technique annealed in either O 2 or Ar atmosphere showed ferromagnetism at room temperature. The pristine amorphous film demonstrates anatase phase after annealing under Ar/O 2 atmosphere. While the pristine film shows a super-paramagnetic behavior, both O 2 and Ar annealed films display hysteresis at 300 K. X-ray photo emission spectroscopy (XPS), Raman spectroscopy, Rutherford’s backscattering spectroscopy (RBS), cross-sectional transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS) were used to refute the possible role of impurities/contaminants in magnetic properties of the films. The saturation magnetization of the O 2 annealed film is found to be higher than the Ar annealed one. It is revealed from shifting of O 1s and Ti 2p core level spectra as well as from the enhancement of high binding energy component of O 1s spectra that the higher magnetic moment is associated with higher oxygen vacancies. In addition, O 2 annealed film demonstrates better crystallinity, uniform deposition and smoother surface than that of the Ar annealed one from glancing angle X-ray diffraction (GAXRD) and atomic force microscopy (AFM). We conclude that although ferromagnetism is due to oxygen vacancies, the higher magnetization in O 2 annealed film could be due to crystallinity, which has been observed earlier in Co doped TiO 2 film deposited by pulsed laser deposition (Mohanty et al., 2012 [10]). - Highlights: • TiO 2 films were deposited by e-beam evaporation technique and post annealed under O 2 /Ar at 500 °C. • The pristine film shows SPM behavior where as O 2 and Ar annealed films demonstrate RTFM. • The presence of magnetic impurities has been discarded by various characterization techniques. • The magnetic moment is found to be higher in O 2 annealed film than the Ar annealed one. • The higher M s in O 2 annealed film is attributed to oxygen vacancies as well as crystallinity

  3. Fine control of the amount of preferential <001> orientation in DC magnetron sputtered nanocrystalline TiO2 films

    International Nuclear Information System (INIS)

    Stefanov, B; Granqvist, C G; Österlund, L

    2014-01-01

    Different crystal facets of anatase TiO 2 are known to have different chemical reactivity; in particular the {001} facets which truncates the bi-tetrahedral anatase morphology are reported to be more reactive than the usually dominant {101} facets. Anatase TiO 2 thin films were deposited by reactive DC magnetron sputtering in Ar/O 2 atmosphere and were characterized using Rietveld refined grazing incidence X-ray diffraction, atomic force microscopy and UV/Vis spectroscopy. By varying the partial O2 pressure in the deposition chamber, the degree of orientation of the grains in the film could be systematically varied with preferred <001> orientation changing from random upto 39% as determined by March-Dollase method. The orientation of the films is shown to correlate with their reactivity, as measured by photo-degradation of methylene blue in water solutions. The results have implications for fabrication of purposefully chemically reactive thin TiO 2 films prepared by sputtering methods

  4. Dose-Dependent Effects of CeO2 on Microstructure and Antibacterial Property of Plasma-Sprayed TiO2 Coatings for Orthopedic Application

    Science.gov (United States)

    Zhao, Xiaobing; Liu, Gaopeng; Zheng, Hai; Cao, Huiliang; Liu, Xuanyong

    2015-02-01

    Titanium and its alloys have been used extensively for orthopedic and dental implants. Although these devices have achieved high rates of success, two major complications may be encountered: the lack of osseointegration and the biomaterial-related infection. Accordingly, cerium oxide (CeO2)-doped titanium oxide (TiO2) materials were coated on titanium by an atmospheric plasma spraying (APS) technique. The phase structures, morphologies, and surface chemical states of the obtained coatings were characterized by x-ray diffraction, scanning electron microscopy, and x-ray photoelectron spectroscopy techniques. The in vitro antibacterial and cytocompatibility of the materials were studied with Staphylococcus aureus ( S. aureus, ATCC25923) and osteoblast precursor cell line MC3T3-E1. The results indicated that the addition of CeO2 shifts slightly the diffraction peaks of TiO2 matrix to low angles but does not change its rutile phase structure. In addition, the CeO2/TiO2 composite coatings possess dose-dependent corrosion resistance and antimicrobial properties. And doping of 10 wt.% CeO2 exhibits the highest activity against S. aureus, improved corrosion resistance, and competitive cytocompatibility, which argues a promising option for balancing the osteogenetic and antibacterial properties of titanium implants.

  5. Vacancy identification in Co+ doped rutile TiO2 crystal with positron annihilation spectroscopy

    Science.gov (United States)

    Qin, X. B.; Zhang, P.; Liang, L. H.; Zhao, B. Z.; Yu, R. S.; Wang, B. Y.; Wu, W. M.

    2011-01-01

    Co-doped rutile TiO2 films were synthesized by ion implantation. Variable energy positron annihilation Doppler broadening spectroscopy and coincidence Doppler broadening measurements were performed for identification of the vacancies. A newly formed type of vacancy can be concluded by the S-W plot and the CDB results indicated that the oxygen vacancy (VO) complex Ti-Co-VO and/or Ti-VO are formed with Co ions implantation and the vacancy concentration is increased with increase of dopant dose.

  6. Degradation of organic dyes using spray deposited nanocrystalline stratified WO3/TiO2 photoelectrodes under sunlight illumination

    Science.gov (United States)

    Hunge, Y. M.; Yadav, A. A.; Mahadik, M. A.; Bulakhe, R. N.; Shim, J. J.; Mathe, V. L.; Bhosale, C. H.

    2018-02-01

    The need to utilize TiO2 based metal oxide hetero nanostructures for the degradation of environmental pollutants like Rhodamine B and reactive red 152 from the wastewater using stratified WO3/TiO2 catalyst under sunlight illumination. WO3, TiO2 and stratified WO3/TiO2 catalysts were prepared by a spray pyrolysis method. It was found that the stratified WO3/TiO2 heterostructure has high crystallinity, no mixed phase formation occurs, strong optical absorption in the visible region of the solar spectrum, and large surface area. The photocatalytic activity was tested for degradation of Rhodamine B (Rh B) and reactive red 152 in an aqueous medium. TiO2 layer in stratified WO3/TiO2 catalyst helps to extend its absorption spectrum in the solar light region. Rh B and Reactive red 152is eliminated up to 98 and 94% within the 30 and 40 min respectively at optimum experimental condition by stratified WO3/TiO2. Moreover, stratified WO3/TiO2 photoelectrode has good stability and reusability than individual TiO2 and WO3 thin film in the degradation of Rh B and reactive red 152. The photoelectrocatalytic experimental results indicate that stratified WO3/TiO2 photoelectrode is a promising material for dye removal.

  7. Formation of hydroxyl radicals and kinetic study of 2-chlorophenol photocatalytic oxidation using C-doped TiO2, N-doped TiO2, and C,N Co-doped TiO2 under visible light.

    Science.gov (United States)

    Ananpattarachai, Jirapat; Seraphin, Supapan; Kajitvichyanukul, Puangrat

    2016-02-01

    This work reports on synthesis, characterization, adsorption ability, formation rate of hydroxyl radicals (OH(•)), photocatalytic oxidation kinetics, and mineralization ability of C-doped titanium dioxide (TiO2), N-doped TiO2, and C,N co-doped TiO2 prepared by the sol-gel method. X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), and UV-visible spectroscopy were used to analyze the titania. The rate of formation of OH(•) for each type of titania was determined, and the OH-index was calculated. The kinetics of as-synthesized TiO2 catalysts in photocatalytic oxidation of 2-chlorophenol (2-CP) under visible light irradiation were evaluated. Results revealed that nitrogen was incorporated into the lattice of titania with the structure of O-Ti-N linkages in N-doped TiO2 and C,N co-doped TiO2. Carbon was joined to the Ti-O-C bond in the C-doped TiO2 and C,N co-doped TiO2. The 2-CP adsorption ability of C,N co-doped TiO2 and C-doped TiO2 originated from a layer composed of a complex carbonaceous mixture at the surface of TiO2. C,N co-doped TiO2 had highest formation rate of OH(•) and photocatalytic activity due to a synergistic effect of carbon and nitrogen co-doping. The order of photocatalytic activity per unit surface area was the same as that of the formation rate of OH(•) unit surface area in the following order: C,N co-doped TiO2 > C-doped TiO2 > N-doped TiO2 > undoped TiO2.

  8. Polycrystalline thin-film TiO2/Se solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Nakada, T; Kunioka, A

    1985-07-01

    A new type of selenium solar cell with a titanium dioxide (TiO2)/Se heterojunction has been fabricated by low-cost process.An efficiency of 5.01 percent under AM 1.5 illumination (100 mW/sq cm) has been achieved without antireflection coatings. The corresponding short-circuit current density, open-circuit voltage, and fill factor are 10.8 mA/sq cm, 0.884 V, and 0.525, respectively.The efficiency is the highest among Se-based solar cells reported to date. These cells also have extremely high spectral response at short wavelengths, resulting in efficiencies of 11-13 percent under fluorescent light (500 lux). 10 references.

  9. Undoped TiO2 particles as photoactive material for integrated metal-semiconductor structures

    International Nuclear Information System (INIS)

    Molina, Joel; Calleja, Wilfrido; Hernández, Luis; Zúñiga, Carlos; Linares, Monico; Wade, F. Javier

    2015-01-01

    Rutile-phase undoped TiO 2 nanoparticles are embedded within an organic SiO 2 matrix and the final dielectric mixture is then deposited by spinning on a thin film of aluminum (previously deposited on glass covers by e-beam evaporation). This so called “horizontal” TiO 2 -SiO 2 /Al/Glass structure is then electrically characterized under dark and light conditions (I-V-light) so that the total resistance of a simple aluminum stripe is measured and correlated before and after UV-Vis irradiation. Compared to dark conditions, excess carriers are photogenerated within the TiO 2 nanoparticles during light exposure and they are directly transferred to both ends of the aluminum stripe after applying a low potential difference (photoresistor). On the other hand, “vertical” structures using ultra-thin titanium films as a gate electrode produce a capacitor in the form of a Metal-Insulator-Metal (MIM) structure. Because of the ultra-thin titanium layer, this gate electrode is highly transparent to all UV-Vis irradiation so that when all carriers are being photogenerated, a vertical transition of these carriers between top/bottom (Ti/Al) electrodes by an applied external electric field would require a shorter distance thus increasing their lifetime before recombination as compared to the horizontal structures. These vertical structures are able to photogenerate carriers more efficiently and they are similar in function to that of a so-called photocapacitor, where all carriers could be efficiently stored within the dielectric itself right after photogeneration. Therefore, a light-driven self-charging capacitor having an efficient storage mechanism of solar energy could be obtained. (full text)

  10. TiO2 anatase thin films deposited by spray pyrolysis of an aerosol of titanium diisopropoxide

    International Nuclear Information System (INIS)

    Conde-Gallardo, A.; Guerrero, M.; Castillo, N.; Soto, A.B.; Fragoso, R.; Cabanas-Moreno, J.G.

    2005-01-01

    Titanium dioxide thin films were deposited on crystalline silicon (100) and fused quartz substrates by spray pyrolysis (SP) of an aerosol, generated ultrasonically, of titanium diisopropoxide. The evolution of the crystallization, studied by X-ray diffraction (XRD), atomic force (AFM) and scanning electron microscopy (SEM), reflection and transmission spectroscopies, shows that the deposition process is nearly close to the classical chemical vapor deposition (CVD) technique, producing films with smooth surface and good crystalline properties. At deposition temperatures below 400 deg. C, the films grow in amorphous phase with a flat surface (roughness∼0.5 nm); while for equal or higher values to this temperature, the films develop a crystalline phase corresponding to the TiO 2 anatase phase and the surface roughness is increased. After annealing at 750 deg. C, the samples deposited on Si show a transition to the rutile phase oriented in (111) direction, while for those films deposited on fused quartz no phase transition is observed

  11. Synthesis and photocatalytic activity for TiO2 nanoparticles as air purification

    Directory of Open Access Journals (Sweden)

    Haider Adawiya

    2018-01-01

    Full Text Available In the present work, titanium dioxide (TiO2 nanoparticles (NP’s were prepared using sol-gel process from Titanium Tetrachloride (TiCl4 as a precursor with calcinations at two temperatures (500 and 900 °C. The effect of calcinations temperatures on the structural, optical, morphological and Root Mean Square (roughness properties were investigated by means of Scanning Electron Microscopy, X-ray Diffraction (XRD, and Atomic Force Microscopy (AFM. Bacterial inactivation was evaluated using TiO2-coated Petri dishes. A thin layer of photocatalytic TiO2 powder was deposited on glass substrate in order to investigate the self-cleaning effect of TiO2 nanoparticles in indoor and outdoor applications. Ultra-hydrophilicity was assessed by measuring the contact angle and it evaluated photolysis properties through the degradation of potassium permanganate (KMnO4 under direct sunlight. XRD analysis indicated that the structure of TiO2 was anatase at 500 °C and rutile at 900 °C calcination temperatures. As the calcination temperature increases, the crystallinity is improved and the crystallite size becomes larger. Coated films of TiO2 made the has permeability, low water contact angle and good optical activity. These are properties essential for the application of the surface of the self-cleaning. The final results illustrate that titanium dioxide can be used in the build materials to produce coated surfaces in order to minimize air pollutants that are placed in microbiologically sensitive circumference like hospitals and the food factory.

  12. Controlled fabrication of Si nanocrystal delta-layers in thin SiO{sub 2} layers by plasma immersion ion implantation for nonvolatile memories

    Energy Technology Data Exchange (ETDEWEB)

    Bonafos, C.; Ben-Assayag, G.; Groenen, J.; Carrada, M. [CEMES-CNRS and Université de Toulouse, 29 rue J. Marvig, 31055 Toulouse Cedex 04 (France); Spiegel, Y.; Torregrosa, F. [IBS, Rue G Imbert Prolongée, ZI Peynier-Rousset, 13790 Peynier (France); Normand, P.; Dimitrakis, P.; Kapetanakis, E. [NCSRD, Terma Patriarchou Gregoriou, 15310 Aghia Paraskevi (Greece); Sahu, B. S.; Slaoui, A. [ICube, 23 Rue du Loess, 67037 Strasbourg Cedex 2 (France)

    2013-12-16

    Plasma Immersion Ion Implantation (PIII) is a promising alternative to beam line implantation to produce a single layer of nanocrystals (NCs) in the gate insulator of metal-oxide semiconductor devices. We report herein the fabrication of two-dimensional Si-NCs arrays in thin SiO{sub 2} films using PIII and rapid thermal annealing. The effect of plasma and implantation conditions on the structural properties of the NC layers is examined by transmission electron microscopy. A fine tuning of the NCs characteristics is possible by optimizing the oxide thickness, implantation energy, and dose. Electrical characterization revealed that the PIII-produced-Si NC structures are appealing for nonvolatile memories.

  13. Antibacterial photocatalytic activity of different crystalline TiO2 phases in oral multispecies biofilm.

    Science.gov (United States)

    Pantaroto, Heloisa N; Ricomini-Filho, Antonio P; Bertolini, Martinna M; Dias da Silva, José Humberto; Azevedo Neto, Nilton F; Sukotjo, Cortino; Rangel, Elidiane C; Barão, Valentim A R

    2018-07-01

    Titanium dioxide (TiO 2 ) incorporation in biomaterials is a promising technology due to its photocatalytic and antibacterial activities. However, the antibacterial potential of different TiO 2 crystalline structures on a multispecies oral biofilm remains unknown. We hypothesized that the different crystalline TiO 2 phases present different photocatalytic and antibacterial activities. Three crystalline TiO 2 films were deposited by magnetron sputtering on commercially pure titanium (cpTi), in order to obtain four groups: (1) machined cpTi (control); (2) A-TiO 2 (anatase); (3) M-TiO 2 (mixture of anatase and rutile); (4) R-TiO 2 (rutile). The morphology, crystalline phase, chemical composition, hardness, elastic modulus and surface free energy of the surfaces were evaluated. The photocatalytic potential was assessed by methylene blue degradation assay. The antibacterial activity was evaluated on relevant oral bacteria, by using a multispecies biofilm (Streptococcus sanguinis, Actinomyces naeslundii and Fusobacterium nucleatum) formed on the treated titanium surfaces (16.5h) followed by UV-A light exposure (1h) to generate reactive oxygen species production. All TiO 2 films presented around 300nm thickness and improved the hardness and elastic modulus of cpTi surfaces (p0.05 vs. control). This study brings new insights on the development of extra oral protocols for the photocatalytic activity of TiO 2 in oral biofilm-associated disease. Anatase and mixture-TiO 2 showed antibacterial activity on this oral bacterial biofilm, being promising surface coatings for dental implant components. Copyright © 2018 The Academy of Dental Materials. All rights reserved.

  14. Bi-functional TiO2 cemented Ag grid under layer for enhancing the photovoltaic performance of a large-area dye-sensitized solar cell

    International Nuclear Information System (INIS)

    Lan Zhang; Wu Jihuai; Lin Jianming; Huang, Miaoliang

    2012-01-01

    Graphical abstract: Enhanced photovoltaic performance of large-area DSSC with conductive grids in the photo and counter electrodes. Highlights: ► TiO 2 protected Ag grids is made for using as electrode in large-area DSSC. ► The electrode has high conductivity and low internal resistance. ► TiO 2 protected Ag grids electrode avoids iodine corrosion in electrolyte. ► The TiO 2 layer also play a blocking layer role. ► Above factors enhance the photovoltaic performance of large-area DSSC. - Abstract: A bi-functional TiO 2 cemented Ag grid under layer for enhanced the photovoltaic performance of a large-area dye-sensitized solar cell (DSSC) is prepared with a simple way. The conductive printing paste contains micro-sized Ag powders and nano-sized TiO 2 cementing agent. The conductive printing paste can be well cemented on the FTO glass and form high conductive grids with Ag powders sintered together by the nano-sized TiO 2 particles. The formed conductive grid is protected with a TiO 2 thin layer and TiO 2 sol treatment to avoid the iodine corrosion. The addition of the TiO 2 cemented conductive grid can decrease the internal resistance of the large-area dye-sensitized solar cell when it is prepared in the photo and counter electrodes. Furthermore, the protecting TiO 2 thin layer and the TiO 2 sol treatment can be done on the whole area of the large-area photo electrode to both play as the blocking under layer at the same time, which can also enhance the photovoltaic performance of the large-area dye-sensitized solar cell.

  15. Effect of the RE (RE = Eu, Er) doping on the structural and textural properties of mesoporous TiO2 thin films obtained by evaporation induced self-assembly method

    International Nuclear Information System (INIS)

    Borlaf, Mario; Caes, Sebastien; Dewalque, Jennifer; Colomer, María Teresa; Moreno, Rodrigo; Cloots, Rudi; Boschini, Frederic

    2014-01-01

    Polymeric sol–gel route has been used for the preparation of TiO 2 and RE 2 O 3– TiO 2 (RE = Eu, Er) mesoporous thin films by evaporation induced self-assembly method using Si (100) as a substrate. The influence of the relative humidity (RH) on the preparation of the film has been studied being necessary to work under 40% RH in order to obtain homogeneous and transparent thin films. The films were annealed at different temperatures until 900 °C/1 h and the anatase crystallization and its crystal size evolution were followed by low angle X-ray diffraction. Neither the anatase–rutile transition nor the formation of other compounds was observed in the studied temperature range. Ellipsoporosimetry studies demonstrated that the thickness of the thin films did not change after calcination at 500 °C, the porosity was constant until 700 °C, the pore size increased and the specific surface area decreased with temperature. Moreover, the effect of the doping with Er 3+ and Eu 3+ was studied and a clear inhibition of the crystal growth and the sintering process was detected (by transmission electron and atomic force microscopy) when the doped films are compared with the undoped ones. Finally, Eu 3+ and Er 3+ f–f transitions were detected by PL measurements. - Highlights: • Eu and Er–TiO 2 mesoporous films were prepared by evaporation induced self-assembly. • Influence of humidity on porosity and photoluminescent properties has been tested. • Influence of calcination on structural and textural properties has been also studied. • f–f transitions indicate that the thin films are active photoluminescent materials

  16. Sustained release of melatonin from TiO2 nanotubes for modulating osteogenic differentiation of mesenchymal stem cells in vitro.

    Science.gov (United States)

    Lai, Min; Jin, Ziyang; Tang, Qiang; Lu, Min

    2017-10-01

    To control the sustained release of melatonin and modulate the osteogenic differentiation of mesenchymal stem cells (MSCs), melatonin was firstly loaded onto TiO 2 nanotubes by direct dropping method, and then a multilayered film was coated by a spin-assisted layer-by-layer technique, which was composed of chitosan (Chi) and gelatin (Gel). Successful fabrication was characterized by field emission scanning electron microscopy, atomic force microscope, X-ray photoelectron spectroscopy and contact angle measurement, respectively. The efficient sustained release of melatonin was measured by UV-visible-spectrophotometer. After 2 days of culture, well-spread morphology was observed in MSCs grown on the Chi/Gel multilayer-coated melatonin-loaded TiO 2 nanotube substrates as compared to different groups. After 4, 7, 14 and 21 days of culture, the multilayered-coated melatonin-loaded TiO 2 nanotube substrates increased cell proliferation, increased alkaline phosphatase (ALP) and mineralization, increased expression of mRNA levels for runt-related transcription factor 2 (Runx2), ALP, osteopontin (OPN) and osteocalcin (OC), indicative of osteoblastic differentiation. These results demonstrated that Chi/Gel multilayer-coated melatonin-loaded TiO 2 nanotube substrates promoted cell adhesion, spreading, proliferation and differentiation and could provide an alternative fabrication method for titanium-based implants to enhance the osteointegration between bone tissues and implant surfaces.

  17. Photocatalytic properties of nano-structured TiO2-carbon films obtained by means of electrophoretic deposition

    International Nuclear Information System (INIS)

    Peralta-Hernandez, J.M.; Manriquez, J.; Meas-Vong, Y.; Rodriguez, Francisco J.; Chapman, Thomas W.; Maldonado, Manuel I.; Godinez, Luis A.

    2007-01-01

    Recent studies have shown that the light-absorption and photocatalytic efficiencies of TiO 2 can be improved by coupling TiO 2 nano-particles with nonmetallic dopants, such as carbon. In this paper, we describe the electrophoretic preparation of a novel TiO 2 -carbon nano-composite photocatalyst on a glass indium thin oxide (ITO) substrate. The objective is to take better advantage of the (e - /h + ) pair generated by photoexcitation of semiconducting TiO 2 particles. The transfer of electrons (e - ) into adjacent carbon nano-particles promotes reduction of oxygen to produce hydrogen peroxide (H 2 O 2 ) which, in the presence of iron ions, can subsequently form hydroxyl radicals ( · OH) via the Fenton reaction. At the same time, · OH is formed from water by the (h + ) holes in the TiO 2 . Thus, the · OH oxidant is produced by two routes. The efficiency of this photolytic-Fenton process was tested with a model organic compound, Orange-II (OG-II) azo dye, which is employed in the textile industry

  18. Guided proliferation and bone-forming functionality on highly ordered large diameter TiO2 nanotube arrays

    International Nuclear Information System (INIS)

    Zhang, Ruopeng; Wu, Hongliu; Ni, Jiahua; Zhao, Changli; Chen, Yifan; Zheng, Chengjunyi; Zhang, Xiaonong

    2015-01-01

    The significantly enhanced osteoblast adhesion, proliferation and alkaline phosphatase (ALP) activity were observed on TiO 2 nanotube surface in recent studies in which the scale of nanotube diameter was restricted under 100 nm. In this paper, a series of highly ordered TiO 2 nanotube arrays with larger diameters ranging from 150 nm to 470 nm were fabricated via high voltage anodization. The behaviors of MC3T3-E1 cells in response to the diameter-controlled TiO 2 nanotubes were investigated. A contrast between the trend of proliferation and the trend of cell elongation was observed. The highest cell elongation (nearly 10:1) and the lowest cell number were observed on the TiO 2 nanotube arrays with 150 nm diameter. While, the lowest cell elongation and highest cell number were achieved on the TiO 2 nanotube arrays with 470 nm diameter. Furthermore, the ALP activity peaked on the 150 nm diameter TiO 2 nanotube arrays and decreased dramatically with the increase of nanotube diameter. Thus a narrow range of diameter (100–200 nm) that could induce the greatest bone-forming activity is determined. It is expected that more delicate design of orthopedic implant with regional abduction of cell proliferation or bone forming could be achieved by controlling the diameter of TiO 2 nanotubes. - Highlights: • Improved anodization methods leading to more ordered large diameter TiO 2 nanotubes • Significantly enhanced ALP activity was observed on 150 nm diameter TiO 2 nanotubes. • The highest cell density was observed on 470 nm diameter TiO 2 nanotube arrays. • Similar cell response was observed on the amorphous and anatase phased nanotube surface

  19. Icariin-Loaded TiO2 Nanotubes for Regulation of the Bioactivity of Bone Marrow Cells

    Directory of Open Access Journals (Sweden)

    Yanli Zhang

    2018-01-01

    Full Text Available To explore the effects of icariin on the biocompatibility of dental implants, icariin- (ICA- loaded TiO2 nanotubes were fabricated on Ti substrates via anodic oxidation and physical absorption. The surface characteristics of the specimens were monitored by field emission scanning electron microscopy (FE-SEM, X-ray diffractometry (XRD, contact angle measurements (CA, and high-pressure liquid chromatography. Additionally, the activities of bone marrow cells, such as cytoskeletal, proliferative activities, mineralization, and osteogenesis-related gene expression on the substrates were investigated in detail. The characterization results demonstrated that ICA-loaded TiO2 nanotubes were successfully fabricated and the hydrophilicity of these TiO2 nanotubes was significantly higher than that of the pure Ti groups. The results also showed that ICA-loaded TiO2 nanotubes might not have enhanced effects on cell proliferation and ALP expression. However, it seemed to significantly promote differentiation of bone marrow cells, demonstrated by enhancing the formation of mineralized nodule and the upregulation of the gene expression such as OC, BSP, OPN, and COL-1. The results indicated that ICA-loaded TiO2 nanotubes can modulate bioactivity of bone marrow cells, which is promising for potential applications in the orthopedics field.

  20. Highly flexible self-standing film electrode composed of mesoporous rutile TiO2/C nanofibers for lithium-ion batteries

    International Nuclear Information System (INIS)

    Zhao Bote; Cai Rui; Jiang Simin; Sha Yujing; Shao Zongping

    2012-01-01

    There is increasing interest in flexible, safe, high-power thin-film lithium-ion batteries which can be applied to various modern devices. Although TiO 2 in rutile phase is highly attractive as an anode material of lithium-ion batteries for its high thermal stability and theoretical capacity of 336 mA h g −1 and low price, its inflexibility and sluggish lithium intercalation kinetics of bulk phase strongly limit its practical application for particular in thin-film electrode. Here we show a simple way to prepare highly flexible self-standing thin-film electrodes composed of mesoporous rutile TiO 2 /C nanofibers with low carbon content ( 2 in as-fabricated nanofibers. Big size (10 cm × 4 cm), flexible thin film is obtained after heat treatment under 10%H 2 –Ar at 900 °C for 3 h. After optimization, the diameter of fibers can reach as small as ∼110 nm, and the as-prepared rutile TiO 2 films show high initial electrochemical activity with the first discharge capacity as high as 388 mA h g −1 . What is more, very stable reversible capacities of ∼122, 92, and 70 mA h g −1 are achieved respectively at 1, 5 and 10 C rates with negligible decay rate within 100 cycling times.

  1. Bond length contraction in Au nanocrystals formed by ion implantation into thin SiO2

    International Nuclear Information System (INIS)

    Kluth, P.; Johannessen, B.; Giraud, V.; Cheung, A.; Glover, C.J.; Azevedo, G. de M; Foran, G.J.; Ridgway, M.C.

    2004-01-01

    Au nanocrystals (NCs) fabricated by ion implantation into thin SiO 2 and annealing were investigated by means of extended x-ray absorption fine structure (EXAFS) spectroscopy and transmission electron microscopy. A bond length contraction was observed and can be explained by surface tension effects in a simple liquid-drop model. Such results are consistent with previous reports on nonembedded NCs implying a negligible influence of the SiO 2 matrix. Cumulant analysis of the EXAFS data suggests surface reconstruction or relaxation involving a further shortened bond length. A deviation from the octahedral closed shell structure is apparent for NCs of size 25 A

  2. Bioactivity of sol-gel-derived TiO2 coating on polyetheretherketone: In vitro and in vivo studies.

    Science.gov (United States)

    Shimizu, Takayoshi; Fujibayashi, Shunsuke; Yamaguchi, Seiji; Yamamoto, Koji; Otsuki, Bungo; Takemoto, Mitsuru; Tsukanaka, Masako; Kizuki, Takashi; Matsushita, Tomiharu; Kokubo, Tadashi; Matsuda, Shuichi

    2016-04-15

    A polyetheretherketone (PEEK) surface was modified using a sol-gel-derived TiO2 coating in order to confer bone-bonding ability. To enhance the bonding strength of the coating layer, pretreatment with either O2 plasma or sandblasting was performed prior to sol-gel coating. Additionally, post-treatment with acid was carried out to confer apatite (calcium phosphate)-forming ability to the surface. Biomechanical and histological analyses performed using an in vivo rabbit tibia model showed that PEEK surfaces modified with sol-gel-derived TiO2 and acid post-treatment had better bone-bonding properties than uncoated PEEK surfaces. These modified surfaces also performed well in terms of their in vitro cell responses due to their modified surface chemistries and topographies. Although O2 plasma or sandblasting treatment were, for the most part, equivocal in terms of performance, we conclude that sol-gel-derived TiO2 coating followed by acid post-treatment significantly improves the bone bonding ability of PEEK surfaces, thus rendering them optimal for their use in surgical implants. The role of polyetheretherketone (PEEK) as an alternative biomaterial to conventional metallic implant materials has become increasingly important. However, its low bone bonding ability is yet to be resolved. This in vivo and in vitro investigation on the functionalization of PEEK surfaces highlights the utility of this material in clinical interventions that require implants, and may extend range of applications of PEEK. Copyright © 2016 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  3. Microstructure and cytotoxicity evaluation of duplex-treated silver-containing antibacterial TiO2 coatings

    International Nuclear Information System (INIS)

    Zhang, Xiangyu; Wu, Haibo; Geng, Zhenhua; Huang, Xiaobo; Hang, Ruiqiang; Ma, Yong; Yao, Xiaohong; Tang, Bin

    2014-01-01

    Implant-related infection is one of the most common and serious complications associated with biomedical implantation. To prevent bacterial adhesion, a series of porous TiO 2 coatings with different concentrations of silver (designated as M0, M1, M2 and M3) were prepared on pure titanium substrates by a duplex-treatment technique combining magnetron sputtering with micro-arc oxidation. All coatings are porous with pore size less than 5 μm and the concentrations of silver in the M0, M1, M2 and M3 are 0, 0.95, 1.36 and 1.93 wt.%, respectively. Silver is found to be distributed throughout the thickness of the coatings by scanning electron microscopy. The release of silver from the TiO 2 coatings was confirmed by an inductively-coupled plasma mass spectroscopy. The antibacterial effects of these coatings were tested against Gram-positive Staphylococcus aureus (S. aureus) and Gram-negative Escherichia coli (E. coli), and the cytotoxicity was evaluated using the mouse pre-osteoblast cells. The results indicate that the antibacterial activities of TiO 2 coatings are greatly improved due to the incorporation of silver. No cytotoxic effect is found for the M1 surfaces from the observation of pre-osteoblast cell by MTT assay and fluorescence microscopy. Although the M2 and M3 coatings appeared to be toxic for pre-osteoblast cells after 1 day in culture, the cell viability on M2 and M3 surfaces was greatly raised after culturing for 2 days. Our results suggested that the TiO 2 coatings incorporated with an optimum amount of silver can possess excellent antibacterial activities without cytotoxic effect, which has promising applications in biomedical devices. - Highlights: • Porous TiO 2 coatings with various concentration of Ag on titanium were prepared. • Ag element was distributed throughout the thickness of the coatings. • The antibacterial activities were greatly improved due to the incorporation of Ag. • The release amounts of Ag were initially high and gradually

  4. TiO2 Nanorod Arrays Based Self-Powered UV Photodetector: Heterojunction with NiO Nanoflakes and Enhanced UV Photoresponse.

    Science.gov (United States)

    Gao, Yanyan; Xu, Jianping; Shi, Shaobo; Dong, Hong; Cheng, Yahui; Wei, Chengtai; Zhang, Xiaosong; Yin, Shougen; Li, Lan

    2018-04-04

    The self-powered ultraviolet photodetectors (UV PDs) have attracted increasing attention due to their potential applications without consuming any external power. It is important to obtain the high-performance self-powered UV PDs by a simple method for the practical application. Herein, TiO 2 nanorod arrays (NRs) were synthesized by hydrothermal method, which were integrated with p-type NiO nanoflakes to realize a high performance pn heterojunction for the efficient UV photodetection. TiO x thin film can improve the morphological and carrier transport properties of TiO 2 NRs and decrease the surface and defect states, resulting in the enhanced photocurrent of the devices. NiO/TiO 2 nanostructural heterojunctions show excellent rectifying characteristics (rectification ratio of 2.52 × 10 4 and 1.45 × 10 5 for NiO/TiO 2 NRs and NiO/TiO 2 NRs/TiO x , respectively) with a very low reverse saturation current. The PDs based on the heterojunctions exhibit good spectral selectivity, high photoresponsivity, and fast response and recovery speeds without external applied bias under the weak light radiation. The devices demonstrate good stability and repeatability under UV light radiation. The self-powered performance could be attributed to the proper built-in electric field of the heterojunction. TiO 2 NRs and NiO nanoflakes construct the well-aligned energy-band structure. The enhanced responsivity and detectivity for the devices with TiO x thin films is related to the increased interfacial charge separation efficiency, reduced carrier recombination, and relatively good electron transport of TiO 2 NRs.

  5. Functionalized TiO2 nanoparticle containing isocyanate groups

    International Nuclear Information System (INIS)

    Ou, Baoli; Li, Duxin; Liu, Qingquan; Zhou, Zhihua; Liao, Bo

    2012-01-01

    Functionalized TiO 2 nanoparticle containing isocyanate groups can extend the TiO 2 nanoparticle chemistry, and may promote their many potential applications such as in polymer composites and coatings. This paper describes a facile method to prepare functionalized TiO 2 nanoparticle with highly reactive isocyanate groups on its surface, via the reaction between toluene-2, 4-diisocyanate (TDI) and hydroxyl on TiO 2 nanoparticle surface. The main effect factors on the reaction of TiO 2 with TDI were studied by determining the reaction extent of hydroxyl groups on TiO 2 surface. Fourier-transformed infrared spectroscopy (FTIR) and thermogravimetric analysis (TGA) confirmed that reactive isocyanate groups were covalently attached to the TiO 2 nanoparticle surface. The dispersion of the TDI-functionalized TiO 2 nanoparticle was studied by transmission electron microscopy (TEM). Owing to the TDI molecules covalently bonded on TiO 2 nanoparticle surface, it was established that the TiO 2 nanoparticle can be uniformly dispersed in toluene, thus indicating that this functionalization method can prevent TiO 2 nanoparticle from agglomerating. -- Highlights: ► TiO 2 nanoparticle was functionalized with toluene-2, 4-diisocyanate. ► Functionalized TiO 2 nanoparticle can be uniformly dispersed in xylene. ► Compatibility of TiO 2 nanoparticle and organic solvent is significantly improved. ► TiO 2 containing isocyanate groups can extend the TiO 2 nanoparticle chemistry.

  6. Properties of TiO2-based transparent conducting oxide thin films on GaN(0001) surfaces

    International Nuclear Information System (INIS)

    Kasai, J.; Nakao, S.; Yamada, N.; Hitosugi, T.; Moriyama, M.; Goshonoo, K.; Hoang, N. L. H.; Hasegawa, T.

    2010-01-01

    Anatase Nb-doped TiO 2 transparent conducting oxide has been formed on GaN(0001) surfaces using a sputtering method. Amorphous films deposited at room temperature were annealed at a substrate temperature of 500 deg. C in vacuum to form single-phase anatase films. Films with a thickness of 170 nm exhibited a resistivity of 8x10 -4 Ω cm with absorptance less than 5% at a wavelength of 460 nm. Furthermore, the refractive index of the Nb-doped TiO 2 was well matched to that of GaN. These findings indicate that Nb-doped TiO 2 is a promising material for use as transparent electrodes in GaN-based light emitting diodes (LEDs), particularly since reflection at the electrode/GaN boundary can be suppressed, enhancing the external quantum efficiency of blue LEDs.

  7. Effect of interfacial oxide thickness on the photocatalytic activity of magnetron-sputtered TiO2coatings on aluminum substrate

    DEFF Research Database (Denmark)

    Daviðsdóttir, Svava; Petit, Jean-Pierre; Shabadi, Rajashekhara

    2015-01-01

    The influence of the coating/substrate interface on the photocatalytic behavior of Al-TiO2 coatings was investigated. The TiO2 coatings were prepared by magnetron sputtering. The nanoscale structure of the coating was analyzed using X-ray diffraction; atomic force microscopy; scanning electron...... transport between the coating and the metallic substrate. The highest photocurrents were indeed obtained when the thickness of interfacial aluminum oxide could be reduced by sputtering a thin Ti layer prior to TiO2 coating. Photocurrent plotted for different photon energy for a TiO2 coating on a Ti...

  8. In situ scanning tunneling microscopy study of Ca-modified rutile TiO2(110 in bulk water

    Directory of Open Access Journals (Sweden)

    Giulia Serrano

    2015-02-01

    Full Text Available Despite the rising technological interest in the use of calcium-modified TiO2 surfaces in biomedical implants, the Ca/TiO2 interface has not been studied in an aqueous environment. This investigation is the first report on the use of in situ scanning tunneling microscopy (STM to study calcium-modified rutile TiO2(110 surfaces immersed in high purity water. The TiO2 surface was prepared under ultrahigh vacuum (UHV with repeated sputtering/annealing cycles. Low energy electron diffraction (LEED analysis shows a pattern typical for the surface segregation of calcium, which is present as an impurity on the TiO2 bulk. In situ STM images of the surface in bulk water exhibit one-dimensional rows of segregated calcium regularly aligned with the [001] crystal direction. The in situ-characterized morphology and structure of this Ca-modified TiO2 surface are discussed and compared with UHV-STM results from the literature. Prolonged immersion (two days in the liquid leads to degradation of the overlayer, resulting in a disordered surface. X-ray photoelectron spectroscopy, performed after immersion in water, confirms the presence of calcium.

  9. Novel immobilizations of an adhesion peptide on the TiO2 surface: An XPS investigation

    International Nuclear Information System (INIS)

    Iucci, G.; Dettin, M.; Battocchio, C.; Gambaretto, R.; Bello, C. Di; Polzonetti, G.

    2007-01-01

    The covalent attachment of an adhesive peptide, reproducing the 351-359 sequence of human vitronectin, to oxidized titanium surfaces was investigated by XPS spectroscopy. The peptide enhances osteoblast adhesion to titanium, the most used biomaterial for implants and prostheses. Core level spectra of the TiO 2 surface and of the biomimetic surface were investigated. Novel selective covalent immobilization of (351-359) HVP was carried out by treatment of the TiO 2 surface with (3-aminopropyl) triethoxysilane, glutaric anhydride and a side chain protected peptide sequence presenting only a free terminal amino group, followed by side chain deprotection. An alternative strategy for covalent attachment consists in photoactivation of physisorbed (351-359) HVP directly on the TiO 2 surface; samples were incubated with HVP solution and subsequently irradiated with UV light. A comparison with the results previously obtained for non-selective HVP immobilization will be discussed

  10. A fast-reliable methodology to estimate the concentration of rutile or anatase phases of TiO2

    Directory of Open Access Journals (Sweden)

    A. R. Zanatta

    2017-07-01

    Full Text Available Titanium-dioxide (TiO2 is a low-cost, chemically inert material that became the basis of many modern applications ranging from, for example, cosmetics to photovoltaics. TiO2 exists in three different crystal phases − Rutile, Anatase and, less commonly, Brookite − and, in most of the cases, the presence or relative amount of these phases are essential to decide the TiO2 final application and its related efficiency. Traditionally, X-ray diffraction has been chosen to study TiO2 and provides both the phases identification and the Rutile-to-Anatase ratio. Similar information can be achieved from Raman scattering spectroscopy that, additionally, is versatile and involves rather simple instrumentation. Motivated by these aspects this work took into account various TiO2 Rutile+Anatase powder mixtures and their corresponding Raman spectra. Essentially, the method described here was based upon the fact that the Rutile and Anatase crystal phases have distinctive phonon features, and therefore, the composition of the TiO2 mixtures can be readily assessed from their Raman spectra. The experimental results clearly demonstrate the suitability of Raman spectroscopy in estimating the concentration of Rutile or Anatase in TiO2 and is expected to influence the study of TiO2-related thin films, interfaces, systems with reduced dimensions, and devices like photocatalytic and solar cells.

  11. Assessment of Environmental Performance of TiO2 Nanoparticles Coated Self-Cleaning Float Glass

    Directory of Open Access Journals (Sweden)

    Martina Pini

    2017-01-01

    Full Text Available In recent years, superhydrophilic and photocatalytic self-cleaning nanocoatings have been widely used in the easy-to-clean surfaces field. In the building sector, self-cleaning glass was one of the first nanocoating applications. These products are based on the photocatalytic property of a thin layer of titanium dioxide (TiO2 nanoparticles deposited on the surface of any kind of common glass. When exposed to UV radiation, TiO2 nanoparticles react with the oxygen and water molecules adsorbed on their surface to produce radicals leading to oxidative species. These species are able to reduce or even eliminate airborne pollutants and organic substances deposited on the material’s surface. To date, TiO2 nanoparticles’ benefits have been substantiated; however, their ecological and human health risks are still under analysis. The present work studies the ecodesign of the industrial scale-up of TiO2 nanoparticles self-cleaning coated float glass production performed by the life cycle assessment (LCA methodology and applies new human toxicity indicators to the impact assessment stage. Production, particularly the TiO2 nanoparticle application, is the life cycle phase most contributing to the total damage. According to the ecodesign approach, the production choices carried out have exacerbated environmental burdens.

  12. High-dose V+ implantation in ZnO thin film structures

    International Nuclear Information System (INIS)

    Vyatkin, A.F.; Zinenko, V.I.; Agaphonov, Yu.A.; Pustovit, A.N.; Roshchupkin, D.V.; Reuss, F.; Kirchner, C.; Kling, R.; Waag, A.

    2005-01-01

    In the last two decades, diluted magnetic semiconductors have attracted great attention as promising materials for spintronics applications. [K. Sato, H. Katyama-Yoshida, Jpn. J. Phys., Part 2 39 (2000) L555] theoretically predicted that ZnO doped with V, Cr, Fe, Co, and Ni can be ferromagnetic. This has been recently confirmed experimentally for vanadium doped ZnO films which were grown on sapphire substrates, using laser deposition technique [H. Saeki, H.N. Tabata, T. Kawai, Solid State Commun. 120 (2001) 439]. In the present work, high-dose vanadium implantation was used to produce Zn 1-x V x O (x ∼ 0.10) thin film structures (250 nm thick) that had been epitaxially grown on sapphire substrates. Implantation with the dose 2 x 10 16 cm -2 was performed to reach a maximum vanadium concentration of 10 at%. To avoid ZnO film amorphization due to radiation damage accumulation [S.O. Kucheyev, J.S. Williams, C. Jagadish, J. Zou, C. Evans, A.J. Nelson, A.V. Hamza, Phys. Rev. B 67 (2003) 094115], all implants were done at elevated temperatures 300 and 400 deg. C and ion current density 10 μA/cm 2 . X-ray diffraction, SIMS and photoluminescence techniques were exploited to study the implanted samples. No luminescence was observed in the implanted samples after implantation procedures. However, annealing at 800 deg. C for 30 min gave rise to ZnO crystal structure improvement. This implies that healing of implantation induced defects is possible even after heavy-ion bombardment. As a result, the photoluminescence peak at 3.359 eV related to the donorbound exiton was detected

  13. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    Science.gov (United States)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  14. Relation between crystallinity and chemical nature of surface on wettability: A study on pulsed laser deposited TiO2 thin films

    International Nuclear Information System (INIS)

    Shirolkar, Mandar M.; Phase, Deodatta; Sathe, Vasant; Choudhary, Ram Janay; Rodriguez-Carvajal, J.; Kulkarni, Sulabha K.

    2011-01-01

    Pure titania (TiO 2 ) polycrystalline thin films in rutile, anatase and mixed phase have been grown on amorphous glass substrates by pulsed laser deposition method at various oxygen gas pressure. Wettability investigations have been carried out on these films. Consistent with our previous report [J. Phys. D: Appl. Phys. 41, 155308 (2008)] it has been observed that for nearly same surface roughness large contact angle or superhydrophobicity is present when sample has a pure single phase and lower contact angle or hydrophobicity when mixed phases were present. Structural characterizations suggest that in addition to roughness, pure phase film surface associated with hydrophobic sites and mixed phase film surface show association of both hydrophobic and hydrophilic sites, which might be inducing specific wetting character. UV treatment induces superhydrophilicity in the films. It was observed that UV irradiation causes nonequilibrium state on the TiO 2 surface, leading to changes in the electron density, which in turn produces decrement in the crystallinity and lattice expansion. Reversible changes in the wetting state on the pure phase surfaces were observed to be faster than those on the mixed phase surfaces. We tried to establish the possible relation between crystalline phases, chemical nature of surface on reversible wettability besides the main governing parameter viz. surface roughness.

  15. The Effect of Titanium Dioxide (TiO2) Nanoparticles on Hydroxyapatite (HA)/TiO2 Composite Coating Fabricated by Electrophoretic Deposition (EPD)

    Science.gov (United States)

    Amirnejad, M.; Afshar, A.; Salehi, S.

    2018-05-01

    Composite coatings of Hydroxyapatite (HA) with ceramics, polymers and metals are used to modify the surface structure of implants. In this research, HA/TiO2 composite coating was fabricated by electrophoretic deposition (EPD) on 316 stainless steel substrate. HA/TiO2 composite coatings with 5, 10 and 20 wt.% of TiO2, deposited at 40 V and 90 s as an optimum condition. The samples coated at this condition led to an adherent, continuous and crack-free coating. The influence of TiO2 content was studied by performing different characterization methods such as scanning electron microscopy (SEM), energy dispersive x-ray spectroscopy (EDS), corrosion resistance in simulated body fluid (SBF), coating's dissolution rate in physiological solution and bond strength to the substrate. The results showed that the higher amount of TiO2 in the composite coating led to increase in bond strength of coating to stainless steel substrate from 3 MPa for HA coating to 5.5 MPa for HA-20 wt.% TiO2 composite coating. In addition, it caused to reduction of corrosion current density of samples in the SBF solution from 18.92 μA/cm2 for HA coating to 6.35 μA/cm2 for HA-20 wt.% TiO2 composite coating.

  16. The Effect of Titanium Dioxide (TiO2) Nanoparticles on Hydroxyapatite (HA)/TiO2 Composite Coating Fabricated by Electrophoretic Deposition (EPD)

    Science.gov (United States)

    Amirnejad, M.; Afshar, A.; Salehi, S.

    2018-04-01

    Composite coatings of Hydroxyapatite (HA) with ceramics, polymers and metals are used to modify the surface structure of implants. In this research, HA/TiO2 composite coating was fabricated by electrophoretic deposition (EPD) on 316 stainless steel substrate. HA/TiO2 composite coatings with 5, 10 and 20 wt.% of TiO2, deposited at 40 V and 90 s as an optimum condition. The samples coated at this condition led to an adherent, continuous and crack-free coating. The influence of TiO2 content was studied by performing different characterization methods such as scanning electron microscopy (SEM), energy dispersive x-ray spectroscopy (EDS), corrosion resistance in simulated body fluid (SBF), coating's dissolution rate in physiological solution and bond strength to the substrate. The results showed that the higher amount of TiO2 in the composite coating led to increase in bond strength of coating to stainless steel substrate from 3 MPa for HA coating to 5.5 MPa for HA-20 wt.% TiO2 composite coating. In addition, it caused to reduction of corrosion current density of samples in the SBF solution from 18.92 μA/cm2 for HA coating to 6.35 μA/cm2 for HA-20 wt.% TiO2 composite coating.

  17. Surface plasmon resonance effect of silver nanoparticles on a TiO2 electrode for dye-sensitized solar cells

    Science.gov (United States)

    Jung, Haeng-Yun; Yeo, In-Seon; Kim, Tae-Un; Ki, Hyun-Chul; Gu, Hal-Bon

    2018-02-01

    In this study, we exploit local surface plasmon resonance (LSPR) in order to improve the efficiency of dye-sensitized solar cells (DSSCs). In order to investigate the effect of LSPR, Ag nanoparticles of several sizes were formed using electro-beam equipment; sizes were varied by changing the annealing time. DSSCs were fabricated by coating Ag nanoparticles onto a TiO2 thin film. Finally, TiO2 nanoparticles were layered onto the Ag nanoparticles via a titanium tetra-isopropoxide (TTIP) treatment. This study used nanoparticle-coated TiO2 thin films as photoelectrodes, and manufactured the cell in the unit of the DSSCs. We compared the behavior of the electrical properties of DSSCs depending on the presence or absence of Ag nanoparticles, as well as on the nanoparticle size. The Ag particles did not affect dye adsorption because the content of Ag particles is very low (0.13%) compared to that in TiO2 in the photoelectrode. The DSSCs with LSPR showed increased electric current density compared to those without LSPR, and improved the solar conversion efficiency (η) by 24%. The current density of the DSSCs increased because the light absorption of the dye increased. Therefore, we determined that LSPR affects the electrical properties of DSSCs.

  18. Co+ -ion implantation induced doping of nanocrystalline CdS thin films: structural, optical, and vibrational properties

    International Nuclear Information System (INIS)

    Chandramohan, S.; Sarangi, S.N.; Majumder, S.; Som, T.; Kanjilal, A.; Sathyamoorthy, R.

    2009-01-01

    Full text: Transition metal (Mn, Fe, Co and Ni) doped CdS nanostructures and nanocrystalline thin films have attracted much attention due to their anticipated applications in magneto-optical, non-volatile memory and future spintronics devices. Introduction of impurities in substitutional positions is highly desirable for such applications. Ion implantation is known to provide many advantages over conventional methods for efficient doping and possibility of its seamless integration with device processing steps. It is not governed by equilibrium thermodynamics and offers the advantages of high spatial selectivity and to overcome the solubility limits. In this communication, we report on modifications of structural morphological, optical, and vibrational properties of 90 keV Co + -ion implanted CdS thin films grown by thermal evaporation. Co + -ion implantation was performed in the fluence range of 0.1-3.6x10 16 ions cm -2 These fluences correspond to Co concentration in the range of 0.34-10.8 at % at the peak position of profile. Implantation was done at an elevated temperature of 573 K in order to avoid amorphization and to enhance the solubility of Co ions in the CdS lattice. Films were characterized by glancing angle X-ray diffraction (GAXRD), atomic force microscopy (AFM), optical absorption, and micro-Raman spectroscopy. Implantation does not lead to any secondary phase formation either in the form of impurity or the metallic clusters. However, implantation improves the crystalline quality of the samples and leads to supersaturation of Co ions in the CdS lattice. Thus, nanocrystalline CdS thin films can be considered as a good radiation- resistant material, which can be employed for prolonged use in solar cells for space applications. The optical band gap is found to decrease systematically with increasing ion fluence from 2.39 to 2.28 eV. Implantation leads to agglomeration of grains and a systematic increase in the surface roughness. Both GAXRD and micro

  19. Enhancement of photocatalytic degradation of polyethylene plastic with CuPc modified TiO2 photocatalyst under solar light irradiation

    International Nuclear Information System (INIS)

    Zhao Xu; Li Zongwei; Chen Yi; Shi Liyi; Zhu Yongfa

    2008-01-01

    Solid-phase photocatalytic degradation of polyethylene (PE) plastic, one of the most common commercial plastic, over copper phthalocyanine (CuPc) modified TiO 2 (TiO 2 /CuPc) photocatalyst was investigated in the ambient air under solar light irradiation. Higher PE weight loss rate, greater texture change; more amount of generated CO 2 , which is the main product of the photocatalytic degradation of the composite PEC plastic can be achieved in the system of PE-(TiO 2 /CuPc) in comparison with PE-TiO 2 system. The CuPc promoted charge separation of TiO 2 and enhanced the photocatalytic degradation of PE based on the analysis of surface photovoltage spectroscopy (SPS). During the photodegradation of PE plastic, the reactive oxygen species generated on TiO 2 or TiO 2 /CuPc particle surfaces play important roles. The present study demonstrates that the combination of polymer plastic with TiO 2 /CuPc composite photocatalyst in the form of thin film is a practical and useful way to photodegrade plastic contaminants under solar light irradiation

  20. Ferroelectric properties of sandwich structured (Bi, La)4T3O12/Pb(Zr, Ti)O3/ (Bi, La)4Ti3O12 thin films on Pt/Ti/SiO2/Si substrates

    International Nuclear Information System (INIS)

    Bao Dinghua; Wakiya, Naoki; Shinozaki, Kazuo; Mizutani, Nobuyasu

    2002-01-01

    Sandwich structured (Bi, La) 4 Ti 3 O 12 /Pb(Zr, Ti)O 3 /(Bi, La) 4 Ti 3 O 12 thin films were fabricated on Pt/Ti/SiO 2 /Si substrates, with the intention of simultaneously utilizing the advantages of both (Bi, La) 4 Ti 3 O 12 (BLT) and Pb(Zr, Ti)O 3 (PZT) thin films such as non-fatigue behaviours of BLT and good ferroelectric properties of PZT. Both BLT and PZT layers were prepared by a chemical solution deposition technique. The experiments demonstrated that the sandwich structure showed fatigue-free characteristics at least up to 10 10 switching bipolar pulse cycles under 8 V and excellent retention properties. The sandwich structured thin films also exhibited well-defined hysteresis loops with a remanent polarization (2P r ) of 8.8 μC cm -2 and a coercive field (E c ) of 47 kV cm -1 . The room-temperature dielectric constant and dissipation factor were 210 and 0.031, respectively, at a frequency of 100 kHz. These results suggest that this sandwich structure is a promising material combination for ferroelectric memory applications. (author)

  1. Experimental results for TiO2 melting and release using cold crucible melting

    International Nuclear Information System (INIS)

    Hong, S. W.; Min, B. T.; Park, I. G.; Kim, H. D.

    2000-01-01

    To simulate the severe accident phenomena using the real reactor material which melting point is about 2,800K, the melting and release method for materials with high melting point should be developed. This paper discusses the test results for TiO 2 materials using the cold crucible melting method to study the melting and release method of actual corium. To melt and release of few kg of TiO2, the experimental facility is manufactured through proper selection of design parameters such as frequency and capacity of R.F generator, crucible size and capacity of coolant. The melting and release of TiO 2 has been successfully performed in the cold crucible of 15cm in inner diameter and 30cm in height with 30kW RF power generator of 370 KHz. In the melt delivery experiment, about 2.6kg of molten TiO2, 60% of initial charged mass, is released. Rest of it is remained in the watercage in form of the rubble crust formed at the top of crucible and melt crust formed at the interface between the water-cage and melt. Especially, in the melt release test, the location of the working coil is important to make the thin crust at the bottom of the crucible

  2. Evaluate humidity sensing properties of novel TiO2–WO3 composite material

    International Nuclear Information System (INIS)

    Lin, Wang-De; Lai, De-Sheng; Chen, Min-Hung; Wu, Ren-Jang; Chen, Fu-Chou

    2013-01-01

    Graphical abstract: TiO 2 –WO 3 (1:1) showed better humidity sensing properties than others within the range of 12–90% relative humidity (RH), the response and recovery time were about 20 s and 160 s, respectively. Compared to the previous studies, the prepared sensor exhibits higher sensitivity (S = 451) and the low hysteresis value was around 0.13% at 32% RH. - Highlights: • Novel TiO 2 –WO 3 composite material was prepared for humidity sensor. • The sensor exhibits higher sensitivity (S = 451). • Low hysteresis value was around 0.13% at 32% RH. - Abstract: A novel TiO 2 –WO 3 composite material was prepared using a different proportion of TiO 2 and WO 3 to that investigated in previous studies. The obtained mesoporous material was characterized using X-ray diffraction, Fourier transform infrared spectrometry, transmission electron microscopy, energy dispersive X-ray spectroscopy, and N 2 adsorption-desorption techniques. The humidity-sensing properties were measured using an inductance, capacitance and resistance analyzer. The results demonstrated that the TiO 2 –WO 3 sample with a ratio of 1:1 showed better humidity sensing properties. Compared to previous studies, the prepared sensor exhibited higher sensitivity (S = 451) and the lower hysteresis value was around 0.13% at 32% RH. Complex impedance analysis indicated that the enhanced humidity sensitivity was probably due to spherical Brunauer–Emmett–Teller surface area and the hetero-junction between TiO 2 –WO 3 thin films, while the impedance varied about three orders of magnitude. Our results demonstrated the potential application of TiO 2 –WO 3 composite for fabricating high performance humidity sensors

  3. Formation of p-type ZnO thin film through co-implantation

    Science.gov (United States)

    Chuang, Yao-Teng; Liou, Jhe-Wei; Woon, Wei-Yen

    2017-01-01

    We present a study on the formation of p-type ZnO thin film through ion implantation. Group V dopants (N, P) with different ionic radii are implanted into chemical vapor deposition grown ZnO thin film on GaN/sapphire substrates prior to thermal activation. It is found that mono-doped ZnO by N+ implantation results in n-type conductivity under thermal activation. Dual-doped ZnO film with a N:P ion implantation dose ratio of 4:1 is found to be p-type under certain thermal activation conditions. Higher p-type activation levels (1019 cm-3) under a wider thermal activation range are found for the N/P dual-doped ZnO film co-implanted by additional oxygen ions. From high resolution x-ray diffraction and x-ray photoelectron spectroscopy it is concluded that the observed p-type conductivities are a result of the promoted formation of PZn-4NO complex defects via the concurrent substitution of nitrogen at oxygen sites and phosphorus at zinc sites. The enhanced solubility and stability of acceptor defects in oxygen co-implanted dual-doped ZnO film are related to the reduction of oxygen vacancy defects at the surface. Our study demonstrates the prospect of the formation of stable p-type ZnO film through co-implantation.

  4. Evolution of structural and magnetic properties of Co-doped TiO2 thin films irradiated with 100 MeV Ag7+ ions

    International Nuclear Information System (INIS)

    Mohanty, P; Singh, V P; Rath, Chandana; Mishra, N C; Ojha, S; Kanjilal, D

    2014-01-01

    In continuation to our earlier studies where we have shown room temperature ferromagnetism observed in TiO 2 and Co-doped TiO 2 (CTO) thin films independent of their phase (Mohanty et al 2012 J. Phys. D: Appl. Phys. 45 325301), here the modifications in structure and magnetic properties in CTO thin films using 100 MeV Ag 7+ ion irradiation are reported. Owing to the important role of defects in tailoring the magnetic properties of the material, we vary the ion fluence from 5 × 10 11 to 1 × 10 12  ions cm −2 to create post-deposition defects. While the film deposited under 0.1 mTorr oxygen partial pressure retains its crystallinity showing radiation-resistant behaviour even at a fluence of 1 × 10 12  ions cm −2 , films deposited under 1 to 300 mTorr oxygen partial pressure becomes almost amorphous at the same fluence. Using Poisson's law, the diameter of the amorphized region surrounding the ion path is calculated to be ∼4.2 nm from the x-ray diffraction peak intensity ((1 1 0) for rutile phase) as a function of ion fluence. The saturation magnetization (M s ) decreases exponentially similar to the decrease in x-ray peak intensity with fluence, indicating magnetic disordered region surrounding the ion path. The diameter of the magnetic disordered region is found to be ∼6.6 nm which is larger than the diameter of the amorphized latent track. Therefore, it is confirmed that swift heavy ion irradiation induces a more significant magnetic disorder than the structural disorder. (paper)

  5. Enhancement of solar hydrogen evolution from water by surface modification with CdS and TiO2 on porous CuInS2 photocathodes prepared by an electrodeposition-sulfurization method.

    Science.gov (United States)

    Zhao, Jiao; Minegishi, Tsutomu; Zhang, Li; Zhong, Miao; Gunawan; Nakabayashi, Mamiko; Ma, Guijun; Hisatomi, Takashi; Katayama, Masao; Ikeda, Shigeru; Shibata, Naoya; Yamada, Taro; Domen, Kazunari

    2014-10-27

    Porous films of p-type CuInS2, prepared by sulfurization of electrodeposited metals, are surface-modified with thin layers of CdS and TiO2. This specific porous electrode evolved H2 from photoelectrochemical water reduction under simulated sunlight. Modification with thin n-type CdS and TiO2 layers significantly increased the cathodic photocurrent and onset potential through the formation of a p-n junction on the surface. The modified photocathodes showed a relatively high efficiency and stable H2 production under the present reaction conditions. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Production and characterization of thin 7Li targets fabricated by ion implantation

    International Nuclear Information System (INIS)

    Cruz, J.; Fonseca, M.; Luis, H.; Mateus, R.; Marques, H.; Jesus, A.P.; Ribeiro, J.P.; Teodoro, O.M.N.D.; Rolfs, C.

    2009-01-01

    Very high fluence implantation of 7 Li + ions was used to promote the formation of a thin and high density 7 Li target in the surface region of Al samples. The implanted volume was characterized by particle induced gamma-ray emission, Rutherford backscattering spectrometry, X-ray photoelectron spectroscopy and nuclear reaction analysis, revealing that the implanted surface is a combination of Li 2 CO 3 , metallic lithium, LiOH and C, with almost no Al present. Radiation damage effects by proton beams were studied by observing the evolution of the 7 Li(p, α) 4 He nuclear reaction yield with the accumulated charge, at different proton energies, revealing high stability of the produced Li target.

  7. Synergistic effects between TiO2 and carbon nanotubes (CNTs) in a TiO2/CNTs system under visible light irradiation.

    Science.gov (United States)

    Wu, Chung-Hsin; Kuo, Chao-Yin; Chen, Shih-Ting

    2013-01-01

    This study synthesized a TiO2/carbon nanotubes (CNTs) composite via the sol-gel method. The surface characteristics of the TiO2/CNTs composite were determined by X-ray diffraction, transmission electron microscopy, specific surface area analyser, ultraviolent (UV)-vis spectroscopy, X-ray photoelectron spectroscopy and Raman spectrometer. The photocatalytic activity ofthe TiO2/CNTs composite was evaluated by decolourizing C.I. Reactive Red 2 (RR2) under visible light irradiation. Furthermore, the effects of calcination temperature, pH, RR2 concentration, and the TiO2/CNTs composite dosage on RR2 decolourization were determined simultaneously. The optimal calcination temperature to generate TiO2 and the TiO2/CNTs composite was 673 K, as the percentage of anatase crystallization at this temperature was highest. The specific surface area of the TiO2/CNTs composite and TiO2 were 45 and 42 m2/g, respectively. The band gap of TiO2 and the TiO2/CNTs composite was 2.97 and 2.71 eV by UV-vis measurements, respectively. Experimental data indicate that the Ti-O-C bond formed in the TiO2/CNTs composite. The RR2 decolourization rates can be approximated by pseudo-first-order kinetics; moreover, only the TiO2/CNTs composite had photocatalytic activity under visible light irradiation. At pH 7, the RR2 decolourization rate constant of 0.5, 1 and 2 g/L TiO2/CNTs addition was 0.005, 0.0015, and 0.0047 min(-1), respectively. Decolourization rate increased as pH and the RR2 concentration decreased. The CNTs functioned as electron acceptors, promoting separation of photoinduced electron-hole pairs to retard their recombination; thus, photocatalytic activity of the TiO2/CNTs composite exceeded that of TiO2.

  8. A fast and effective method for N-doping TiO2 by post treatment with liquid ammonia: visible light photocatalysis

    International Nuclear Information System (INIS)

    Powell, Michael J.; Palgrave, Robert G.; Dunnill, Charles W.; Parkin, Ivan P.

    2014-01-01

    TiO 2 thin films prepared by sol–gel synthesis were N-doped by post treating with liquid ammonia and annealing at 500 °C. Characterisation by X-ray diffraction and Raman spectroscopy confirmed that the anatase crystal type was retained and present in all samples. Scanning electron microscopy showed that treatment with liquid ammonia had no significant effect on the film morphology. Functional testing under filtered while light conditions involving water contact angle, and the photo destruction of both Resazurin and Stearic acid showed the ammonia treated samples to be active visible light photocatalysts in contrast to the pure TiO 2 and the blank controls. X-ray Photoelectron Spectroscopy studies indicate the presence of interstitial nitrogen (N 1s = 400 eV) suggesting that the origin of the enhanced photocatalytic activity is most likely due to oxygen vacancies created by the interstitial nitrogen incorporation. This synthesis method utilises a simple, inexpensive and highly effective post treatment route to N-dope TiO 2 and produces visible light photocatalysts with potential applications in self-cleaning and healthcare environments. - Highlights: • Synthesis and characterisation of N-doped TiO 2 thin films • N-doping by a post treatment with liquid ammonia • Enhanced photocatalytic activity • Easy enhancement of current TiO 2 based technologies

  9. Existence, release, and antibacterial actions of silver nanoparticles on Ag–PIII TiO2 films with different nanotopographies

    Directory of Open Access Journals (Sweden)

    Li J

    2014-07-01

    Full Text Available Jinhua Li, Yuqin Qiao, Hongqin Zhu, Fanhao Meng, Xuanyong Liu State Key Laboratory of High Performance Ceramics and Superfine Microstructure, Shanghai Institute of Ceramics, Chinese Academy of Sciences, Shanghai, People’s Republic of China Abstract: Nanotopographical TiO2 films (including nanorod, nanotip, and nanowire topographies were successfully fabricated on the metallic Ti surface via hydrothermal treatment and then underwent Ag plasma immersion ion implantation to incorporate Ag with TiO2. The surface morphology, phase component, and chemical composition before and after Ag–PIII were characterized. In view of the potential clinical applications, both Gram-negative Escherichia coli and Gram-positive Staphylococcus aureus were used to estimate their antimicrobial effect. The nanostructured TiO2 films on a Ti surface exhibit a better bacteriostatic effect on both microbes compared to the pristine Ti. The nanotopographies of the TiO2 films affect the nucleation, growth, and distribution of Ag nanoparticles in the films during Ag–PIII process. The Ag nanoparticles are completely embedded into the nanorod film while partially exposed out of the nanotip and nanowire films, which account for the significant differences in the release behaviors of Ag ions in vitro. However, no significant difference exists in their antimicrobial activity against both microbes. The antimicrobial actions of the Ag@TiO2 system described here consist of two methods – the contact-killing action and the release-killing action. Nevertheless, based on the observed results, the contact-killing action should be regarded as the main method to destroy microbes for all the Ag plasma-modified TiO2 nanofilms. This study provides insight to optimize the surface design of Ti-based implants to acquire more effective antimicrobial surfaces to meet clinical applications. Keywords: silver, nanoparticles, titania, nanostructure, antibacterial, plasma

  10. Density, thickness and composition measurements of TiO2 -SiO2 thin films by coupling X-ray reflectometry, ellipsometry and electron probe microanalysis-X

    International Nuclear Information System (INIS)

    Hodroj, A.; Roussel, H.; Crisci, A.; Robaut, F.; Gottlieb, U.; Deschanvres, J.L.

    2006-01-01

    Mixed TiO 2 -SiO 2 thin films were deposited by aerosol atmospheric CVD method by using di-acetoxi di-butoxi silane (DADBS) and Ti tetra-butoxide as precursors. By varying the deposition temperatures between 470 and 600 deg. C and the ratios between the Si and Ti precursors (Si/Ti) from 2 up to 16, films with different compositions and thicknesses were deposited. The coupled analysis of the results of different characterisation methods was used in order to determine the variation of the composition, the thickness and the density of the films. First EPMA measurements were performed at different acceleration voltages with a Cameca SX50 system. By analysing, with specific software, the evolution of the intensity ratio I x /I std versus the voltage, the composition and the mass thickness (product of density by the thickness) were determined. In order to measure independently the density, X-ray reflectometry experiments were performed. By analysing the value of the critical angle and the Kiessig fringes, the density and the thickness of the layers were determined. The refractive index and the thickness of the films were also measured by ellipsometry. By assuming a linear interpolation between the index value of the pure SiO 2 and TiO 2 films, the film composition was deduced from the refractive index value. XPS measurements were also performed in order to obtain an independent value of the composition. A good agreement between the ways to measure the density is obtained

  11. Preparation of Pb(Zr, Ti)O3 Thin Films by Plasma-Assisted Sputtering

    Science.gov (United States)

    Hioki, Tsuyoshi; Akiyama, Masahiko; Ueda, Tomomasa; Onozuka, Yutaka; Suzuki, Kouji

    1999-09-01

    A novel plasma-assisted RF magnetron sputtering system with an immersed coil antenna between a target and a substrate was applied for preparing Pb(Zr, Ti)O3 (PZT) thin films. The antenna enabled the generation of inductively coupled plasma (ICP) independently of the target RF source. The plasma assisted by the antenna resulted in the changes of ion fluxes and these energy distributions irradiating to the substrate. The crystalline phase of the deposited PZT thin films was occupied by the perovskite phase depending on the antenna power. In addition, a high deposition rate, modified uniformity of film thickness, and a dense film structure with large columnar grains were obtained as a result of effects of the assisted plasma. The application of the plasma-assisted sputtering method may enable the preparation of PZT thin films that haveexcellent properties.

  12. Sol-gel synthesis of TiO2 nanoparticles and photocatalytic degradation of methyl orange in aqueous TiO2 suspensions

    International Nuclear Information System (INIS)

    Yang Huaming; Zhang Ke; Shi Rongrong; Li Xianwei; Dong Xiaodan; Yu Yongmei

    2006-01-01

    Anatase TiO 2 nanoparticles of about 16 nm in crystal size have been successfully synthesized via a sol-gel method. Thermal treatment of the precursor at 500-600 deg. C results in the formation of different TiO 2 phase compositions. The samples were characterized by means of X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS) and transmission electron microscopy (TEM). Effects of the pH value of the solution, H 2 O 2 addition, TiO 2 phase composition and recycled TiO 2 on the photocatalytic degradation of methyl orange (MeO) in TiO 2 suspensions under ultraviolet (UV) illumination were primarily investigated. The results indicate that a low pH value, proper amount of H 2 O 2 and pure anatase TiO 2 will facilitate the photocatalytic oxidation of the MeO solution. The photodegradation degree decreases with increasing the pH value of the solution and varies with different amounts of H 2 O 2 . Pure anatase TiO 2 shows better photocatalytic activity for MeO decolorization than biphase TiO 2 . The photocatalytic mechanism of the as-synthesized TiO 2 nanoparticles was discussed

  13. Influence of TiO2 Nanoparticles on Enhancement of Optoelectronic Properties of PFO-Based Light Emitting Diode

    Directory of Open Access Journals (Sweden)

    Bandar Ali Al-Asbahi

    2013-01-01

    Full Text Available Improvement on optoelectronic properties of poly (9,9′-di-n-octylfluorenyl-2.7-diyl- (PFO- based light emitting diode upon incorporation of TiO2 nanoparticles (NPs is demonstrated. The PFO/TiO2 nanocomposites with different weight ratios between 5 and 35 wt.% were prepared using solution blending method before they were spin coated onto Indium Tin Oxide substrate. Then a thin Al layer was deposited onto the nanocomposite layer to act as top electrode. The nanocomposites were tested as emissive layer in organic light emitting diodes (OLEDs. The TiO2 NPs played the most crucial role in facilitating charge transport and electrical injection and thus improved device performance in terms of turn-on voltage, electroluminescence spectra (EL, luminance, and luminance efficiency. The best composition was OLED with 5 wt.% TiO2 NPs content having moderate surface roughness and well distribution of NPs. The device performance was reduced at higher TiO2 NPs content due to higher surface roughness and agglomeration of TiO2 NPs. This work demonstrated the importance of optimum TiO2 NPs content with uniform distribution and controlled surface roughness of the emissive layer for better device performance.

  14. Synthesis and characterization of anatase-TiO2 thin films

    International Nuclear Information System (INIS)

    Sankapal, B.R.; Lux-Steiner, M.Ch.; Ennaoui, A.

    2005-01-01

    A new and effective method for the preparation of nanocrystalline TiO 2 (anatase) thin films is presented. This method is based on the use of peroxo-titanium complex as a single precursor. Post-annealing treatment is necessary to convert the deposited amorphous film into TiO 2 (anatase) phase. The films obtained are uniform, compact and free of pinholes. A wide range of techniques are used for characterization, namely X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), transmission electron microscopy (TEM), energy-dispersive X-ray analysis (EDAX) and UV-Vis-NIR spectrophotometer. Glass, indium-doped tin oxide (ITO) and quartz are used as substrates. TiO 2 (anatase) phase with (1 0 1) preferred orientation is obtained for the films. Byproduct (collected powder) consists of the same crystal structure. The optical measurement reveals the indirect bandgap of 3.2 eV

  15. Synthesis and characterization of anatase-TiO 2 thin films

    Science.gov (United States)

    Sankapal, B. R.; Lux-Steiner, M. Ch.; Ennaoui, A.

    2005-01-01

    A new and effective method for the preparation of nanocrystalline TiO 2 (anatase) thin films is presented. This method is based on the use of peroxo-titanium complex as a single precursor. Post-annealing treatment is necessary to convert the deposited amorphous film into TiO 2 (anatase) phase. The films obtained are uniform, compact and free of pinholes. A wide range of techniques are used for characterization, namely X-ray diffraction (XRD), scanning electron microscopy (SEM), atomic force microscopy (AFM), transmission electron microscopy (TEM), energy-dispersive X-ray analysis (EDAX) and UV-Vis-NIR spectrophotometer. Glass, indium-doped tin oxide (ITO) and quartz are used as substrates. TiO 2 (anatase) phase with (1 0 1) preferred orientation is obtained for the films. Byproduct (collected powder) consists of the same crystal structure. The optical measurement reveals the indirect bandgap of 3.2 eV.

  16. Synthesis of Fe2O3/TiO2 nanorod-nanotube arrays by filling TiO2 nanotubes with Fe

    International Nuclear Information System (INIS)

    Mohapatra, Susanta K; Banerjee, Subarna; Misra, Mano

    2008-01-01

    Synthesis of hematite (α-Fe 2 O 3 ) nanostructures on a titania (TiO 2 ) nanotubular template is carried out using a pulsed electrodeposition technique. The TiO 2 nanotubes are prepared by the sonoelectrochemical anodization method and are filled with iron (Fe) by pulsed electrodeposition. The Fe/TiO 2 composite is then annealed in an O 2 atmosphere to convert it to Fe 2 O 3 /TiO 2 nanorod-nanotube arrays. The length of the Fe 2 O 3 inside the TiO 2 nanotubes can be tuned from 50 to 550 nm by changing the deposition time. The composite material is characterized by scanning electron microscopy, transmission electron microscopy and diffuse reflectance ultraviolet-visible studies to confirm the formation of one-dimensional Fe 2 O 3 /TiO 2 nanorod-nanotube arrays. The present approach can be used for designing variable one-dimensional metal oxide heterostructures

  17. Immobilization of Ag nanoparticles/FGF-2 on a modified titanium implant surface and improved human gingival fibroblasts behavior.

    Science.gov (United States)

    Ma, Qianli; Mei, Shenglin; Ji, Kun; Zhang, Yumei; Chu, Paul K

    2011-08-01

    The objective of this study was to form a rapid and firm soft tissue sealing around dental implants that resists bacterial invasion. We present a novel approach to modify Ti surface by immobilizing Ag nanoparticles/FGF-2 compound bioactive factors onto a titania nanotubular surface. The titanium samples were anodized to form vertically organized TiO(2) nanotube arrays and Ag nanoparticles were electrodeposited onto the nanotubular surface, on which FGF-2 was immobilized with repeated lyophilization. A uniform distribution of Ag nanoparticles/FGF-2 was observed on the TiO(2) nanotubular surface. The L929 cell line was used for cytotoxicity assessment. Human gingival fibroblasts (HGFs) were cultured on the modified surface for cytocompatibility determination. The Ag/FGF-2 immobilized samples displayed excellent cytocompatibility, negligible cytotoxicity, and enhanced HGF functions such as cell attachment, proliferation, and ECM-related gene expression. The Ag nanoparticles also exhibit some bioactivity. In conclusion, this modified TiO(2) nanotubular surface has a large potential for use in dental implant abutment. Copyright © 2011 Wiley Periodicals, Inc.

  18. Anchorage of titanium implants with different surface characteristics: an experimental study in rabbits

    DEFF Research Database (Denmark)

    Gotfredsen, K; Berglundh, T; Lindhe, J

    2000-01-01

    ) TiO2-blasted with particles of grain size 10 to 53 microns; (3) TiO2-blasted, grain size 63 to 90 microns; (4) TiO2-blasted, grain size 90 to 125 microns; (5) titanium plasma-sprayed (TPS). The surface topography was determined by the use of an optical instrument. Twelve rabbits, divided into two...... groups, had a total of 120 implants inserted in the tibiae. One implant from each of the five surface categories was placed within the left tibia of each rabbit. By a second operation, implants were installed in the right tibia, after 2 weeks in group A and after 3 weeks in group B. Fluorochrome labeling...

  19. Performance enhancement of perovskite solar cells with Mg-doped TiO2 compact film as the hole-blocking layer

    International Nuclear Information System (INIS)

    Wang, Jing; Qin, Minchao; Tao, Hong; Ke, Weijun; Chen, Zhao; Wan, Jiawei; Qin, Pingli; Lei, Hongwei; Fang, Guojia; Xiong, Liangbin; Yu, Huaqing

    2015-01-01

    In this letter, we report perovskite solar cells with thin dense Mg-doped TiO 2 as hole-blocking layers (HBLs), which outperform cells using TiO 2 HBLs in several ways: higher open-circuit voltage (V oc ) (1.08 V), power conversion efficiency (12.28%), short-circuit current, and fill factor. These properties improvements are attributed to the better properties of Mg-modulated TiO 2 as compared to TiO 2 such as better optical transmission properties, upshifted conduction band minimum (CBM) and downshifted valence band maximum (VBM), better hole-blocking effect, and higher electron life time. The higher-lying CBM due to the modulation with wider band gap MgO and the formation of magnesium oxide and magnesium hydroxides together resulted in an increment of V oc . In addition, the Mg-modulated TiO 2 with lower VBM played a better role in the hole-blocking. The HBL with modulated band position provided better electron transport and hole blocking effects within the device

  20. Electron Beam Evaporated TiO2 Layer for High Efficiency Planar Perovskite Solar Cells on Flexible Polyethylene Terephthalate Substrates

    KAUST Repository

    Qiu, Weiming

    2015-09-30

    The TiO2 layer made by electron beam (e-beam) induced evaporation is demonstrated as electron transport layer (ETL) in high efficiency planar junction perovskite solar cells. The temperature of the substrate and the thickness of the TiO2 layer can be easily controlled with this e-beam induced evaporation method, which enables the usage of different types of substrates. Here, Perovskite solar cells based on CH3NH3PbI3-xClx achieve power conversion efficiencies of 14.6% on glass and 13.5% on flexible plastic substrates. The relationship between the TiO2 layer thickness and the perovskite morphology is studied with scanning electron microscope (SEM), atomic force microscope (AFM), and X-ray photoelectron spectroscopy (XPS). Our results indicate that pinholes in thin TiO2 layer lead to pinholes in the perovskite layer. By optimizing the TiO2 thickness, perovskite layers with substantially increased surface coverage and reduced pinhole areas are fabricated, increasing overall device performance.

  1. Effect of TiO2 on the Gas Sensing Features of TiO2/PANi Nanocomposites

    Directory of Open Access Journals (Sweden)

    Duong Ngoc Huyen

    2011-02-01

    Full Text Available A nanocomposite of titanium dioxide (TiO2 and polyaniline (PANi was synthesized by in-situ chemical polymerization using aniline (ANi monomer and TiCl4 as precursors. SEM pictures show that the nanocomposite was created in the form of long PANi chains decorated with TiO2 nanoparticles. FTIR, Raman and UV-Vis spectra reveal that the PANi component undergoes an electronic structure modification as a result of the TiO2 and PANi interaction. The electrical resistor of the nanocomposite is highly sensitive to oxygen and NH3 gas, accounting for the physical adsorption of these gases. A nanocomposite with around 55% TiO2 shows an oxygen sensitivity of 600–700%, 20–25 times higher than that of neat PANi. The n-p contacts between TiO2 nanoparticles and PANi matrix give rise to variety of shallow donors and acceptor levels in the PANi band gap which enhance the physical adsorption of gas molecules.

  2. Chemical and electrochemical synthesis of nano-sized TiO2 anatase for large-area photon conversion

    International Nuclear Information System (INIS)

    Babasaheb, Raghunath Sankapal; Shrikrishna, Dattatraya Sartale; Lux-Steiner, M.Ch.; Ennaoui, A.

    2006-01-01

    We report on the synthesis of nanocrystalline titanium dioxide thin films and powders by chemical and electrochemical deposition methods. Both methods are simple, inexpensive and suitable for large-scale production. Air-annealing of the films and powders at T = 500 C leads to densely packed nanometer sized anatase TiO 2 particles. The obtained layers are characterized by different methods such as: X-ray diffraction (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM), X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM). Titanium dioxide TiO 2 (anatase) phase with (101) preferred orientation has been obtained for the films deposited on glass; indium doped tin oxide (ITO) and quartz substrates. The powder obtained as the byproduct consists of TiO 2 with anatase-phase as well. (authors)

  3. Plasmonic metamaterial-based chemical converted graphene/TiO2/Ag thin films by a simple spray pyrolysis technique

    Science.gov (United States)

    Kumar, Promod; Swart, H. C.

    2018-04-01

    Graphene based hybrid nanostructures have received special attention in both the scientific and technological development due to their unique physicochemical behavior, which make them attractive in various applications such as, batteries, supercapacitors, fuel cells, solar cells, photovoltaic devices and bio-sensors. In the present study, the role of plasmonic metamaterials in light trapping photovoltaics for inorganic semiconducting materials by a simple and low cost spray pyrolysis technique has been studied. The plasmonic metamaterials thin film has been fabricated by depositing chemically converted graphene (CCG) onto TiO2-Ag nanoparticles which has a low resistivity and a low electron-hole recombination probability. The localized surface plasmon resonance at the metal-dielectric interface for the Ag nanoparticles has been observed at 403 nm after depositing chemical converted graphene (CCG) on the TiO2-Ag thin film. The results suggest that the stacking order of the CCG/TiO2/Ag plasmonic metamaterials samples did not change the band gap of TiO2 while it changed the conductivity of the film. Thus the diffusion of the noble metals in the glass and TiO2 matrices based thin films can trap the light of a particular wavelength by mean of plasmonic resonance and may be useful for superior photovoltaic and optoelectronic applications.

  4. Preparation of sol-gel TiO2/purified Na-bentonite composites and their photovoltaic application for natural dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Saelim, Ni-on; Magaraphan, Rathanawan; Sreethawong, Thammanoon

    2011-01-01

    Highlights: → Natural dye from red cabbage was successfully employed in DSSC. → A fast sol-gel method to produce TiO 2 /clay thin film was proposed. → The sol-gel-prepared TiO 2 /clay was applied as the scattering layer on top of TiO 2 electrode. → Thicker sol-gel-prepared TiO 2 /clay electrode showed higher DSSC efficiency. - Abstract: The sol-gel TiO 2 /purified natural clay electrodes having Ti:Si molar ratios of 95:5 and 90:10 were initially prepared, sensitized with natural red cabbage dye, and compared to the sol-gel TiO 2 electrode in terms of physicochemical characteristics and solar cell efficiency. The results showed that the increase in purified Na-bentonite content greatly increased the specific surface area and total pore volume of the prepared sol-gel TiO 2 /purified Na-bentonite composites because the clay platelets prevented TiO 2 particle agglomeration. The sol-gel TiO 2 /5 mol% Si purified Na-bentonite and sol-gel TiO 2 /10 mol% Si purified Na-bentonite composites could increase the film thickness of solar cells without cracking when they were coated as a scattering layer on the TiO 2 semiconductor-based film, leading to increasing the efficiency of the natural dye-sensitized solar cells in this work.

  5. Highly uniform bipolar resistive switching characteristics in TiO2/BaTiO3/TiO2 multilayer

    International Nuclear Information System (INIS)

    Ma, W. J.; Zhang, X. Y.; Wang, Ying; Zheng, Yue; Lin, S. P.; Luo, J. M.; Wang, B.; Li, Z. X.

    2013-01-01

    Nanoscale multilayer structure TiO 2 /BaTiO 3 /TiO 2 has been fabricated on Pt/Ti/SiO 2 /Si substrate by chemical solution deposition method. Highly uniform bipolar resistive switching (BRS) characteristics have been observed in Pt/TiO 2 /BaTiO 3 /TiO 2 /Pt cells. Analysis of the current-voltage relationship demonstrates that the space-charge-limited current conduction controlled by the localized oxygen vacancies should be important to the resistive switching behavior. X-ray photoelectron spectroscopy results indicated that oxygen vacancies in TiO 2 play a crucial role in the resistive switching phenomenon and the introduced TiO 2 /BaTiO 3 interfaces result in the high uniformity of bipolar resistive switching characteristics

  6. Proline adsorption on TiO 2(1 1 0) single crystal surface: A study by high resolution photoelectron spectroscopy

    Science.gov (United States)

    Fleming, G. J.; Adib, K.; Rodriguez, J. A.; Barteau, M. A.; Idriss, H.

    2007-12-01

    The surface chemistry and binding of DL-proline were investigated on the oxidised (stoichiometric) and reduced (sub-stoichiometric) TiO 2(1 1 0) single crystal surfaces. TiO 2 was chosen as the substrate as it best represents the surface of a biomedical implant, which bio-molecules interact with during the healing of bone/teeth fractures (molecular recognition). High resolution X-ray photoelectron spectroscopy (HR-XPS) studies of the C1s and N1s regions revealed that DL-proline is present in two forms (dissociated and zwitterionic) on the oxidised TiO 2 surface. On TiO 2(1 1 0) surfaces reduced by Ar + sputtering, a significant increase in the amount of zwitterionic proline at the surface was detected when compared with the oxidised surface. Study of the temperature effect showed that in both cases the zwitterionic structure was the less stable structure. The reason for its relative instability appears to be thermodynamic.

  7. TiO2-ITO and TiO2-ZnO nanocomposites: application on water treatment

    Directory of Open Access Journals (Sweden)

    Bessais B.

    2012-06-01

    Full Text Available One of the most promising ideas to enhance the photocatalytic efficiency of the TiO2 is to couple this photocatalyst with other semiconductors. In this work, we report on the development of photo-catalytic properties of two types of composites based on TiO2 – ITO (Indium Tin Oxide and TiO2 – ZnO deposited on conventional ceramic substrates. The samples were characterized by X-ray diffraction (XRD and transmission Electron Microscopy (TEM. The photo-catalytic test was carried out under UV light in order to reduce/oxidize a typical textile dye (Cibacron Yellow. The experiment was carried out in a bench scale reactor using a solution having a known initial dye concentration. After optimization, we found that both nanocomposites exhibit better photocatalytic activity compared to the standard photocatalyst P25 TiO2.

  8. Nb and Ta Co-Doped TiO2 Transparent Conductive Thin Films by Magnetron Sputtering: Fabrication, Structure, and Characteristics

    Science.gov (United States)

    Liu, Yang; Peng, Qian; Qiao, Yadong; Yang, Guang

    2018-06-01

    Nb and Ta co-doped anatase titanium dioxide (NTTO) nanocrystalline thin films were deposited on quartz and Si (100) substrates by RF magnetron sputtering. The influence of RF power on the growth, structure, morphology, and properties of the samples are discussed in detail. X-ray diffraction measurements show that the films are polycrystalline with anatase tetragonal structure, which is further confirmed by Raman spectroscopy analysis. Meanwhile, Raman spectroscopy results indicate that the peak width of E g(1) mode, which is directly correlated to the carrier density, changes obviously with RF power. It is found that the substitution of Nb5+ and Ta5+ at Ti site is significantly improved with the increase of RF power from 150 W to 210 W. For the sample deposited at 210 W, the optical transmittance is above 82% in the visible range and the electrical resistivity is as low as 1.3 × 10-3 Ω cm with carrier density of 1.1 × 1021 cm-3 and Hall mobility of 4.5 cm2 V-1 s-1. The optical and electrical properties of NTTO thin films can be compared to those of Nb or Ta doped anatase TiO2. However, co-doping with Nb and Ta gives a possible platform to complement the limitations of each individual dopant.

  9. TiO2 beads and TiO2-chitosan beads for urease immobilization

    International Nuclear Information System (INIS)

    Ispirli Doğaç, Yasemin; Deveci, İlyas; Teke, Mustafa; Mercimek, Bedrettin

    2014-01-01

    The aim of the present study is to synthesize TiO 2 beads for urease immobilization. Two different strategies were used to immobilize the urease on TiO 2 beads. In the first method (A), urease enzyme was immobilized onto TiO 2 beads by adsorption and then crosslinking. In the second method (B), TiO 2 beads were coated with chitosan-urease mixture. To determine optimum conditions of immobilization, different parameters were investigated. The parameters of optimization were initial enzyme concentration (0.5; 1; 1.5; 2 mg/ml), alginate concentration (1; 2; 3%), glutaraldehyde concentration (1; 2; 3% v/v) and chitosan concentration (2; 3; 4 mg/ml). The optimum enzyme concentrations were determined as 1.5 mg/ml for A and 1.0 mg/ml for B. The other optimum conditions were found 2.0% (w/v) for alginate concentration (both A and B); 3.0 mg/ml for chitosan concentration (B) and 2.0% (v/v) for glutaraldehyde concentration (A). The optimum temperature (20-60 °C), optimum pH (3.0-10.0), kinetic parameters, thermal stability (4–70 °C), pH stability (4.0-9.0), operational stability (0-230 min) and reusability (20 times) were investigated for characterization. The optimum temperatures were 30 °C (A), 40 °C (B) and 35 °C (soluble). The temperature profiles of the immobilized ureases were spread over a large area. The optimum pH values for the soluble urease and immobilized urease prepared by using methods (A) and (B) were found to be 7.5, 7.0, 7.0, respectively. The thermal stabilities of immobilized enzyme sets were studied and they maintained 50% activity at 65 °C. However, at this temperature free urease protected only 15% activity. - Highlights: • TiO 2 and TiO 2 -chitosan beads for urease immobilization have been prepared and characterized. • The beads used in this work are good matrices for the immobilization of urease. • The immobilized urease was shown to have good properties and stabilities (pH and thermal stability, operational stability). • The 50

  10. Enhanced Optoelectronic Properties of PFO/Fluorol 7GA Hybrid Light Emitting Diodes via Additions of TiO2 Nanoparticles

    Directory of Open Access Journals (Sweden)

    Bandar Ali Al-Asbahi

    2016-09-01

    Full Text Available The effect of TiO2 nanoparticle (NP content on the improvement of poly(9,9′-di-n-octylfluorenyl-2,7-diyl (PFO/Fluorol 7GA organic light emitting diode (OLED performance is demonstrated here. The PFO/Fluorol 7GA blend with specific ratios of TiO2 NPs was prepared via a solution blending method before being spin-coated onto an indium tin oxide (ITO substrate to act as an emissive layer in OLEDs. A thin aluminum layer as top electrode was deposited onto the emissive layer using the electron beam chamber. Improvement electron injection from the cathode was achieved upon incorporation of TiO2 NPs into the PFO/Fluorol 7GA blend, thus producing devices with intense luminance and lower turn-on voltage. The ITO/(PFO/Fluorol 7GA/TiO2/Al OLED device exhibited maximum electroluminescence intensity and luminance at 25 wt % of TiO2 NPs, while maximum luminance efficiency was achieved with 15 wt % TiO2 NP content. In addition, this work proved that the performance of the devices was strongly affected by the surface morphology, which in turn depended on the TiO2 NP content.

  11. Photocatalytic activity of TiO2/Nb2O5/PANI and TiO2/Nb2O5/RGO as new nanocomposites for degradation of organic pollutants.

    Science.gov (United States)

    Zarrin, Saviz; Heshmatpour, Felora

    2018-06-05

    In this study, highly active titanium dioxide modified by niobium oxide (Nb 2 O 5 ), polymer (PANI) and reduced graphene oxide (RGO) were successfully prepared. The morphology, structure, surface area and light absorption properties of the present nanocomposites for removal of methylene blue (MB) and methyl orange (MO) were investigated and compared with those of TiO 2 /Nb 2 O 5 and TiO 2 nanoparticles. The characterization techniques such as XRD, FT-IR, UV-vis, SEM, EDX, BET and TEM were employed in order to identify the nanocomposites. Also, photocatalytic properties of TiO 2 /Nb 2 O 5 /PANI and TiO 2 /Nb 2 O 5 /RGO nanocomposites under visible light irradiation were studied. In this way, the obtained results were compared to each other and also compared to TiO 2 /Nb 2 O 5 and TiO 2 nanoparticles. In this context, the chemical oxygen demand (COD) removal follows the photodegradation in observed performance. The results indicate that reduced TiO 2 /Nb 2 O 5 nanocomposite is effectively modified by graphene oxide to give TiO 2 /Nb 2 O 5 /RGO composite. The TiO 2 /Nb 2 O 5 /RGO exhibits significantly higher photocatalytic activity in degradation of organic dyes under visible light rather than that of TiO 2 /Nb 2 O 5 /PANI, TiO 2 /Nb 2 O 5 and pure TiO 2 . Copyright © 2018 Elsevier B.V. All rights reserved.

  12. Effect of electrode type in the resistive switching behaviour of TiO2 thin films

    International Nuclear Information System (INIS)

    Hernández-Rodríguez, E; Zapata-Torres, M; Márquez-Herrera, A; Zaleta-Alejandre, E; Meléndez-Lira, M; Cruz, W de la

    2013-01-01

    The influence of the electrode/active layer on the electric-field-induced resistance-switching phenomena of TiO 2 -based metal-oxide-metal devices (MOM) is studied. TiO 2 active layers were fabricated by the reactive rf-sputtering technique and devices were made by sandwiching between several metal electrodes. Three different MOM devices were made, according with the junction type formed between the electrode and the TiO 2 active layer, those where Ohmic-Ohmic, Ohmic-Schottky and Schottky-Schottky. The junction type was tested by electrical I-V measurements. It was found that MOM devices made with the Ohmic-Ohmic combination did not show any resistive switching behaviour in contrast with devices made with Ohmic-Schottky and Schottky-Schottky combinations. From a detailed analysis of the I-V curves it was found that transport characteristics are Ohmic for the low-resistance state for all the contacts combinations of the MOM devices, whereas in the high-resistance state it depends on contact combinations and can be identified as Ohmic, Schottky and Poole-Frenkel type. These conduction mechanisms in the low- and high-resistance states suggest that formation and rupture of conducting filaments through the film oxide is the mechanism responsible for the resistance switching.

  13. Facile Conversion of Electrospun TiO2 into Titanium Nitride/Oxynitride Fibers

    Czech Academy of Sciences Publication Activity Database

    Zukalová, Markéta; Procházka, Jan; Bastl, Zdeněk; Duchoslav, J.; Rubáček, L.; Havlíček, D.; Kavan, Ladislav

    2010-01-01

    Roč. 22, č. 13 (2010), s. 4045-4055 ISSN 0897-4756 R&D Projects: GA MŠk LC510; GA MŠk OC09048; GA AV ČR IAA400400804; GA AV ČR KAN200100801 Institutional research plan: CEZ:AV0Z40400503 Keywords : visible-light photocatalysis * nitrogen doped TiO2 * thin films Subject RIV: CG - Electrochemistry Impact factor: 6.400, year: 2010

  14. The Effects of Anchor Groups on (1) TiO2-Catalyzed Photooxidation and (2) Linker-Assisted Assembly on TiO2

    Science.gov (United States)

    Anderson, Ian Mark

    Quantum dot-sensitized solar cells (QDSSCs) are a popular target for research due to their potential for highly efficient, easily tuned absorption. Typically, light is absorbed by quantum dots attached to a semiconductor substrate, such as TiO2, via bifunctional linker molecules. This research aims to create a patterned monolayer of linker molecules on a TiO2 film, which would in turn allow the attachment of a patterned layer of quantum dots. One method for the creation of a patterned monolayer is the functionalization of a TiO2 film with a linker molecule, followed by illumination with a laser at 355 nm. This initiates a TiO 2-catalyzed oxidation reaction, causing loss of surface coverage. A second linker molecule can then be adsorbed onto the TiO2 surface in the illuminated area. Towards that end, the behaviors of carboxylic and phosphonic acids adsorbed on TiO2 have been studied. TiO2 films were functionalized by immersion in solutions a single adsorbate and surface coverage was determined by IR spectroscopy. It is shown that phosphonic acids attain higher surface coverage than carboxylic acids, and will displace them from TiO2 when in a polar solvent. Alkyl chain lengths, which can influence stabilities of monolayers, are shown not to have an effect on this relationship. Equilibrium binding data for the adsorption of n-hexadecanoic acid to TiO2 from a THF solution are presented. It is shown that solvent polarity can affect monolayer stability; carboxylates and phosphonates undergo more desorption into polar solvents than nonpolar. Through illumination, it was possible to remove nearly all adsorbed linkers from TiO2. However, the illuminated areas were found not to be receptive to attachment by a second adsorbate. A possible reason for this behavior is presented. I also report on the synthesis and characterization of a straight-chain, thiol-terminated phosphonic acid. Initial experiments involving monolayer formation and quantum dot attachment are presented

  15. Slow positron beam study of hydrogen ion implanted ZnO thin films

    Science.gov (United States)

    Hu, Yi; Xue, Xudong; Wu, Yichu

    2014-08-01

    The effects of hydrogen related defect on the microstructure and optical property of ZnO thin films were investigated by slow positron beam, in combination with x-ray diffraction, infrared and photoluminescence spectroscopy. The defects were introduced by 90 keV proton irradiation with doses of 1×1015 and 1×1016 ions cm-2. Zn vacancy and OH bonding (VZn+OH) defect complex were identified in hydrogen implanted ZnO film by positron annihilation and infrared spectroscopy. The formation of these complexes led to lattice disorder in hydrogen implanted ZnO film and suppressed the luminescence process.

  16. Influence of the substrate on the morphological evolution of gold thin films during solid-state dewetting

    International Nuclear Information System (INIS)

    Nsimama, Patrick D.; Herz, Andreas; Wang, Dong; Schaaf, Peter

    2016-01-01

    Highlights: • Dewetting of thin gold films is faster on TiO_2 than on SiO_2. • Dewetting of thin gold films is faster on amorphous TiO_2 than on crystalline TiO_2. • The kinetics is attributed to the energy of adhesion. • The morphology of thin Au films deposited on TiO_2 substrates is different to those deposited on SiO_2 substrates. • The dewetting activation energy of Au films deposited on crystalline substrates was higher than the activation energy of Au nanofilms deposited on amorphous TiO_2 substrates. - Abstract: The evolution of electron-beam evaporated Au thin films deposited on crystalline TiO_2 (c-TiO_2) and amorphous TiO_2 (a-TiO_2) as well as amorphous SiO_2 substrates are investigated. The kinetic of dewetting is clearly dependent on the type of substrate and is faster on TiO_2 substrates than on SiO_2 substrates. This difference can result from the difference in adhesion energy. Furthermore, the kinetic of dewetting is faster on a-TiO_2 than on c-TiO_2, possibly due to the crystallization of TiO_2 during annealing induced dewetting process. The morphologies of dewetted Au films deposited on crystalline TiO_2 are characterized by branched holes. The XRD patterns of the Au films deposited on TiO_2 substrates constituted peaks from both metallic Au and anatase TiO_2. The activation energy of Au films deposited on crystalline TiO_2 substrates was higher than that that of the films deposited on amorphous TiO_2 substrates.

  17. Investigation on the conditions mitigating membrane fouling caused by TiO2 deposition in a membrane photocatalytic reactor (MPR) used for dye wastewater treatment

    International Nuclear Information System (INIS)

    Damodar, Rahul-Ashok; You, Sheng-Jie; Chiou, Guan-Wei

    2012-01-01

    Highlights: ► The charge differences between particle and membrane accelerate the intensity of fouling and binding of TiO 2 particles. ► Severe fouling at pH 5 and low fouling at pH ≥ 7 at all flux conditions. ► The presence of a very thin TiO 2 cake layer can alter the hydrophilicity of the membrane surface. ► The resistance offered by dense TiO 2 cake layer could dominate the hydrophilic effect of TiO 2 particles. - Abstract: In this study, the effects of MPR's operating conditions such as permeate flux, solution pH, and membrane hydrophobicity on separation characteristics and membrane fouling caused by TiO 2 deposition were investigated. The extent of fouling was measured in terms of TMP and tank turbidity variation. The results showed that, at mildly acidic conditions (pH ∼ 5), the turbidity within the tank decreased and the extent of turbidity drop increased with increasing flux for all the membranes. On the other hand, at pH ≥ 7, the turbidity remained constant at all flux and for all membranes tested. The fouling variation at different pH was closely linked with the surface charge (zeta potential) and hydrophilicity of both membrane and particles. It was observed that the charge differences between the particles and membranes accelerate the intensity of fouling and binding of TiO 2 particles on the membrane surface under different pH conditions. The presence of a very thin layer of TiO 2 can alter the hydrophilicity of the membranes and can slightly decrease the TMP (filtration resistance) of the fouled membranes. Besides, the resistance offered by the dense TiO 2 cake layer would dominate this hydrophilic effect of TiO 2 particles, and it may not alter the filtration resistance of the fouled membranes.

  18. An efficient and low-cost TiO2 compact layer for performance improvement of dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Yu Hua; Zhang Shanqing; Zhao Huijun; Will, Geoffrey; Liu Porun

    2009-01-01

    A TiO 2 organic sol was synthesised for the preparation of a compact TiO 2 layer on fluorine-doped tin oxide (FTO) glass by a dip-coating technique. The resultant thin film was used for the fabrication of dye-sensitized solar cells (DSSCs). The compact layer typically has a thickness of ca. 110 nm as indicated by its SEM, and consists of anatase as confirmed by the XRD pattern. Compared with the traditional DSSCs without this compact layer, the solar energy-to-electricity conversion efficiency, short-circuit current and open-circuit potential of the DSSCs with the compact layer were improved by 33.3%, 20.3%, and 10.2%, respectively. This can be attributed to the merits brought by the compact layer. It can effectively improve adherence of TiO 2 to FTO surface, provide a larger TiO 2 /FTO contact area, and reduce the electron recombination by blocking the direct contact between the redox electrolyte and the conductive FTO surface

  19. Effects of atomic oxygen on titanium dioxide thin film

    Science.gov (United States)

    Shimosako, Naoki; Hara, Yukihiro; Shimazaki, Kazunori; Miyazaki, Eiji; Sakama, Hiroshi

    2018-05-01

    In low earth orbit (LEO), atomic oxygen (AO) has shown to cause degradation of organic materials used in spacecrafts. Similar to other metal oxides such as SiO2, Al2O3 and ITO, TiO2 has potential to protect organic materials. In this study, the anatese-type TiO2 thin films were fabricated by a sol-gel method and irradiated with AO. The properties of TiO2 were compared using mass change, scanning electron microscope (SEM), atomic force microscope (AFM), X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), transmittance spectra and photocatalytic activity before and after AO irradiation. The results indicate that TiO2 film was hardly eroded and resistant against AO degradation. AO was shown to affects only the surface of a TiO2 film and not the bulk. Upon AO irradiation, the TiO2 films were slightly oxidized. However, these changes were very small. Photocatalytic activity of TiO2 was still maintained in spite of slight decrease upon AO irradiation, which demonstrated that TiO2 thin films are promising for elimination of contaminations outgassed from a spacecraft's materials.

  20. Synthesis and photocatalytic activity of Ce-doped TiO2 and TiO2 nanotubes

    International Nuclear Information System (INIS)

    Arruda, L.B.; Pereira, E.A.; Paula, F.R.; Lisboa Filho, P.N.

    2016-01-01

    Full text: One-dimensional nanostructures have been intensively studied, from the point of view of their synthesis and mechanisms of formation, as well as their applications in photonics, solar energy conversion, environmental and photocatalysis, since their properties due high surface area, electrical conductivity and light dispersion effects. Titanium dioxide (TiO2) nanoparticles have been demonstrated to be an effective multifunctional material especially when the particle size is less than 50 nm exhibit photoinduced activities that originate from the semiconductor band gap. TiO2 is semiconductor more used in photocatalysis, for this reason various properties have been thoroughly investigated in order to show that the photocatalytic activity and TiO2 reaction mechanism are influenced by structure, defects and impurities, surface morphology. and interfaces in addition to the concentration of dopants, such as rare-earth elements. Cerium ions, for example, vary between Ce4+ and Ce3 + oxidation state making the cerium oxide appear as CeO2 and Ce2O3 under oxidation and reduction conditions. These different electronic structures of Ce3+ (4f15d0) and Ce4+ (4f05d0) provide different catalytic and optical properties at the TiO2. In this work, samples of Ce-doped TiO2 and TiO2 were synthesized by alkali route, and its photocatalytic activity analyzed in order to create a relationship between the response obtained and the structure and morphology of each sample. Alkali route consists in submitting TiO2 (anatase) powder directly in medium of the NaOH (10M) and maintained at 120°C/20 hours by a glycerin bath with subsequent washed with water and HCl (0.1M) until reaching the desired pH. The synthesized samples were then studied by X-ray diffraction (XRD), transmission electron microscopy (TEM), and X-ray photoelectron spectroscopy (XPS). The photocatalytic decomposition of rhodamine B (Rh.B) it was performed under UV irradiation and visible light in air. For the obtained

  1. Synthesis and photocatalytic activity of Ce-doped TiO2 and TiO2 nanotubes

    Energy Technology Data Exchange (ETDEWEB)

    Arruda, L.B.; Pereira, E.A.; Paula, F.R.; Lisboa Filho, P.N. [Universidade Estadual Paulista Julio de Mesquita Filho (UNESP), SP (Brazil)

    2016-07-01

    Full text: One-dimensional nanostructures have been intensively studied, from the point of view of their synthesis and mechanisms of formation, as well as their applications in photonics, solar energy conversion, environmental and photocatalysis, since their properties due high surface area, electrical conductivity and light dispersion effects. Titanium dioxide (TiO2) nanoparticles have been demonstrated to be an effective multifunctional material especially when the particle size is less than 50 nm exhibit photoinduced activities that originate from the semiconductor band gap. TiO2 is semiconductor more used in photocatalysis, for this reason various properties have been thoroughly investigated in order to show that the photocatalytic activity and TiO2 reaction mechanism are influenced by structure, defects and impurities, surface morphology. and interfaces in addition to the concentration of dopants, such as rare-earth elements. Cerium ions, for example, vary between Ce4+ and Ce3 + oxidation state making the cerium oxide appear as CeO2 and Ce2O3 under oxidation and reduction conditions. These different electronic structures of Ce3+ (4f15d0) and Ce4+ (4f05d0) provide different catalytic and optical properties at the TiO2. In this work, samples of Ce-doped TiO2 and TiO2 were synthesized by alkali route, and its photocatalytic activity analyzed in order to create a relationship between the response obtained and the structure and morphology of each sample. Alkali route consists in submitting TiO2 (anatase) powder directly in medium of the NaOH (10M) and maintained at 120°C/20 hours by a glycerin bath with subsequent washed with water and HCl (0.1M) until reaching the desired pH. The synthesized samples were then studied by X-ray diffraction (XRD), transmission electron microscopy (TEM), and X-ray photoelectron spectroscopy (XPS). The photocatalytic decomposition of rhodamine B (Rh.B) it was performed under UV irradiation and visible light in air. For the obtained

  2. Atomic layer deposited TiO{sub 2} for implantable brain-chip interfacing devices

    Energy Technology Data Exchange (ETDEWEB)

    Cianci, E., E-mail: elena.cianci@mdm.imm.cnr.it [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (MB) (Italy); Lattanzio, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Dipartimento di Ingegneria dell' Informazione, Universita di Padova, 35131 Padova (Italy); Seguini, G. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Vassanelli, S. [Istituto di Fisiologia, Dipartimento di Anatomia Umana e Fisiologia, Universita di Padova, 35131 Padova (Italy); Fanciulli, M. [Laboratorio MDM, IMM-CNR, 20864 Agrate Brianza (Italy); Dipartimento di Scienza dei Materiali, Universita degli Studi di Milano-Bicocca, 20126 Milano (Italy)

    2012-05-01

    In this paper we investigated atomic layer deposition (ALD) TiO{sub 2} thin films deposited on implantable neuro-chips based on electrolyte-oxide-semiconductor (EOS) junctions, implementing both efficient capacitive neuron-silicon coupling and biocompatibility for long-term implantable functionality. The ALD process was performed at 295 Degree-Sign C using titanium tetraisopropoxide and ozone as precursors on needle-shaped silicon substrates. Engineering of the capacitance of the EOS junctions introducing a thin Al{sub 2}O{sub 3} buffer layer between TiO{sub 2} and silicon resulted in a further increase of the specific capacitance. Biocompatibility for long-term implantable neuroprosthetic systems was checked upon in-vitro treatment.

  3. Mild solution-processed metal-doped TiO2 compact layers for hysteresis-less and performance-enhanced perovskite solar cells

    Science.gov (United States)

    Liang, Chao; Li, Pengwei; Zhang, Yiqiang; Gu, Hao; Cai, Qingbin; Liu, Xiaotao; Wang, Jiefei; Wen, Hua; Shao, Guosheng

    2017-12-01

    TiO2 is extensively used as electron-transporting material on perovskite solar cells (PSCs). However, traditional TiO2 processing method needs high annealing temperature (>450 °C) and pure TiO2 suffers from low electrical mobility and poor conductivity. In this study, a general one-pot solution-processed method is devised to grow uniform crystallized metal-doped TiO2 thin film as large as 15 × 15 cm2. The doping process can be controlled effectively via a series of doping precursors from niobium (V), tin (IV), tantalum (V) to tungsten (VI) chloride. As far as we know, this is so far the lowest processing temperature for metal-doped TiO2 compact layers, as low as 70 °C. The overall performance of PSCs employing the metal-doped TiO2 layers is significantly improved in term of hysteresis effect, short circuit current, open-circuit voltage, fill factor, power conversion efficiency, and device stability. With the insertion of metal ions into TiO2 lattice, the corresponding CH3NH3PbI3 PSC leads to a ∼25% improved PCE of over 16% under irradiance of 100 mW cm-2 AM1.5G sunlight, compared with control device. The results indicate that this mild solution-processed metal-doped TiO2 is an effective industry-scale way for fabricating hysteresis-less and high-performance PSCs.

  4. A Designed TiO2 /Carbon Nanocomposite as a High-Efficiency Lithium-Ion Battery Anode and Photocatalyst.

    Science.gov (United States)

    Peng, Liang; Zhang, Huijuan; Bai, Yuanjuan; Feng, Yangyang; Wang, Yu

    2015-10-12

    Herein, a peapod-like TiO2 /carbon nanocomposite has successfully been synthesized by a rational method for the first time. The novel nanostructure exhibits a distinct feature of TiO2 nanoparticles encapsulated inside and the carbon fiber coating outside. In the synthetic process, H2 Ti3 O7 nanotubes serve as precursors and templates, and glucose molecules act as the green carbon source. With the alliciency of hydrogen bonding between H2 Ti3 O7 and glucose, a thin polymer layer is hydrothermally assembled and subsequently converted into carbon fibers through calcinations under an inert atmosphere. Meanwhile, the precursors of H2 Ti3 O7 nanotubes are transformed into the TiO2 nanoparticles encapsulated in carbon fibers. The achieved unique nanocomposites can be used as excellent anode materials in lithium-ion batteries (LIBs) and photocatalytic reagents in the degradation of rhodamine B. Due to the synergistic effect derived from TiO2 nanoparticles and carbon fibers, the obtained peapod-like TiO2 /carbon cannot only deliver a high specific capacity of 160 mAh g(-1) over 500 cycles in LIBs, but also perform a much faster photodegradation rate than bare TiO2 and P25. Furthermore, owing to the low cost, environmental friendliness as well as abundant source, this novel TiO2 /carbon nanocomposite will have a great potential to be extended to other application fields, such as specific catalysis, gas sensing, and photovoltaics. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Seebeck coefficient of synthesized Titanium Dioxide thin film on FTO glass substrate

    Science.gov (United States)

    Usop, R.; Hamed, N. K. A.; Megat Hasnan, M. M. I.; Ikeda, H.; Sabri, M. F. M.; Ahmad, M. K.; Said, S. M.; Salleh, F.

    2018-04-01

    In order to fabricate a thermoelectric device on glass substrate for harvesting waste heat energy through house appliances, the Seebeck coefficient of translucent TiO2 thin film was investigated. The TiO2 thin film was synthesized by using hydrothermal method with F-SnO2 coated glass as substrate. From scanning electron microscopy analysis, the synthesized TiO2 thin film was found to be in nanometer-scale rod structure with a thickness of 4 µm. The Seebeck coefficient was measured in the temperature range of 300 – 400 K. The Seebeck coefficient is found to be in negative value which shows that synthesized film is an n-type semiconductor material, and is lower than the value of bulk-size material. This reduction in Seebeck coefficient of TiO2 thin film is likely due to the low dimensional effect and the difference of carrier concentration.

  6. Laser welding of nanoparticulate TiO2 and transparent conducting oxide electrodes for highly efficient dye-sensitized solar cell

    International Nuclear Information System (INIS)

    Kim, Jinsoo; Kim, Jonghyun; Lee, Myeongkyu

    2010-01-01

    Poor interfacial contact is often encountered in nanoparticulate film-based devices. The dye-sensitized solar cell (DSSC) is a representative case in which a nanoporous TiO 2 electrode needs to be prepared on the transparent conducting oxide (TCO)-coated glass substrate. In this study, we demonstrate that the inter-electrode contact resistance accounts for a considerable portion of the total resistance of a DSSC and its efficiency can be greatly enhanced by welding the interface with a laser. TiO 2 films formed on the TCO-coated glass substrate were irradiated with a pulsed ultraviolet laser beam at 355 nm; this transmits through the TCO and glass but is strongly absorbed by TiO 2 . Electron microscopy analysis and impedance measurements showed that a thin continuous TiO 2 layer is formed at the interface as a result of the local melting of TiO 2 nanoparticles and this layer completely bridges the gap between the two electrodes, improving the current flow with a reduced contact resistance. We were able to improve the efficiency by 35-65% with this process. DSSCs fabricated using a homemade TiO 2 paste revealed an efficiency improvement from η = 3.3% to 5.4%, and an increase from 8.2% to 11.2% was achieved with the TiO 2 electrodes made from a commercial paste.

  7. Optical properties of amorphous SiO2-TiO2 multi-nanolayered coatings for 1064-nm mirror technology

    Science.gov (United States)

    Magnozzi, M.; Terreni, S.; Anghinolfi, L.; Uttiya, S.; Carnasciali, M. M.; Gemme, G.; Neri, M.; Principe, M.; Pinto, I.; Kuo, L.-C.; Chao, S.; Canepa, M.

    2018-01-01

    The use of amorphous, SiO2-TiO2 nanolayered coatings has been proposed recently for the mirrors of 3rd-generation interferometric detectors of gravitational waves, to be operated at low temperature. Coatings with a high number of low-high index sub-units pairs with nanoscale thickness were found to preserve the amorphous structure for high annealing temperatures, a key factor to improve the mechanical quality of the mirrors. The optimization of mirror designs based on such coatings requires a detailed knowledge of the optical properties of sub-units at the nm-thick scale. To this aim we have performed a Spectroscopic Ellipsometry (SE) study of amorphous SiO2-TiO2 nanolayered films deposited on Si wafers by Ion Beam Sputtering (IBS). We have analyzed films that are composed of 5 and 19 nanolayers (NL5 and NL19 samples) and have total optical thickness nominally equivalent to a quarter of wavelength at 1064 nm. A set of reference optical properties for the constituent materials was obtained by the analysis of thicker SiO2 and TiO2 homogeneous films (∼ 120 nm) deposited by the same IBS facility. By flanking SE with ancillary techniques, such as TEM and AFM, we built optical models that allowed us to retrieve the broad-band (250-1700 nm) optical properties of the nanolayers in the NL5 and NL19 composite films. In the models which provided the best agreement between simulation and data, the thickness of each sub-unit was fitted within rather narrow bounds determined by the analysis of TEM measurements on witness samples. Regarding the NL5 sample, with thickness of 19.9 nm and 27.1 nm for SiO2 and TiO2 sub-units, respectively, the optical properties presented limited variations with respect to the thin film counterparts. For the NL19 sample, which is composed of ultrathin sub-units (4.4 nm and 8.4 nm for SiO2 and TiO2, respectively) we observed a significant decrease of the IR refraction index for both types of sub-units; this points to a lesser mass density with

  8. Electrical properties of composites of TiO2-triphenylamine derivatives

    International Nuclear Information System (INIS)

    Hayashi, Kaneyoshi; Kurosaka, Youko; Osako, Youko; Ha, Jaekook; Vacha, Martin; Sato, Hisaya

    2005-01-01

    We report a concept of organic molecular charge dopant in organic-inorganic composites and study its effect on the composite conductivity. Thin film composites are prepared from a 1:1 dispersion of TiO 2 or other metal oxide particles in a polymer binder. Introduction of a small percentage of triphenylamine (TPA) derivative dopants increases the dark conductivity by up to five orders of magnitude. This phenomenon is explained in terms of electron donation by the dopant to a continuous network of aggregated metal oxide particles

  9. Preparation of TiO2/boron-doped diamond/Ta multilayer films and use as electrode materials for supercapacitors

    Science.gov (United States)

    Shi, Chao; Li, Hongji; Li, Cuiping; Li, Mingji; Qu, Changqing; Yang, Baohe

    2015-12-01

    We report nanostructured TiO2/boron-doped diamond (BDD)/Ta multilayer films and their electrochemical performances as supercapacitor electrodes. The BDD films were grown on Ta substrates using electron-assisted hot filament chemical vapor deposition. Ti metal layers were deposited on the BDD surfaces by radio frequency magnetron sputtering, and nanostructured TiO2/BDD/Ta thin films were prepared by electrochemical etching and thermal annealing. The successful formation of TiO2 and Ta layered nanostructures was demonstrated using scanning electron and transmission electron microscopies. The electrochemical responses of these electrodes were evaluated by examining their use as electrical double-layer capacitors, using cyclic voltammetry, and galvanostatic charge/discharge and impedance measurements. When the TiO2/BDD/Ta film was used as the working electrode with 0.1 M Na2SO4 as the electrolyte, the capacitor had a specific capacitance of 5.23 mF cm-2 at a scan rate of 5 mV s-1 for a B/C ratio of 0.1% w/w. Furthermore, the TiO2/BDD/Ta film had improved electrochemical stability, with a retention of 89.3% after 500 cycles. This electrochemical behavior is attributed to the quality of the BDD, the surface roughness and electrocatalytic activities of the TiO2 layer and Ta nanoporous structures, and the synergies between them. These results show that TiO2/BDD/Ta films are promising as capacitor electrodes for special applications.

  10. Soft electronic structure modulation of surface (thin-film) and bulk (ceramics) morphologies of TiO{sub 2}-host by Pb-implantation: XPS-and-DFT characterization

    Energy Technology Data Exchange (ETDEWEB)

    Zatsepin, D.A. [M.N. Miheev Institute of Metal Physics of Ural Branch of Russian Academy of Sciences, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, 620002 Yekaterinburg (Russian Federation); Boukhvalov, D.W., E-mail: danil@hanyang.ac.kr [Department of Chemistry, Hanyang University, 17 Haengdang-dong, Seongdong-gu, Seoul 133-791 (Korea, Republic of); Theoretical Physics and Applied Mathematics Department, Ural Federal University, Mira Street 19, 620002 Yekaterinburg (Russian Federation); Gavrilov, N.V. [Institute of Electrophysics, Russian Academy of Sciences, Ural Branch, 620990 Yekaterinburg (Russian Federation); Zatsepin, A.F. [Institute of Physics and Technology, Ural Federal University, 620002 Yekaterinburg (Russian Federation); Shur, V.Ya.; Esin, A.A. [Institute of Natural Sciences, Ural Federal University, 51 Lenin Ave, 620000 Yekaterinburg (Russian Federation); Kim, S.S. [School of Materials Science and Engineering, Inha University, Incheon 402-751 (Korea, Republic of); Kurmaev, E.Z. [M.N. Miheev Institute of Metal Physics of Ural Branch of Russian Academy of Sciences, 620990 Yekaterinburg (Russian Federation); Institute of Physics and Technology, Ural Federal University, 620002 Yekaterinburg (Russian Federation)

    2017-04-01

    Highlights: • Experiment and theory demonstrate significant difference between patterns of Pb-ion implantation in TiO{sub 2}. • In bulk TiO{sub 2} Pb-impurities leads formation of PbO phase. • On the surface of TiO{sub 2}:Pb occur formation of PbxOy configurations. • In both bulk and surface TiO{sub 2}:Pb occur decreasing of the bandgap by shift of valence band about 1 eV up. - Abstract: The results of combined experimental and theoretical study of substitutional and clustering effects in the structure of Pb-doped TiO{sub 2}-hosts (bulk ceramics and thin-film morphologies) are presented. Pb-doping of the bulk and thin-film titanium dioxide was made with the help of pulsed ion-implantation without posterior tempering (Electronic Structure Modulation Mode). The X-ray photoelectron spectroscopy (XPS) qualification of core-levels and valence bands and Density-Functional Theory (DFT) calculations were employed in order to study the yielded electronic structure of Pb-ion modulated TiO{sub 2} host-matrices. The combined XPS-and-DFT analysis has agreed definitely with the scenario of the implantation stimulated appearance of PbO-like structures in the bulk morphology of TiO{sub 2}:Pb, whereas in thin-film morphology the PbO{sub 2}-like structure becomes dominating, essentially contributing weak O/Pb bonding (Pb{sub x}O{sub y} defect clusters). The crucial role of the oxygen hollow-type vacancies for the process of Pb-impurity “insertion” into the structure of bulk TiO{sub 2} was pointed out employing DFT-based theoretical background. Both experiment and theory established clearly the final electronic structure re-arrangement of the bulk and thin-film morphologies of TiO{sub 2} because of the Pb-modulated deformation and shift of the initial Valence Base-Band Width about 1 eV up.

  11. Fate of pristine TiO2 nanoparticles and aged paint-containing TiO2 nanoparticles in lettuce crop after foliar exposure.

    Science.gov (United States)

    Larue, Camille; Castillo-Michel, Hiram; Sobanska, Sophie; Trcera, Nicolas; Sorieul, Stéphanie; Cécillon, Lauric; Ouerdane, Laurent; Legros, Samuel; Sarret, Géraldine

    2014-05-30

    Engineered TiO2 nanoparticles (TiO2-NPs) are present in a large variety of consumer products, and are produced in largest amount. The building industry is a major sector using TiO2-NPs, especially in paints. The fate of NPs after their release in the environment is still largely unknown, and their possible transfer in plants and subsequent impacts have not been studied in detail. The foliar transfer pathway is even less understood than the root pathway. In this study, lettuces were exposed to pristine TiO2-NPs and aged paint leachate containing TiO2-NPs and microparticles (TiO2-MPs). Internalization and in situ speciation of Ti were investigated by a combination of microscopic and spectroscopic techniques. Not only TiO2-NPs pristine and from aged paints, but also TiO2-MPs were internalized in lettuce leaves, and observed in all types of tissues. No change in speciation was noticed, but an organic coating of TiO2-NPs is likely. Phytotoxicity markers were tested for plants exposed to pristine TiO2-NPs. No acute phytotoxicity was observed; variations were only observed in glutathione and phytochelatin levels but remained low as compared to typical values. These results obtained on the foliar uptake mechanisms of nano- and microparticles are important in the perspective of risk assessment of atmospheric contaminations. Copyright © 2014 Elsevier B.V. All rights reserved.

  12. Adhesion measurement of highly-ordered TiO2 nanotubes on Ti-6Al-4V alloy

    Directory of Open Access Journals (Sweden)

    Masoud Sarraf

    2017-12-01

    Full Text Available Self-assembled nanotubular arrays on Ti alloys could be used for more effective implantable devices in various medical approaches. In the present work, the adhesion of TiO2 nanotubes (TiO2 NTs on Ti-6Al-4V (Ti64 was investigated by laser spallation and scratch test techniques. At first, electrochemical anodization was performed in an ammonium fluoride solution dissolved in a 90:10 ethane-1,2-diol (ethylene glycol and water solvent mixture. This process was performed at room temperature (23 °C at a steady potential of 60 V for 1 h. Next, the TiO2 nanotubes layer was heat-treated to improve the adhesion of the coating. The formation of selforganized TiO2 nanotubes as well as the microstructural evolution, are strongly dependent on the processing parameters and subsequent annealing. From microscopic analysis, highly oriented arrays of TiO2 nanotubes were grown by thermal treatment for 90 min at 500 °C. Further heat treatment above 500 °C led to the detachment of the nanotubes and the complete destruction of the nanotubes occurred at temperature above 700 °C. Scratch test analysis over a constant scratch length (1000 µm indicated that the failure point was shifted from 247.4 to 557.9 µm while the adhesion strength was increased from ∼862 to ∼1814 mN after annealing at 500 °C. The adhesion measurement determined by laser spallation technique provided an intrinsic adhesion strength of 51.4 MPa for the TiO2 nanotubes on the Ti64 substrate.

  13. Effect of annealing on properties of gallium-nitrogen Co-doped zinc oxide thin films prepared by sputtering and ion implantation

    International Nuclear Information System (INIS)

    Flickyngerova, S.; Vojs, M.; Novotny, I.; Tvarozek, V.; Shtereva, K. S.; Sutta, P.; Vincze, A.; Milosavlevic, M.; Jeynes, Ch.; Peng, N.

    2012-01-01

    In this paper we report an influence of post-implantation annealing (in O 2 and N 2 up to 600 grad C) on electrical and structural properties of RF sputtered ZnO:Ga thin films implanted by double energy (40 keV and 80 keV) N + ions. (authors)

  14. Favorable recycling photocatalyst TiO2/CFA: Effects of loading percent of TiO2 on the structural property and photocatalytic activity

    International Nuclear Information System (INIS)

    Shi Jianwen; Chen Shaohua; Ye Zhilong; Wang Shumei; Wu Peng

    2010-01-01

    A series of photocatalysts TiO 2 /CFA were prepared using coal fly ash (CFA), waste discharged from coal-fired power plant, as substrate, and then these photocatalysts were characterized by scanning electron microscope, X-ray diffraction analysis, nitrogen adsorption test and ultraviolet-visible absorption analysis. The effects of loading percent of TiO 2 on the photocatalytic activity and re-use property of TiO 2 /CFA were evaluated by the photocatalytic decoloration and mineralization of methyl orange solution. The results show that the pore volume and the specific surface area of the TiO 2 /CFA both increased with the increase in the loading percent of TiO 2 , which improved the photocatalytic activity of TiO 2 /CFA. However, when the loading percent of TiO 2 was too high (up to 54.51%), superfluous TiO 2 was easy to break away from CFA in the course of water treatment, which was disadvantaged to the recycling property of TiO 2 /CFA. In this study, the optimal loading percent of TiO 2 was 49.97%, and the efficiencies of photocatalytic decoloration and mineralization could be maintained above 99% and 90%, respectively, when the photocatalyst was used repeatedly, without any decline, even at the sixth cycle.

  15. In Vitro and In Vivo Evaluation of Sol-Gel Derived TiO2 Coatings Based on a Variety of Precursors and Synthesis Conditions

    Directory of Open Access Journals (Sweden)

    Krzysztof Marycz

    2014-01-01

    Full Text Available The effect of synthesis way of TiO2 coatings on biocompatibility of transplanted materials using an in vitro and in vivo rat model was investigated. TiO2 layers were synthesized by a nonaqueous sol-gel dip-coating method on stainless steel 316L substrates applying two different precursors and their combination. Morphology and topography of newly formed biomaterials were determined as well as chemical composition and elemental distribution of a surface samples. In vitro tests were conducted by adipose-derived mesenchymal stem cells cultured on TiO2 coatings and stainless steel without coatings to assess the bioreactivity of obtained materials. A positive biological effect of TiO2/316L/1 coatings—based on titanium(IV ethoxide—was found in both in vitro and in vivo models. The TiO2/316L/1 exhibited the highest roughness and the lowest titanium concentration in TiO2 than TiO2/316L/2—based on titanium(IV propoxide and TiO2/316L/3—based on both above-mentioned precursors. The proper fibroblast-like morphology and higher proliferation rate of cells cultured on TiO2/316L/1 were observed when compared to the other biomaterials. No inflammatory response in the bone surrounding implant covered by each of the obtained TiO2 was present. Our results showed that improvement of routinely used stainless steel 316L with TiO2/316L/1 layer can stimulate beneficial biological response.

  16. Synthesis of titanate, TiO2 (B), and anatase TiO2 nanofibers from natural rutile sand

    International Nuclear Information System (INIS)

    Pavasupree, Sorapong; Suzuki, Yoshikazu; Yoshikawa, Susumu; Kawahata, Ryoji

    2005-01-01

    Titanate nanofibers were synthesized by hydrothermal method (150 deg. C for 72 h) using natural rutile sand as the starting materials. TiO 2 (B) and anatase TiO 2 (high crystallinity) nanofibers with the diameters of 20-100 nm and the lengths of 10-100 μm were obtained by calcined titanate nanofibers for 4 h at 400 and 700 deg. C (in air), respectively. The samples characterized by XRD, SEM, TEM, SAED, HRTEM, and BET surface area. This synthesis method provides a simple route to fabricate one-dimensional nanostructured TiO 2 from low cost material. -- Graphical abstract: Titanate nanofibers (b) were synthesized by hydrothermal method (150 deg. C for 72 h) using natural rutile sand (a) as the starting materials. TiO 2 (B) (c) and anatase TiO 2 (d) nanofibers with the diameters of 20-50 nm and the lengths of 10-100 μm were obtained by calcined titanate nanofibers for 4 h at 400 deg. C and 700 deg. C (in air), respectively

  17. TiO2 micro-nano-hybrid surface to alleviate biological aging of UV-photofunctionalized titanium

    Directory of Open Access Journals (Sweden)

    Iwasa F

    2011-06-01

    , while the micropit surfaces remained hydrophilic. The sustained bioactivity levels of the micro-nano-hybrid surfaces were nullified by treating these surfaces with Cl- anions. A thin TiO2 coating on the micropit surface without the formation of nanonodules did not result in the prevention or alleviation of the time-dependent decrease in biological activity. In conclusion, the micro-nano-hybrid titanium surfaces may slow the rate of time-dependent degradation of titanium bioactivity after UV photofunctionalization compared with titanium surfaces with microtopography alone. This antibiological aging effect was largely regulated by its sustained electropositivity uniquely conferred in TiO2 nanonodules, and was independent of the degree of hydrophilicity. These results demonstrate the potential usefulness of these hybrid surfaces to effectively utilize the benefits of UV photofunctionalization and provide a model to explore the mechanisms underlying antibiological aging properties.Keywords: bone–titanium integration, nanonodule, super osseointegration, dental and orthopedic implants, nanotechnology 

  18. Mesoporous anatase TiO2/reduced graphene oxide nanocomposites: A simple template-free synthesis and their high photocatalytic performance

    International Nuclear Information System (INIS)

    Zhou, Qi; Zhong, Yong-Hui; Chen, Xing; Huang, Xing-Jiu; Wu, Yu-Cheng

    2014-01-01

    Graphical abstract: - Highlights: • Mesoporous TiO 2 nanoparticles with anatase phase were assembled on reduced graphene oxide via a template-free one-step hydrothermal method. • The TiO 2 /rGO nanocomposites have better adsorption capacity and photocatalytic degradation efficiency for dyes removal. • Improved dye adsorption and photogenerated charge separation are responsible for enhanced activity. - Abstract: Mesoporous anatase phase TiO 2 was assembled on reduced graphene oxide (rGO) using a template-free one-step hydrothermal process. The nanocomposites were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), Raman spectroscopy, and Brunauer–Emmett–Teller (BET) surface area. Morphology of TiO 2 was related to the content of graphene oxide. TiO 2 /rGO nanocomposites exhibited excellent photocatalytic activity for the photo-degradation of methyl orange. The degradation rate was 4.5 times greater than that of pure TiO 2 nanoparticles. This difference was attributed to the thin two-dimensional graphene sheet. The graphene sheet had a large surface area, high adsorption capacity, and acted as a good electron acceptor for the transfer of photo-generated electrons from the conduction band of TiO 2 . The enhanced surface adsorption characteristics and excellent charge transport separation were independent properties of the photocatalytic degradation process

  19. Preparation and evaluation of the cytotoxic nature of TiO2 nanoparticles by direct contact method

    Directory of Open Access Journals (Sweden)

    Chellappa M

    2015-10-01

    Full Text Available M Chellappa,1 U Anjaneyulu,1 Geetha Manivasagam,2 U Vijayalakshmi1 1School of Advanced Sciences, Materials Chemistry Division, 2Centre for Biomaterials Science and Technology, School of Mechanical and Building Sciences, VIT University, Vellore, Tamil Nadu, India Abstract: The purpose of this study is to prepare and evaluate the effect of synthesized titanium dioxide (TiO2 nanoparticles for their biocompatibility on physiological body fluids and the effect of cell toxicity to produce osteointegration when used as implantable materials. For the past few decades, the number of researches done to understand the importance of the biocompatibility of bioceramics, metals, and polymers and their effect on clinical settings of biomedical devices has increased. Hence, the total concept of biocompatibility encourages researchers to actively engage in the investigation of the most compatible materials in living systems by analyzing them using suitable physical, chemical, and biological (bioassay methods. The ceramic material nano TiO2 was prepared by sol-gel method and analyzed for its functional group and phase formation by Fourier transform infrared spectroscopy and powder X-ray diffraction. Furthermore, the particle size, shape, surface topography, and morphological behavior were analyzed by dynamic light scattering, zeta potential, scanning electron microscopy–energy dispersive X-ray analysis, and transmission electron microscopy analysis. In addition to this, the cytotoxicity and cytocompatibility were determined on MG63 cell lines with varying doses of concentrations such as 1 µg/mL, 10 µg/mL, 25 µg/mL, 50 µg/mL, and 100 µg/mL with different time periods such as 24 hours and 48 hours. The results have not shown any toxicity, whereas, it improved the cell viability/proliferation at various concentrations. Hence, these findings indicate that the nano TiO2 material acts as a good implantable material when used in the biomedical field as a

  20. Surface Structure and Photocatalytic Activity of Nano-TiO2 Thin Film

    Science.gov (United States)

    Controlled titanium dioxide (TiO2) thin films were deposited on stainless steel surfaces using flame aerosol synthetic technique, which is a one-step coating process, that doesn’t require further calcination. Solid state characterization of the coatings was conducted by different...

  1. Effects of Mn Ion Implantation on XPS Spectroscopy of GaN Thin Films

    Science.gov (United States)

    Majid, Abdul; Ahmad, Naeem; Rizwan, Muhammad; Khan, Salah Ud-Din; Ali, Fekri Abdulraqeb Ahmed; Zhu, Jianjun

    2018-02-01

    Gallium nitride (GaN) thin film was deposited onto a sapphire substrate and then implanted with 250 keV Mn ions at two different doses of 2 × 1016 ions/cm2 and 5 × 1016 ions/cm2. The as-grown and post-implantation-thermally-annealed samples were studied in detail using x-ray photoelectron spectroscopy (XPS). The XPS peaks of Ga 3 d, Ga 2 p, N 1 s, Mn 2 p and C 1 s were recorded in addition to a full survey of the samples. The doublet peaks of Ga 2 p for pure GaN were observed blue-shifted when compared with elemental Ga, and appeared further shifted to higher energies for the implanted samples. These observations point to changes in the bonds and the chemical environment of the host as a result of ion implantation. The results revealed broadening of the N 1 s peak after implantation, which is interpreted in terms of the presence of N-Mn bonds in addition to N-Ga bonds. The XPS spectra of Mn 2 p recorded for ion-implanted samples indicated splitting of Mn 2 p 1/2 and Mn 2 p 3/2 peaks higher than that for metallic Mn, which helps rule out the possibility of clustering and points to substitutional doping of Mn. These observations provide a framework that sheds light on the local environment of the material for understanding the mechanism of magnetic exchange interactions in Mn:GaN based diluted magnetic semiconductors.

  2. Properties of phases in HfO2-TiO2 system

    International Nuclear Information System (INIS)

    Red'ko, V.P.; Terekhovskij, P.B.; Majster, I.M.; Shevchenko, A.V.; Lopato, L.M.; Dvernyakova, A.A.

    1990-01-01

    A study was made on axial and linear coefficients of thermal expansion (CTE) of HfO 2 -TiO 2 system samples in concentration range of 25-50 mol% TiO 2 . Samples, containing 35 and 37 mol% TiO 2 , are characterized by the lowest values of linear CTE. Dispersion of the basic substances doesn't affect CTE value. Correlation with axial and linear CTE of samples in ZrO 2 -TiO 2 system was conducted. Presence of anisotropy of change of lattice parameters was supported for samples, containing 37.5 and 40 mol% TiO 2 . Polymorphous transformations for hafnium titanate were not revealed

  3. Complex impedance study on nano-CeO2 coating TiO2

    International Nuclear Information System (INIS)

    Zhang Mei; Wang Honglian; Wang Xidong; Li Wenchao

    2006-01-01

    Titanium dioxide (TiO 2 ) nanoparticles and cerium dioxide (CeO 2 ) nanoparticles coated titanium dioxide (TiO 2 ) nanoparticles (CeO 2 -TiO 2 nanoparticles) have been successfully synthesized by sol-gel method. The complex impedance of the materials was investigated. The grain resistance, boundary resistance and activation energy of the nanoparticles were calculated according to Arrhenius equation. According to calculating results, the active capacity of pure TiO 2 nanoparticles has been improved because of nano-CeO 2 coating. An optimal CeO 2 content of 4.9 mol% was achieved. The high resolution electron microscopy images of CeO 2 -TiO 2 nanoparticles showed that TiO 2 nanoparticles, as a core, were covered by CeO 2 nanoparticles. The average size of CeO 2 coating TiO 2 nanoparticles was about 70 nm. Scanning electron microscopy observation indicted that CeO 2 nanoparticle coating improved the separation, insulation, and stability the CeO 2 -TiO 2 nanoparticles, which was benefit to the activity of materials

  4. Pure rotational spectra of TiO and TiO2 in VY Canis Majoris

    Science.gov (United States)

    Kamiński, T.; Gottlieb, C. A.; Menten, K. M.; Patel, N. A.; Young, K. H.; Brünken, S.; Müller, H. S. P.; McCarthy, M. C.; Winters, J. M.; Decin, L.

    2013-03-01

    We report the first detection of pure rotational transitions of TiO and TiO2 at (sub-)millimeter wavelengths towards the red supergiant VY CMa. A rotational temperature, Trot, of about 250 K was derived for TiO2. Although Trot was not well constrained for TiO, it is likely somewhat higher than that of TiO2. The detection of the Ti oxides confirms that they are formed in the circumstellar envelopes of cool oxygen-rich stars and may be the "seeds" of inorganic-dust formation, but alternative explanations for our observation of TiO and TiO2 in the cooler regions of the envelope cannot be ruled out at this time. The observations suggest that a significant fraction of the oxides is not converted to dust, but instead remains in the gas phase throughout the outflow. Based on observations carried out with the Submillimeter Array and IRAM Plateau de Bure Interferometer.Plateau de Bure data (FITS file) is only available at the CDS via anonymous ftp to cdsarc.u-strasbg.fr (130.79.128.5) or via http://cdsarc.u-strasbg.fr/viz-bin/qcat?J/A+A/551/A113

  5. A pressure tuned stop-flow atomic layer deposition process for MoS2 on high porous nanostructure and fabrication of TiO2/MoS2 core/shell inverse opal structure

    Science.gov (United States)

    Li, Xianglin; Puttaswamy, Manjunath; Wang, Zhiwei; Kei Tan, Chiew; Grimsdale, Andrew C.; Kherani, Nazir P.; Tok, Alfred Iing Yoong

    2017-11-01

    MoS2 thin films are obtained by atomic layer deposition (ALD) in the temperature range of 120-150 °C using Mo(CO)6 and dimethyl disulfide (DMDS) as precursors. A pressure tuned stop-flow ALD process facilitates the precursor adsorption and enables the deposition of MoS2 on high porous three dimensional (3D) nanostructures. As a demonstration, a TiO2/MoS2 core/shell inverse opal (TiO2/MoS2-IO) structure has been fabricated through ALD of TiO2 and MoS2 on a self-assembled multilayer polystyrene (PS) structure template. Due to the self-limiting surface reaction mechanism of ALD and the utilization of pressure tuned stop-flow ALD processes, the as fabricated TiO2/MoS2-IO structure has a high uniformity, reflected by FESEM and FIB-SEM characterization. A crystallized TiO2/MoS2-IO structure can be obtained through a post annealing process. As a 3D photonic crystal, the TiO2/MoS2-IO exhibits obvious stopband reflecting peaks, which can be adjusted through changing the opal diameters as well as the thickness of MoS2 layer.

  6. Particle energy loss spectroscopy and SEM studies of topography development in thin aluminium films implanted with high doses of helium

    International Nuclear Information System (INIS)

    Barfoot, K.M.; Webb, R.P.; Donnelly, S.E.

    1984-01-01

    Development of topography in thin (55.5 μg cm -2 ) self-supporting aluminium films, caused by high fluence (approx. 10 17 ions cm -2 ) irradiation with 5 keV helium ions, has been observed. This has been achieved by measuring the topography-enhanced energy straggling of 0.40 MeV 4 He + ions transmitted through the foils and detected with an electrostatic analyser of resolution 0.2 keV. Features, about 0.7 μm in width, are observed with scanning electron microscopy. TRIM Monte Carlo calculations of the implantation processes are performed in order to follow the helium implantation and damage depth distributions. It is deduced that a form of thin film micro-wrinkling has occurred which is caused by the relief of stress brought about by the implantation of helium. (author)

  7. The Recovery of a Magnetically Dead Layer on the Surface of an Anatase (Ti,CoO2 Thin Film via an Ultrathin TiO2 Capping Layer

    Directory of Open Access Journals (Sweden)

    Thantip S. Krasienapibal

    2017-03-01

    Full Text Available The effect of an ultrathin TiO2 capping layer on an anatase Ti0.95Co0.05O2−δ (001 epitaxial thin film on magnetism at 300 K was investigated. Films with a capping layer showed increased magnetization mainly caused by enhanced out-of-plane magnetization. In addition, the ultrathin capping layer was useful in prolonging the magnetization lifetime by more than two years. The thickness dependence of the magnetic domain structure at room temperature indicated the preservation of magnetic domain structure even for a 13 nm thick film covered with a capping layer. Taking into account nearly unchanged electric conductivity irrespective of the capping layer’s thickness, the main role of the capping layer is to prevent surface oxidation, which reduces electron carriers on the surface.

  8. OXIDACIÓN DE p -NITROFENOL USANDO TiO 2 -ADENOSINA MONOFOSFATO I OXIDATION OF p -NITROPHENOL USING TiO 2 -ADENOSIN MONOPHOSPHATE

    Directory of Open Access Journals (Sweden)

    Carlos F. Rivas

    2018-04-01

    Full Text Available The surface of TiO2 was modified with the nucleotides adenosine 3’-monophosphate (AMP’3 and Adenosine 5’-monophosphate (AMP’5. The adsorption of nucleotides was adjusted to Langmuir ́s adsorption model, determining that the optimal condition for TiO 2 modification was at neutral pH. UV-Visible Diffuse Reflectance and IR Attenuated Total Reflectance spectra show that the chemisorption of nucleotides take placed on TiO 2 anatase. The new catalysts (TiO 2 -nucleotide improved the photodegradation of p -nitrophenol in a wide range of pH as compared with the titanium dioxide precursor. Most photoactivity was generated by using the new photocatalytic in the degradation of p -nitrophenol at pH = 6, obtaining high values for the pseudo first order kinetic constant (0.0254 min -1 and 0.0244 min -1 for TiO 2 -AMP’3 and TiO 2 -AMP’5, respectively. For all pH, the trend obtained for the photodegradation was: TiO 2 -AMP ́3 @ TiO 2 -AMP’5 > TiO 2 . Langmuir-Hinshelwood kinetics shows that the contribution of the surface reac tion rate governs the oxidation of the contaminant.

  9. High-resolution photoelectron spectroscopy of TiO3H2-: Probing the TiO2- + H2O dissociative adduct

    Science.gov (United States)

    DeVine, Jessalyn A.; Abou Taka, Ali; Babin, Mark C.; Weichman, Marissa L.; Hratchian, Hrant P.; Neumark, Daniel M.

    2018-06-01

    Slow electron velocity-map imaging spectroscopy of cryogenically cooled TiO3H2- anions is used to probe the simplest titania/water reaction, TiO20/- + H2O. The resultant spectra show vibrationally resolved structure assigned to detachment from the cis-dihydroxide TiO(OH)2- geometry based on density functional theory calculations, demonstrating that for the reaction of the anionic TiO2- monomer with a single water molecule, the dissociative adduct (where the water is split) is energetically preferred over a molecularly adsorbed geometry. This work represents a significant improvement in resolution over previous measurements, yielding an electron affinity of 1.2529(4) eV as well as several vibrational frequencies for neutral TiO(OH)2. The energy resolution of the current results combined with photoelectron angular distributions reveals Herzberg-Teller coupling-induced transitions to Franck-Condon forbidden vibrational levels of the neutral ground state. A comparison to the previously measured spectrum of bare TiO2- indicates that reaction with water stabilizes neutral TiO2 more than the anion, providing insight into the fundamental chemical interactions between titania and water.

  10. Improved Treatment of Photothermal Cancer by Coating TiO2 on Porous Silicon.

    Science.gov (United States)

    Na, Kil Ju; Park, Gye-Choon

    2016-02-01

    In present society, the technology in various field has been sharply developed and advanced. In medical technology, especially, photothermal therapy and photodynamic therapy have had limelight for curing cancers and diseases. The study investigates the photothermal therapy that reduces side effects of existing cancer treatment, is applied to only cancer cells, and dose not harm any other normal cells. The photothermal properties of porous silicon for therapy are analyzed in order to destroy cancer cells that are more weak at heat than normal ones. For improving performance of porous silicon, it also analyzes the properties when irradiating the near infrared by heterologously junction TiO2 and TiO2NW, photocatalysts that are very stable and harmless to the environment and the human body, to porous silicon. Each sample of Si, PSi, TiO2/Psi, and TiO2NW/PSi was irradiated with 808 nm near-IR of 300, 500, and 700 mW/cm2 light intensity, where the maximum heating temperature was 43.8, 61.6, 67.9, and 61.9 degrees C at 300 mW/cm2; 54.1, 64.3, 78.8, and 68.9 degrees C at 500 mW/cm2; and 97.3, 102.8, 102.5, and 95 0C at 700 mW/cm2. The time required to reach the maximum temperature was less than 10 min for every case. The results indicate that TiO2/PSi thin film irradiated with a single near-infrared wavelength of 808 nm, which is known to have the best human permeability, offers the potential of being the most successful photothermal cancer therapy agent. It maximizes the photo-thermal characteristics within the shortest time, and minimizes the adverse effects on the human body.

  11. Characteristics of TiO_2/ZnO bilayer film towards pH sensitivity prepared by different spin coating deposition process

    International Nuclear Information System (INIS)

    Rahman, Rohanieza Abdul; Zulkefle, Muhammad Al Hadi; Abdullah, Wan Fazlida Hanim; Rusop, M.; Herman, Sukreen Hana

    2016-01-01

    In this study, titanium dioxide (TiO_2) and zinc oxide (ZnO) bilayer film for pH sensing application will be presented. TiO_2/ZnO bilayer film with different speed of spin-coating process was deposited on Indium Tin Oxide (ITO), prepared by sol-gel method. This fabricated bilayer film was used as sensing membrane for Extended Gate Field-Effect Transistor (EGFET) for pH sensing application. Experimental results indicated that the sensor is able to detect the sensitivity towards pH buffer solution. In order to obtained the result, sensitivity measurement was done by using the EGFET setup equipment with constant-current (100 µA) and constant-voltage (0.3 V) biasing interfacing circuit. TiO_2/ZnO bilayer film which the working electrode, act as the pH-sensitive membrane was connected to a commercial metal-oxide semiconductor FET (MOSFET). This MOSFET then was connected to the interfacing circuit. The sensitivity of the TiO2 thin film towards pH buffer solution was measured by dipping the sensing membrane in pH4, pH7 and pH10 buffer solution. These thin films were characterized by using Field Emission Scanning Electron Microscope (FESEM) to obtain the surface morphology of the composite bilayer films. In addition, I-V measurement was done in order to determine the electrical properties of the bilayer films. According to the result obtained in this experiment, bilayer film that spin at 4000 rpm, gave highest sensitivity which is 52.1 mV/pH. Relating the I-V characteristic of the thin films and sensitivity, the sensing membrane with higher conductivity gave better sensitivity.

  12. EFFECT OF THE REDUCTION TEMPERATURE INTO CATALYTIC ACTIVITY OF Ni SUPPORTED BY TiO2, AL2O2 AND TiO2/AL2O3 FOR CONVERSION CO2 INTO METHANE

    Directory of Open Access Journals (Sweden)

    Hery Haerudin

    2010-06-01

    Full Text Available Nickel catalysts, containing 6% (w/w of nickel, have been prepared using TiO2, Al2O3 and mixture of TiO2-Al2O3 (1:9. The catalysts were used for CO2 conversion into methane. The characteristics of catalysts were studied by determination of its specific surface area, temperature programmed reaction technique and X-ray diffraction. The specific surface area were varied slightly by different temperature of reduction, namely after reduction at 300°C it was 39, 120 and 113 m2/g and after reduction at 400°C it was 42, 135  and 120 m2/g for 6% nickel catalysts supported on TiO2, Al2O3 and mixture of TiO2-Al2O3 (1:9 respectively. Temperature program reaction studies (TPO and TPR showed that NiTiOx species were possibly formed during the pretreatments which has shown by the shift of its peak to the lower temperature on Ni catalyst, that supported on mixture of TiO2-Al2O3 compared with catalysts supported on individual TiO2 or Al2O3. The nickel species on reduced Ni catalysts supported on TiO2 and on mixture of TiO2-Al2O3 could be detected by X-ray diffraction. The catalyst's activities toward CH4 formation were affected by the reduction temperature. Activity for CH4 formation was decreased in the following order: Ni/ TiO2 > Ni/ TiO2: Al2O3 > Ni/ Al2O3 and Ni/ TiO2: Al2O3 > Ni/ TiO2> Ni/ Al2O3, when catalysts were reduced at 300°C or 400°C respectively. The CO2 conversion was decreased in the following order: Ni/ Al2O3 > Ni/ TiO2: Al2O3 > Ni/ TiO2 when catalysts were reduced at 300°C or 400°C respectively.   Keywords: nickel catalyst, carbondioxide, methane

  13. Photocatalytic antibacterial effects are maintained on resin-based TiO2 nanocomposites after cessation of UV irradiation.

    Directory of Open Access Journals (Sweden)

    Yanling Cai

    Full Text Available Photocatalysis induced by TiO2 and UV light constitutes a decontamination and antibacterial strategy utilized in many applications including self-cleaning environmental surfaces, water and air treatment. The present work reveals that antibacterial effects induced by photocatalysis can be maintained even after the cessation of UV irradiation. We show that resin-based composites containing 20% TiO2 nanoparticles continue to provide a pronounced antibacterial effect against the pathogens Escherichia coli, Staphylococcus epidermidis, Streptococcus pyogenes, Streptococcus mutans and Enterococcus faecalis for up to two hours post UV. For biomaterials or implant coatings, where direct UV illumination is not feasible, a prolonged antibacterial effect after the cessation of the illumination would offer new unexplored treatment possibilities.

  14. Slow positron beam study of hydrogen ion implanted ZnO thin films

    International Nuclear Information System (INIS)

    Hu, Yi; Xue, Xudong; Wu, Yichu

    2014-01-01

    The effects of hydrogen related defect on the microstructure and optical property of ZnO thin films were investigated by slow positron beam, in combination with x-ray diffraction, infrared and photoluminescence spectroscopy. The defects were introduced by 90 keV proton irradiation with doses of 1×10 15 and 1×10 16 ions cm −2 . Zn vacancy and OH bonding (V Zn +OH) defect complex were identified in hydrogen implanted ZnO film by positron annihilation and infrared spectroscopy. The formation of these complexes led to lattice disorder in hydrogen implanted ZnO film and suppressed the luminescence process. - Highlights: • Hydrogen introduced by ion implantation can form hydrogen-related defect complex. • V Zn +OH defect complex is identified by positron annihilation and IR spectroscopy. • Irradiation defects suppress the luminescence process

  15. Transparent conductive electrodes of mixed TiO2−x–indium tin oxide for organic photovoltaics

    KAUST Repository

    Lee, Kyu-Sung

    2012-05-22

    A transparent conductive electrode of mixed titanium dioxide (TiO2−x)–indium tin oxide (ITO) with an overall reduction in the use of indium metal is demonstrated. When used in organic photovoltaicdevices based on bulk heterojunction photoactive layer of poly (3-hexylthiophene) and [6,6]-phenyl C61 butyric acid methyl ester, a power conversion efficiency of 3.67% was obtained, a value comparable to devices having sputtered ITO electrode. Surface roughness and optical efficiency are improved when using the mixed TiO2−x–ITO electrode. The consumption of less indium allows for lower fabrication cost of such mixed thin filmelectrode.

  16. Nano-structure TiO2 film coating on 316L stainless steel via sol-gel technique for blood compatibility improvement

    Directory of Open Access Journals (Sweden)

    Mohammadreza Foruzanmehr

    2014-04-01

    Full Text Available   Objective(s: Titanium oxides are known to be appropriate hemocompatible materials which are suggested as coatings for blood-contacting devices. Little is known about the influence of nanometric crystal structure, layer thickness, and semiconducting characteristics of TiO2 on blood hemostasis.   Materials and Methods: Having used sol-gel dip coating method in this study, TiO2 thin films were deposited on nano-scale electro-polished stainless steel 316L with 1 to 5 nano-sized layers. Surface morphology and structure of the film were studied with X-ray diffraction and atomic force microscopy. Blood compatibility was also determined by measuring the platelet activation (CD62P expression, platelet adhesion (Scanning Electron Microscopy, and the blood clotting time on these samples. Results: The films were compact and smooth and existed mainly in the form of anatase. By increasing the number of TiO2 thin layer, clotting time greatly extended, and the population of activated platelet and P-selectine expression changed according to the surface characteristics of each layer. Conclusion: The findings revealed that stainless steel 316L coated with nano-structured TiO2 layer improved blood compatibility, in terms of both blood platelet activity and coagulation cascade, which can decrease the thrombogenicity of blood contacting devices which were made from stainless steel.

  17. A Simple Method for the Preparation of TiO2 /Ag-AgCl@Polypyrrole Composite and Its Enhanced Visible-Light Photocatalytic Activity.

    Science.gov (United States)

    Yao, Tongjie; Shi, Lei; Wang, Hao; Wang, Fangxiao; Wu, Jie; Zhang, Xiao; Sun, Jianmin; Cui, Tieyu

    2016-01-01

    A novel and facile method was developed to prepare a visible-light driven TiO2 /Ag-AgCl@polypyrrole (PPy) photocatalyst with Ag-AgCl nanoparticles supported on TiO2 nanofibers and covered by a thin PPy shell. During the synthesis, the PPy shell and Ag-AgCl nanoparticles were prepared simultaneously onto TiO2 nanofibers, which simplified the preparation procedure. In addition, because Ag-AgCl aggregates were fabricated via partly etching the Ag nanoparticles, their size was well controlled at the nanoscale, which was beneficial for improvement of the contact surface area. Compared with reference photocatalysts, the TiO2 /Ag-AgCl@PPy composite exhibited an enhanced photodegradation activity towards rhodamine B under visible-light irradiation. The superior photocatalytic property originated from synergistic effects between TiO2 nanofibers, Ag-AgCl nanoparticles and the PPy shell. Furthermore, the TiO2 /Ag-AgCl@PPy composite could be easily separated and recycled without obvious reduction in activity. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Effect of RGD Peptide-Coated TiO2 Nanotubes on the Attachment, Proliferation, and Functionality of Bone-Related Cells

    Directory of Open Access Journals (Sweden)

    Seunghan Oh

    2013-01-01

    Full Text Available The purpose of this research was to characterize an Arg-Gly-Asp (RGD peptide immobilized on TiO2 nanotubes. In addition, we investigated the effects of the RGD peptide-coated TiO2 nanotubes on the cellular response, proliferation, and functionality of osteogenic-induced human mesenchymal stem cells (hMSCs, which are osteoclasts that have been induced by bone marrow macrophages. The RGD peptide was grafted covalently onto the surface of TiO2 nanotubes based on the results of SEM, FT-IR, and XPS. Furthermore, the RGD peptide promoted the initial attachment and proliferation of the hMSCs, regardless of the size of the TiO2 nanotubes. However, the RGD peptide did not prominently affect the osteogenic functionality of the hMSCs because the peptide suppressed hMSC motility associated with osteogenic differentiation. The result of an in vitro osteoclast test showed that the RGD peptide accelerated the initial attachment of preosteoclasts and the formation of mature osteoclasts, which could resorb the bone matrix. Therefore, we believe that an RGD coating on TiO2 nanotubes synthesized on Ti implants might not offer significant acceleration of bone formation in vivo because osteoblasts and osteoclasts reside in the same compartment.

  19. A facile method to synthesize nitrogen and fluorine co-doped TiO2 nanoparticles by pyrolysis of (NH4)2TiF6

    International Nuclear Information System (INIS)

    Chen Daimei; Jiang Zhongyi; Geng Jiaqing; Zhu Juhong; Yang Dong

    2009-01-01

    The nitrogen and fluorine co-doped TiO 2 (N-F-TiO 2 ) nanoparticles of anatase crystalline structure were prepared by a facile method of (NH 4 ) 2 TiF 6 pyrolysis, and characterized by thermogravimetry-differential thermal analysis (TG-DTA), X-ray diffraction (XRD), transmission electron microscopy (TEM), X-ray photoelectron spectroscopy (XPS), and ultraviolet visible (UV-Vis) spectroscopy etc. With the increase of calcination temperature, (NH 4 ) 2 TiF 6 decomposed into TiOF 2 and NH 4 TiOF 3 at first, and then formed anatase-type TiO 2 with thin sheet morphology. H 3 BO 3 as oxygen source can promote the formation of anatase TiO 2 , but decrease the F content in the N-F-TiO 2 materials due to the formation of volatile BF 3 during the precursor decomposition. The photocatalytic activity of the obtained N-F-TiO 2 samples was evaluated by the methylene blue degradation under visible light, and all the samples exhibited much higher photocatalytic activity than P25. Moreover, the merits and disadvantages of this proposed method to prepare doped TiO 2 are discussed.

  20. Improved performance of dye-sensitized solar cell based on TiO_2 photoanode with FTO glass and film both treated by TiCl_4

    International Nuclear Information System (INIS)

    Li, Jinlun; Zhang, Haiyan; Wang, Wenguang; Qian, Yannan; Li, Zhenghui

    2016-01-01

    The dye-sensitized solar cell (DSSC) based on TiO_2 photoanode with FTO glass and TiO_2 film co-treated by TiCl_4 were fabricated. The effects of TiCl_4 treatment on the photovoltaic performance of the DSSCs were investigated. TiCl_4 treatment of the FTO glass resulted in the formation of a compact TiO_2 thin layer on its surface, which could increase the electron collection efficiency. Meanwhile, TiCl_4 treatment of the TiO_2 film could fill gaps between nanoparticles in the TiO_2 film, leading to better electron transfer. These advantages make the DSSC exhibit a highest conversion efficiency of 3.34% under a simulated solar irradiation with an intensity of 100 mW/cm"2 (1 sun), increased by 38% compared with that of the untreated DSSC.

  1. Tuning piezoelectric properties through epitaxy of La2Ti2O7 and related thin films.

    Science.gov (United States)

    Kaspar, Tiffany C; Hong, Seungbum; Bowden, Mark E; Varga, Tamas; Yan, Pengfei; Wang, Chongmin; Spurgeon, Steven R; Comes, Ryan B; Ramuhalli, Pradeep; Henager, Charles H

    2018-02-14

    Current piezoelectric sensors and actuators are limited to operating temperatures less than ~200 °C due to the low Curie temperature of the piezoelectric material. Strengthening the piezoelectric coupling of high-temperature piezoelectric materials, such as La 2 Ti 2 O 7 (LTO), would allow sensors to operate across a broad temperature range. The crystalline orientation and piezoelectric coupling direction of LTO thin films can be controlled by epitaxial matching to SrTiO 3 (001), SrTiO 3 (110), and rutile TiO 2 (110) substrates via pulsed laser deposition. The structure and phase purity of the films are investigated by x-ray diffraction and scanning transmission electron microscopy. Piezoresponse force microscopy is used to measure the in-plane and out-of-plane piezoelectric coupling in the films. The strength of the out-of-plane piezoelectric coupling can be increased when the piezoelectric direction is rotated partially out-of-plane via epitaxy. The strongest out-of-plane coupling is observed for LTO/STO(001). Deposition on TiO 2 (110) results in epitaxial La 2/3 TiO 3 , an orthorhombic perovskite of interest as a microwave dielectric material and an ion conductor. La 2/3 TiO 3 can be difficult to stabilize in bulk form, and epitaxial stabilization on TiO 2 (110) is a promising route to realize La 2/3 TiO 3 for both fundamental studies and device applications. Overall, these results confirm that control of the crystalline orientation of epitaxial LTO-based materials can govern the resulting functional properties.

  2. Versatility of Evaporation-Induced Self-Assembly (EISA Method for Preparation of Mesoporous TiO2 for Energy and Environmental Applications

    Directory of Open Access Journals (Sweden)

    Luther Mahoney

    2014-03-01

    Full Text Available Evaporation-Induced Self-Assembly (EISA method for the preparation of mesoporous titanium dioxide materials is reviewed. The versatility of EISA method for the rapid and facile synthesis of TiO2 thin films and powders is highlighted. Non-ionic surfactants such as Pluronic P123, F127 and cationic surfactants such as cetyltrimethylammonium bromide have been extensively employed for the preparation of mesoporous TiO2. In particular, EISA method allows for fabrication of highly uniform, robust, crack-free films with controllable thickness. Eleven characterization techniques for elucidating the structure of the EISA prepared mesoporous TiO2 are discussed in this paper. These many characterization methods provide a holistic picture of the structure of mesoporous TiO2. Mesoporous titanium dioxide materials have been employed in several applications that include Dye Sensitized Solar Cells (DSSCs, photocatalytic degradation of organics and splitting of water, and batteries.

  3. Effect of Oxygen Partial Pressure on the Electrical and Optical Properties of DC Magnetron Sputtered Amorphous TiO2 Films

    OpenAIRE

    Chandra Sekhar, M.; Kondaiah, P.; Radha Krishna, B.; Uthanna, S.

    2013-01-01

    Titanium dioxide (TiO2) thin films were deposited on p-Si (100) and Corning glass substrates held at room temperature by DC magnetron sputtering at different oxygen partial pressures in the range 9 × 10−3–9 × 10−2 Pa. The influence of oxygen partial pressure on the structural, electrical, and optical properties of the deposited films was systematically studied. XPS studies confirmed that the film formed at an oxygen partial pressure of 6×10−2 Pa was nearly stoichiometric. TiO2 films formed at...

  4. Modification of the Properties of Vanadium Oxide Thin Films by Plasma-Immersion Ion Implantation

    Directory of Open Access Journals (Sweden)

    Sergey Burdyukh

    2018-01-01

    Full Text Available The paper describes the effect of doping with hydrogen and tungsten by means of plasma-immersion ion implantation (PIII on the properties of vanadium dioxide and hydrated vanadium pentoxide films. It is shown that the parameters of the metal-insulator phase transition in VO2 thin films depend on the hydrogen implantation dose. Next, we explore the effect of PIII on composition, optical properties, and the internal electrochromic effect (IECE in V2O5·nH2O films. The variations in the composition and structure caused by the hydrogen insertion, as well as those caused by the electrochromic effect, are studied by nuclear magnetic resonance, thermogravimetry, Raman spectroscopy, and X-ray structural analysis. It is shown that the ion implantation-induced hydrogenation can substantially enhance the manifestation and performance of the IECE in V2O5 xerogel films. Finally, the effect of PIII-assisted doping with W on the parameters of electrical switching in Au/V2O5·nH2O/Au sandwich structures is examined. It is shown that implanting small tungsten doses improves the switching parameters after forming. When implanting large doses, switching is observed without electroforming, and if electroforming is applied, the switching effect, on the contrary, disappears.

  5. Influence of different TiO2 blocking films on the photovoltaic performance of perovskite solar cells

    Science.gov (United States)

    Zhang, Chenxi; Luo, Yudan; Chen, Xiaohong; Ou-Yang, Wei; Chen, Yiwei; Sun, Zhuo; Huang, Sumei

    2016-12-01

    Organolead trihalide perovskite materials have been successfully used as light absorbers in efficient photovoltaic (PV) cells. Cell structures based on mesoscopic metal oxides and planar heterojunctions have already demonstrated very impressive and brisk advances, holding great potential to grow into a mature PV technology. High power conversion efficiency (PCE) values have been obtained from the mesoscopic configuration in which a few hundred nano-meter thick mesoporous scaffold (e.g. TiO2 or Al2O3) infiltrated by perovskite absorber was sandwiched between the electron and hole transport layers. A uniform and compact hole-blocking layer is necessary for high efficient perovskite-based thin film solar cells. In this study, we investigated the characteristics of TiO2 compact layer using various methods and its effects on the PV performance of perovskite solar cells. TiO2 compact layer was prepared by a sol-gel method based on titanium isopropoxide and HCl, spin-coating of titanium diisopropoxide bis (acetylacetonate), screen-printing of Dyesol's bocking layer titania paste, and a chemical bath deposition (CBD) technique via hydrolysis of TiCl4, respectively. The morphological and micro-structural properties of the formed compact TiO2 layers were characterized by scanning electronic microscopy and X-ray diffraction. The analyses of devices performance characteristics showed that surface morphologies of TiO2 compact films played a critical role in affecting the efficiencies. The nanocrystalline TiO2 film deposited via the CBD route acts as the most efficient hole-blocking layer and achieves the best performance in perovskite solar cells. The CBD-based TiO2 compact and dense layer offers a small series resistance and a large recombination resistance inside the device, and makes it possible to achieve a high power conversion efficiency of 12.80%.

  6. Ion implantation in superconducting niobium and Nb3 Sn thin films: adjustment of Josephson microbridges and SQUID devices

    International Nuclear Information System (INIS)

    Robic, J.Y.; Piaguet, J.; Duret, D.; Veler, J.C.; Veran, J.L.; Zenatti, D.

    1978-01-01

    The principles of operation of Josephson junctions and SQUIDS are resumed. An ion implantation technique for the adjustment of the critical current is presented. High quality superconducting thin films were obtained by electron gun evaporation of niobium on heated substrates. Polycrystalline Nb 3 Sn was made by annealing (1000 K, 10 -6 Torr) a multilayer structure of successively evaporated niobium and thin films. Selected ions (helium, neon, argon) were implanted at doses ranging from 10 13 to 10 17 cm -2 . After implantation the critical temperature, the critical current and the normal resistivity were measured on special photoetched geometries. The variations of these electrical properties depend on the nuclear energy loss. The critical temperature of Nb 3 Sn is decreased by ion implantation and can be increased again by a new annealing. The parameters of the ion implantation were defined in order to obtain a critical temperature slightly higher than the operating temperature. The geometries of the microbridges and the implanted areas where then chosen to obtain appropriate criticals currents (approximately 10 μA) at the operating temperature. The obtained microbridges were used as junction elements in superconducting quantum interference devices (SQUID)

  7. TiO2/Cu2O composite based on TiO2 NTPC photoanode for photoelectrochemical (PEC) water splitting under visible light

    KAUST Repository

    Shi, Le

    2015-05-01

    Water splitting through photoelectrochemical reaction is widely regarded as a major method to generate H2 , a promising source of renewable energy to deal with the energy crisis faced up to human being. Efficient exploitation of visible light in practice of water splitting with pure TiO2 material, one of the most popular semiconductor material used for photoelectrochemical water splitting, is still challenging. One dimensional TiO2 nanotubes is highly desired with its less recombination with the short distance for charge carrier diffusion and light-scattering properties. This work is based on TiO2 NTPC electrode by the optimized two-step anodization method from our group. A highly crystalized p-type Cu2O layer was deposited by optimized pulse potentiostatic electrochemical deposition onto TiO2 nanotubes to enhance the visible light absorption of a pure p-type TiO2 substrate and to build a p-n junction at the interface to improve the PEC performance. However, because of the real photocurrent of Cu2O is far away from its theoretical limit and also poor stability in the aqueous environment, a design of rGO medium layer was added between TiO2 nanotube and Cu2O layer to enhance the photogenerated electrons and holes separation, extend charge carrier diffusion length (in comparison with those of conventional pure TiO2 or Cu2O materials) which could significantly increase photocurrent to 0.65 mA/cm2 under visible light illumination (>420 nm) and also largely improve the stability of Cu2O layer, finally lead to an enhancement of water splitting performance.

  8. Synthesis, characterization and catalytic properties of nanocrystaline Y2O3-coated TiO2 in the ethanol dehydration reaction

    International Nuclear Information System (INIS)

    Fajardo, Humberto Vieira; Longo, Elson; Leite, Edson Roberto; Libanori, Rafael; Probst, Luiz Fernando Dias; Carreno, Neftali Lenin Villarreal

    2012-01-01

    In the present study, TiO 2 nano powder was partially coated with Y 2 O 3 precursors generated by a sol-gel modified route. The system of nanocoated particles formed an ultra thin structure on the TiO 2 surfaces. The modified nanoparticles were characterized by high resolution transmission electron microscopy (HR-TEM), X-ray diffraction (XRD) analysis, Zeta potential and surface area through N 2 physisorption measurements. Bioethanol dehydration was used as a probe reaction to investigate the modifications on the nanoparticles surface. The process led to the obtainment of nanoparticles with important surface characteristics and catalytic behavior in the bioethanol dehydration reaction, with improved activity and particular selectivity in comparison to their non-coated analogs. The ethylene production was disfavored and selectivity toward acetaldehyde, hydrogen and ethane increased over modified nanoparticles. (author)

  9. Exchange of TiO2 nanoparticles between streams and streambeds.

    Science.gov (United States)

    Boncagni, Natalia Ticiana; Otaegui, Justo Manuel; Warner, Evelyn; Curran, Trisha; Ren, Jianhong; de Cortalezzi, Maria Marta Fidalgo

    2009-10-15

    The expanding use of manufactured nanoparticles has increased the potential for their release into the natural environment. Particularly, TiO2 nanoparticles pose significant exposure risk to humans and other living species due to their extensive use in a wide range of fields. To better understand the environmental and health risks associated with the release of TiO2 nanoparticles, knowledge on their fate and transport is needed. This study evaluates the transport of two different TiO2 nanoparticles: one commercially available (P25 TiO2 and the other synthesized at a lab scale (synthesized TiO2). Laboratory flume, column, and batch experiments were conducted to investigate the processes dominating the transport of TiO2 nanoparticles between streams and streambeds and to characterize the properties of these nanoparticles under different physicochemical conditions. Results show that the synthesized TiO2 was more stable compared to the P25 TiO2, which underwent significant aggregation under the same experimental conditions. As a result, P25 TiO2 deposited at a faster rate than the synthesized TiO2 in the streambed. Both types of TiO2 nanoparticles deposited in the streambed were easily released when the stream velocity was increased. The aggregation and deposition of P25 TiO2 were highly dependent on pH. A process-based colloid exchange model was applied to interpret the observed transport behavior of the TiO2 nanoparticles.

  10. Structure of ion-implanted ceramics

    International Nuclear Information System (INIS)

    Naramoto, Hiroshi

    1983-01-01

    The variation of structure of LiF, MgO, Al 2 O 3 and TiO 2 accompanying annealing after ion implantation is explained. The analysis of structure is usually made by the perturbed gamma ray angular correlation, the internal electron Moessbauer method, or the ion scattering method. The results of analyses are discussed for alkali ion implantation, Fe-ion implantation, In-ion implantation, Au-ion implantation, Pt-ion implantation, Pb-ion implantation and transition metal ion implantation. The coupling of the implanted elements with lattice defects and matrix elements, and the compatibility between deposited elements and matrix crystal lattice were studied. The variation of physical properties due to ion implantation such as phase transition, volume change, the control of single crystal region, and the variation of hardness near surface were investigated, and the examples are presented. (Kato, T.)

  11. Structure and micro-mechanical properties of helium-implanted layer on Ti by plasma-based ion implantation

    International Nuclear Information System (INIS)

    Ma Xinxin; Li Jinlong; Sun Mingren

    2008-01-01

    The present paper concentrates on structure and micro-mechanical properties of the helium-implanted layer on titanium treated by plasma-based ion implantation with a pulsed voltage of -30 kV and doses of 3, 6, 9 and 12 x 10 17 ions/cm 2 , respectively. X-ray photoelectron spectroscopy and transmission electron microscopy are employed to characterize the structure of the implanted layer. The hardnesses at different depths of the layer were measured by nano-indentation. We found that helium ion implantation into titanium leads to the formation of bubbles with a diameter from a few to more than 10 nm and the bubble size increases with the increase of dose. The primary existing form of Ti is amorphous in the implanted layer. Helium implantation also enhances the ingress of O, C and N and stimulates the formations of TiO 2 , Ti 2 O 3 , TiO, TiC and TiN in the near surface layer. And the amount of the ingressed oxygen is obviously higher than those of nitrogen and carbon due to its higher activity. At the near surface layer, the hardnesses of all implanted samples increases remarkably comparing with untreated one and the maximum hardness has an increase by a factor of up to 3.7. For the samples implanted with higher doses of 6, 9 and 12 x 10 17 He/cm 2 , the local displacement bursts are clearly found in the load-displacement curves. For the samples implanted with a lower dose of 3 x 10 17 He/cm 2 , there is no obvious displacement burst found. Furthermore, the burst width increases with the increase of the dose

  12. MoSe2 modified TiO2 nanotube arrays with superior photoelectrochemical performance

    Science.gov (United States)

    Zhang, Yaping; Zhu, Haifeng; Yu, Lianqing; He, Jiandong; Huang, Chengxing

    2018-04-01

    TiO2 nanotube arrays (TNTs) are first prepared by anodization Ti foils in ethylene glycol electrolyte. Then, MoSe2 deposites electrochemically on TNTs. The as-synthesized MoSe2/TiO2 composite has a much higher photocurrent density of 1.07 mA cm‑2 at 0 V than pure TNTs of 0.38 mA cm‑2, which suggests that the MoSe2/TiO2 composite film has optimum photoelectrocatalysis properties. The electron transport resistances of the MoSe2/TiO2 decreases to half of pure TiO2, at 295.6 ohm/cm2. Both photocurrent-time and Mott-Schottky plots indicate MoSe2 a p-type semiconductor characteristics. MoSe2/TiO2 composite can achieve a maximum 5 orders of magnitude enhancement in carrier density (4.650 × 1027 cm‑3) than that of pure TiO2 arrays. It can be attributed to p-n heterojunction formed between MoSe2 and TiO2, and the composite can be potentially applied in photoelectrochemical, photocatalysis fields.

  13. Engineering the TiO2 -graphene interface to enhance photocatalytic H2 production.

    Science.gov (United States)

    Liu, Lichen; Liu, Zhe; Liu, Annai; Gu, Xianrui; Ge, Chengyan; Gao, Fei; Dong, Lin

    2014-02-01

    In this work, TiO2 -graphene nanocomposites are synthesized with tunable TiO2 crystal facets ({100}, {101}, and {001} facets) through an anion-assisted method. These three TiO2 -graphene nanocomposites have similar particle sizes and surface areas; the only difference between them is the crystal facet exposed in TiO2 nanocrystals. UV/Vis spectra show that band structures of TiO2 nanocrystals and TiO2 -graphene nanocomposites are dependent on the crystal facets. Time-resolved photoluminescence spectra suggest that the charge-transfer rate between {100} facets and graphene is approximately 1.4 times of that between {001} facets and graphene. Photoelectrochemical measurements also confirm that the charge-separation efficiency between TiO2 and graphene is greatly dependent on the crystal facets. X-ray photoelectron spectroscopy reveals that Ti-C bonds are formed between {100} facets and graphene, while {101} facets and {001} facets are connected with graphene mainly through Ti-O-C bonds. With Ti-C bonds between TiO2 and graphene, TiO2 -100-G shows the fastest charge-transfer rate, leading to higher activity in photocatalytic H2 production from methanol solution. TiO2 -101-G with more reductive electrons and medium interfacial charge-transfer rate also shows good H2 evolution rate. As a result of its disadvantageous electronic structure and interfacial connections, TiO2 -001-G shows the lowest H2 evolution rate. These results suggest that engineering the structures of the TiO2 -graphene interface can be an effective strategy to achieve excellent photocatalytic performances. Copyright © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Charge transfer between biogenic jarosite derived Fe3+and TiO2 enhances visible light photocatalytic activity of TiO2.

    Science.gov (United States)

    Chowdhury, Mahabubur; Shoko, Sipiwe; Cummings, Fransciuos; Fester, Veruscha; Ojumu, Tunde Victor

    2017-04-01

    In this work, we have shown that mining waste derived Fe 3+ can be used to enhance the photocatalytic activity of TiO 2 . This will allow us to harness a waste product from the mines, and utilize it to enhance TiO 2 photocatalytic waste water treatment efficiency. An organic linker mediated route was utilized to create a composite of TiO 2 and biogenic jarosite. Evidence of FeOTi bonding in the TiO 2 /jarosite composite was apparent from the FTIR, EFTEM, EELS and ELNEFS analysis. The as prepared material showed enhanced photocatalytic activity compared to pristine TiO 2 , biogenic jarosite and mechanically mixed sample of jarosite and TiO 2 under both simulated and natural solar irradiation. The prepared material can reduce the electrical energy consumption by 4 times compared to pristine P25 for degradation of organic pollutant in water. The material also showed good recyclability. Results obtained from sedimentation experiments showed that the larger sized jarosite material provided the surface to TiO 2 nanoparticles, which increases the settling rate of the materials. This allowed simple and efficient recovery of the catalyst from the reaction system after completion of photocatalysis. Enhanced photocatalytic activity of the composite material was due to effective charge transfer between TiO 2 and jarosite derived Fe 3+ as was shown from the EELS and ELNEFS. Generation of OH was supported by photoluminesence (PL) experiments. Copyright © 2016. Published by Elsevier B.V.

  15. High pressure structural phase transitions of TiO2 nanomaterials

    International Nuclear Information System (INIS)

    Li Quan-Jun; Liu Bing-Bing

    2016-01-01

    Recently, the high pressure study on the TiO 2 nanomaterials has attracted considerable attention due to the typical crystal structure and the fascinating properties of TiO 2 with nanoscale sizes. In this paper, we briefly review the recent progress in the high pressure phase transitions of TiO 2 nanomaterials. We discuss the size effects and morphology effects on the high pressure phase transitions of TiO 2 nanomaterials with different particle sizes, morphologies, and microstructures. Several typical pressure-induced structural phase transitions in TiO 2 nanomaterials are presented, including size-dependent phase transition selectivity in nanoparticles, morphology-tuned phase transition in nanowires, nanosheets, and nanoporous materials, and pressure-induced amorphization (PIA) and polyamorphism in ultrafine nanoparticles and TiO 2 -B nanoribbons. Various TiO 2 nanostructural materials with high pressure structures are prepared successfully by high pressure treatment of the corresponding crystal nanomaterials, such as amorphous TiO 2 nanoribbons, α -PbO 2 -type TiO 2 nanowires, nanosheets, and nanoporous materials. These studies suggest that the high pressure phase transitions of TiO 2 nanomaterials depend on the nanosize, morphology, interface energy, and microstructure. The diversity of high pressure behaviors of TiO 2 nanomaterials provides a new insight into the properties of nanomaterials, and paves a way for preparing new nanomaterials with novel high pressure structures and properties for various applications. (topical review)

  16. Microporous TiO2-WO3/TiO2 films with visible-light photocatalytic activity synthesized by micro arc oxidation and DC magnetron sputtering

    International Nuclear Information System (INIS)

    Wu, Kee-Rong; Hung, Chung-Hsuang; Yeh, Chung-Wei; Wu, Jiing-Kae

    2012-01-01

    Highlights: ► A simple MAO is used to prepare porous WO 3 /TiO 2 layer on Ti sheet as a visible-light enabled catalyst. ► The photocatalytic activity of the WO 3 /TiO 2 is enhanced by sputtering over an N,C-TiO 2 layer. ► This is ascribed to the synergetic effect of hybrid sample prepared by two-step method. - Abstract: This study reports the preparation of microporous TiO 2 -WO 3 /TiO 2 films with a high surface area using a two-step approach. A porous WO 3 /TiO 2 template was synthesized by oxidizing a titanium sheet using a micro arc oxidation (MAO) process. This sheet was subsequently overlaid with a visible light (Vis)-enabled TiO 2 (N,C-TiO 2 ) film, which was deposited by codoping nitrogen (N) and carbon (C) ions into a TiO 2 lattice using direct current magnetron sputtering. The resulting microporous TiO 2 -WO 3 /TiO 2 film with a 0.38-μm-thick N,C-TiO 2 top-layer exhibited high photocatalytic activity in methylene blue (MB) degradation among samples under ultraviolet (UV) and Vis irradiation. This is attributable to the synergetic effect of two-step preparation method, which provides a highly porous microstructure and the well-crystallized N,C-TiO 2 top-layer. This is because a higher surface area with high crystallinity favors the adsorption of more MB molecules and more photocatalytic active areas. Thus, the microporous TiO 2 -WO 3 /TiO 2 film has promising applications in the photocatalytic degradation of dye solution under UV and Vis irradiation. These results imply that the microporous WO 3 /TiO 2 can be used as a template of hybrid electrode because it enables rapid fabrication.

  17. Synthesis of Nano-Ilmenite (FeTiO3) doped TiO2/Ti Electrode for Photoelectrocatalytic System

    Science.gov (United States)

    Hikmawati; Watoni, A. H.; Wibowo, D.; Maulidiyah; Nurdin, M.

    2017-11-01

    Ilmenite (FeTiO3) doped on Ti and TiO2/Ti electrodes were successfully prepared by using the sol-gel method. The structure, morphology, and optical properties of FeTiO3 are characterized by XRD, UV-Vis DRS, and SEM. The FeTiO3 and TiO2 greatly affect the photoelectrocatalysis performance characterized by Linear Sweep Voltammetry (LSV) and Cyclic Voltammetry (CV). The characterization result shows a band gap of FeTiO3 is 2.94 eV. XRD data showed that FeTiO3 formed at 2θ were 35.1° (110), 49.9° (024), and 61.2° (214). The morphology of FeTiO3/Ti and FeTiO3.TiO2/Ti using SEM shows that the formation of FeTiO3 thin layer signifies the Liquid Phase Deposition method effectively in the coating process. Photoelectrochemical (PEC) test showed that FeTiO3.TiO2/Ti electrode was highly oxidation responsive under visible light compared to the FeTiO3/Ti electrodes i.e. 7.87×10-4 A and 9.87×10-5 A. Degradation test of FeTiO3/Ti and FeTiO3.TiO2/Ti electrodes on titan yellow showed that the percentages of degradation with photoelectrocatalysis at 0.5 mg/L were 41% and 43%, respectively.

  18. Photocatalytic degradation of paracetamol on TiO2 nanoparticles and TiO2/cellulosic fiber under UV and sunlight irradiation

    Directory of Open Access Journals (Sweden)

    Nabil Jallouli

    2017-05-01

    Full Text Available In the present study, photocatalytic degradation of acetaminophen ((N-(4-hydroxyphe-nylacetamide, an analgesic drug has been investigated in a batch reactor using TiO2 P25 as a photocatalyst in slurry and under UV light. Using TiO2 P25 nanoparticles, much faster photodegradation of paracetamol and effective mineralization occurred, more than 90% of 2.65 × 10−4 M paracetamol was degraded under UV irradiation. Changes in pH values affected the adsorption and the photodegradation of paracetamol. pH 9.0 is found to be the optimum for the photodegradation of paracetamol. HPLC detected hydroquinone, benzoquinone, p-nitrophenol, and 1,2,4-trihydroxybenzene during the TiO2-assisted photodegradation of paracetamol among which some pathway products are disclosed for the first time. The results showed that TiO2 suspension/UV system is more efficient than the TiO2/cellulosic fiber mode combined to solar light for the photocatalytic degradation of paracetamol. Nerveless the immobilization of TiO2 showed many advantages over slurry system because it can enhance adsorption properties while allowing easy separation of the photocatalyst from the treated solution with improved reusable performance.

  19. TiO2 as diffusion barrier at Co/Alq3 interface studied by x-ray standing wave technique

    Science.gov (United States)

    Phatak Londhe, Vaishali; Gupta, A.; Ponpandian, N.; Kumar, D.; Reddy, V. R.

    2018-06-01

    Nano-scale diffusion at the interfaces in organic spin valve thin films plays a vital role in controlling the performance of magneto-electronic devices. In the present work, it is shown that a thin layer of titanium dioxide at the interface of Co/Alq3 can act as a good diffusion barrier. The buried interfaces of Co/Alq3/Co organic spin valve thin film has been studied using x-ray standing waves technique. A planar waveguide is formed with Alq3 layer forming the cavity and Co layers as the walls of the waveguide. Precise information about diffusion of Co into Alq3 is obtained through excitation of the waveguide modes. It is found that the top Co layer diffuses deep into the Alq3 resulting in incorporation of 3.1% Co in the Alq3 layer. Insertion of a 1.7 nm thick barrier layer of TiO2 at Co/Alq3 interface results in a drastic reduction in the diffusion of Co into Alq3 to a value of only 0.4%. This suggests a better performance of organic spin valve with diffusion barrier of TiO2.

  20. SiO2@TiO2 Coating: Synthesis, Physical Characterization and Photocatalytic Evaluation

    Directory of Open Access Journals (Sweden)

    A. Rosales

    2018-03-01

    Full Text Available Use of silicon dioxide (SiO2 and titanium dioxide (TiO2 have been widely investigated individually in coatings technology, but their combined properties promote compatibility for different innovative applications. For example, the photocatalytic properties of TiO2 coatings, when exposed to UV light, have interesting environmental applications, such as air purification, self-cleaning and antibacterial properties. However, as reported in different pilot projects, serious durability problems, associated with the adhesion between the substrate and TiO2, have been evidenced. Thus, the aim of this work is to synthesize SiO2 together with TiO2 to increase the durability of the photocatalytic coating without affecting its photocatalytic potential. Therefore, synthesis using sonochemistry, synthesis without sonochemistry, physical characterization, photocatalytic evaluation, and durability of the SiO2, SiO2@TiO2 and TiO2 coatings are presented. Results indicate that using SiO2 improved the durability of the TiO2 coating without affecting its photocatalytic properties. Thus, this novel SiO2@TiO2 coating shows potential for developing long-lasting, self-cleaning and air-purifying construction materials.

  1. Synthesis, characterization and catalytic properties of nanocrystaline Y2O3-coated TiO2 in the ethanol dehydration reaction

    Directory of Open Access Journals (Sweden)

    Humberto Vieira Fajardo

    2012-04-01

    Full Text Available In the present study, TiO2 nanopowder was partially coated with Y2O3 precursors generated by a sol-gel modified route. The system of nanocoated particles formed an ultra thin structure on the TiO2 surfaces. The modified nanoparticles were characterized by high resolution transmission electron microscopy (HR-TEM, X-ray diffraction (XRD analysis, Zeta potential and surface area through N2 fisisorption measurements. Bioethanol dehydration was used as a probe reaction to investigate the modifications on the nanoparticles surface. The process led to the obtainment of nanoparticles with important surface characteristics and catalytic behavior in the bioethanol dehydration reaction, with improved activity and particular selectivity in comparison to their non-coated analogs. The ethylene production was disfavored and selectivity toward acetaldehyde, hydrogen and ethane increased over modified nanoparticles.

  2. The synthesis of aqueous-dispersible anatase TiO2 nanoplatelets

    International Nuclear Information System (INIS)

    Shan Guobin; Demopoulos, George P

    2010-01-01

    Aqueous well-dispersed and phase-pure anatase TiO 2 truncated octahedron nanoplatelets (NPLs) were prepared via controlled hydrolysis of titanium tetrachloride (TiCl 4 ) in ethylene glycol at 240 deg. C. Two shapes, square and hexagon, were observed by microscopy, exactly corresponding to the truncated octahedron NPLs. Ethylene glycol was found to produce water in situ that reacts with TiCl 4 to produce TiO 2 and HCl-the latter promoting TiO 2 colloid peptization. TiO 2 truncated octahedron NPLs are formed under the stabilizing action of ethylene glycol thermolysis derivatives, such as aldehydes. Crystal growth of the TiO 2 NPLs was affected by the reaction temperature that determines the water production rate and HCl-assisted peptization. TGA and FT-IR results showed ∼1.2% ethylene glycol thermolysis derivatives are attached to the surface of the TiO 2 NPLs, which prevents their agglomeration, hence making them easily dispersible in aqueous media. HR-TEM and SAED results showed that the TiO 2 NPLs are well crystallized and that the SAED patterns of the single TiO 2 NPL changes with its size and shape. XRD patterns showed that the TiO 2 NPLs are phase-pure anatase and the percentage of the {101} plane in the TiO 2 NPLs to be only 18%-a structural feature that renders the TiO 2 NPLs with enhanced UV absorption and reactivity properties.

  3. Titanium Dioxide (TiO2) Dye-Sensitized Solar Cells

    Science.gov (United States)

    Alseadi, Anwar Abdulaziz

    With the increasing global energy consumption and diminishing fossil fuels, various renewable and sustainable energies have been harvested in past decades and related devices have been fabricated. Dye-sensitized solar cells (DSSCs) are the most efficient third-generation solar cells to harvest solar energy into electricity directly. Titanium dioxide (TiO2) based DSSCs were invented in 1988 and have attracted more and more attention since then because of low-cost and high efficiency. TiO2 nanoparticles are one kind of popular anode materials of DSSC because of stability, abundance, environment safety, non-toxicity, and excellent photovoltaic properties. In the project, TiO2 nanoparticles with different crystallographic sizes were produced by ball-milling. Physical properties of the produced TiO 2 nanoparticles were characterized by X-ray powder diffraction, UV-visible spectroscopy, and Raman scattering. TiO2-based DSSCs were fabricated and their photovoltaic performances were tested. The effects of TiO2 layer thickness, crystallographic size, and microsphere fillings were investigated. The project enriched our understanding of TiO2-based DSSCs.

  4. Graphene-enhanced Raman imaging of TiO2 nanoparticles

    International Nuclear Information System (INIS)

    Naumenko, Denys; Snitka, Valentinas; Snopok, Boris; Arpiainen, Sanna; Lipsanen, Harri

    2012-01-01

    The interaction of anatase titanium dioxide (TiO 2 ) nanoparticles with chemical vapour deposited graphene sheets transferred on glass substrates is investigated by using atomic force microscopy, Raman spectroscopy and imaging. Significant electronic interactions between the nanoparticles of TiO 2 and graphene were found. The changes in the graphene Raman peak positions and intensity ratios indicate that charge transfer between graphene and TiO 2 nanoparticles occurred, increasing the Raman signal of the TiO 2 nanoparticles up to five times. The normalized Raman intensity of TiO 2 nanoparticles per their volume increased with the disorder of the graphene structure. The complementary reason for the observed enhancement is that due to the higher density of states in the defect sites of graphene, a higher electron transfer occurs from the graphene to the anatase TiO 2 nanoparticles. (paper)

  5. ADSORCIÓN DE ALDEHÍDOS INSATURADOS SOBRE TiO2

    Directory of Open Access Journals (Sweden)

    Natalia Ortega

    2012-01-01

    Full Text Available En el presente trabajo se estudió la adsorción de aldehídos insaturados sobre la superficie del TiO2. Para evaluar su eficiencia como catalizador, se realizaron experimentos de fotocatálisis heterogénea de p-nitrofenol (PNF y una muestra proveniente de efluentes industriales. Se empleó un simulador solar y cuatro sistemas de TiO2: el TiO2-sólo (sin modificar y los sistemas TiO2-dienal constituidos por la adsorción química de 2,4 hexadienal, 2,4 heptadienal y el trans-cinamaldehído sobre la superficie del TiO2. La adsorción de los aldehídos insaturados sobre el TiO2 se cuantificó empleando los modelos de adsorción de Langmuir y Freundlich. Se evaluó la influencia del pH en los sistemas TiO2-dienal y su efecto en la degradación fotocatalítica del PNF. En condiciones básicas, la constante de velocidad del PNF es mayor al emplear los sistemas TiO2-dienal en comparación con el TiO2-sólo, mientras que en condiciones ácidas se encontró la tendencia opuesta. El sistema TiO2-cina resultó ser el fotocatalizador de mayor eficiencia.

  6. Hydrogen isotope behavior on Li2TiO3

    International Nuclear Information System (INIS)

    Olivares, Ryan; Oda, Takuji; Tanaka, Satoru; Oya, Yasuhisa; Tsuchiya, Kunihiko

    2004-01-01

    The surface nature of Li 2 TiO 3 and the adsorption behavior of water on Li 2 TiO 3 surface were studied by XPS/UPS and FT/IR. Preliminary experiments by Ar ion sputtering, heating and water exposure were conducted, and the following results were obtained. (1) By Ar sputtering, Li deficient surface was made, and Ti was reduced from Ti 4+ to Ti 3+ . (2) By heating sputtered samples over 573-673 K, Li emerged on the surface and Ti was re-oxidized to Ti 4+ . The surface -OH was removed. The valence band of Li 2 TiO 3 became similar to that of TiO 2 . (3) By water exposure at 623 K, H 2 O could be adsorbed dissociatively on the surface. LiOH was not formed. (4) The nature of Li 2 TiO 3 surface resembles that of TiO 2 , rather than Li 2 O. (author)

  7. Fast fabrication of long TiO2 nanotube array with high photoelectrochemical property on flexible stainless steel.

    Science.gov (United States)

    Tao, Jie; Wu, Tao; Gao, Peng

    2012-03-01

    Oriented highly ordered long TiO2 nanotube array films with nanopore structure and high photoelectrochemical property were fabricated on flexible stainless steel substrate (50 microm) by anodization treatment of titanium thin films in a short time. The samples were characterized by means of field emission scanning electron microscopy (FESEM), X-ray diffraction (XRD) and photoelectrochemical methods, respectively. The results showed that Ti films deposited at the condition of 0.7 Pa Ar pressure and 96 W sputtering power at room temperature was uniform and dense with good homogeneity and high crystallinity. The voltage and the anodization time both played significant roles in the formation of TiO2 nanopore-nanotube array film. The optimal voltage was 60 V and the anodization time is less than 30 min by anodizing Ti films in ethylene glycerol containing 0.5% (w) NH4F and 3% (w) H2O. The growth rate of TiO2 nanotube array was as high as 340 nm/min. Moreover, the photocurrent-potential curves, photocurrent response curves and electrochemical impedance spectra results indicated that the TiO2 nanotube array film with the nanoporous structure exhibited a better photo-response ability and photoelectrochemical performance than the ordinary TiO2 nanotube array film. The reason is that the nanoporous structure on the surface of the nanotube array can separate the photo electron-hole pairs more efficiently and completely than the tubular structure.

  8. Magnetic properties of thin films obtained by ion implantation of 3d metals in polyethylene-terephthalate

    International Nuclear Information System (INIS)

    Petukhov, V.Yu.; Ibragimova, M.I.; Khabibullina, N.R; Zheglov, E.P.; Muller, R.

    2002-01-01

    Polymer films containing small metal particles have been attracting particular interest because of their unique properties. Implantation of metal ions in polymers is one of the methods to synthesize metal-polymer nano-composite materials. Ion implantation makes possible the magnetic nano-structures with controlled parameters. Previously, we showed that 3d-metal implantation into numerous polymers (polymethylmethacrylate, phosphorus containing polymethylmethacrylate) resulted in the formation of a composite film consisting of metal nanoparticles buried in an implanted layer. The particles are usually found to be distributed randomly in the surface layer. It has been established that structural peculiarities, phase composition, and magnetic properties of synthesized metal-polymer systems depend on the type of the initial polymer matrix, ion types, as well as conditions of ion implantation. In the present study we have been prepared thin metal-polymer composite films by ion-beam implantation of Fe + and Co + ions in polyethylene terephthalate. The implantation of 40 keV ions at room temperature with doses from 2·10 1 6 to 3·10 17 cm -2 have been performed, with the ion current density not exceeding 10 μA/cm 2 . The magnetic properties have been investigated both by ferromagnetic resonance (FMR) and vibrating sample magnetometry (VSM). FMR spectra were recorded using magnetic radio spectrometer Varian E-12 with frequency of 9.5 GHz at room temperature. The dependencies of FMR spectra on orientation have been measured for all samples. Measurements were carried out for two orientations of the sample, normal direction of the films being either parallel or perpendicular to dc magnetic field. The values of the effective magnetization were calculated from orientation dependencies. Thin ferromagnetic films (TFF) have been shown to form for samples with both implanted ions. For samples implanted with Co + ions, the appearance of FMR lines occurs at doses markedly greater

  9. Corrosion resistance of titanium ion implanted AZ91 magnesium alloy

    International Nuclear Information System (INIS)

    Liu Chenglong; Xin Yunchang; Tian Xiubo; Zhao, J.; Chu, Paul K.

    2007-01-01

    Degradable metal alloys constitute a new class of materials for load-bearing biomedical implants. Owing to their good mechanical properties and biocompatibility, magnesium alloys are promising in degradable prosthetic implants. The objective of this study is to improve the corrosion behavior of surgical AZ91 magnesium alloy by titanium ion implantation. The surface characteristics of the ion implanted layer in the magnesium alloys are examined. The authors' results disclose that an intermixed layer is produced and the surface oxidized films are mainly composed of titanium oxide with a lesser amount of magnesium oxide. X-ray photoelectron spectroscopy reveals that the oxide has three layers. The outer layer which is 10 nm thick is mainly composed of MgO and TiO 2 with some Mg(OH) 2 . The middle layer that is 50 nm thick comprises predominantly TiO 2 and MgO with minor contributions from MgAl 2 O 4 and TiO. The third layer from the surface is rich in metallic Mg, Ti, Al, and Ti 3 Al. The effects of Ti ion implantation on the corrosion resistance and electrochemical behavior of the magnesium alloys are investigated in simulated body fluids at 37±1 deg. C using electrochemical impedance spectroscopy and open circuit potential techniques. Compared to the unimplanted AZ91 alloy, titanium ion implantation significantly shifts the open circuit potential (OCP) to a more positive potential and improves the corrosion resistance at OCP. This phenomenon can be ascribed to the more compact surface oxide film, enhanced reoxidation on the implanted surface, as well as the increased β-Mg 12 Al 17 phase

  10. Influence of electrical parameters on morphology of nanostructured TiO2 layers developed by electrochemical anodization

    Directory of Open Access Journals (Sweden)

    Strnad Gabriela

    2017-01-01

    Full Text Available Ti6Al4V alloy micro rough surfaces with TiO2 self-organized nanostructured layers were synthesized using electrochemical anodization in phosphate/fluoride electrolyte, at different end potentials (5V, 10V, 15V, and 20 V. The current – time characteristics were recorded, and the link between current evolution and the morphology of developing oxide layers was investigated. On flat surfaces of Ti6Al4V alloy we developed TiO2 layers with different morphologies (random pores, nanopores of 25…50 nm, and highly organized nanotubes of 50…100 nm in diameter depending on electrical parameters of anodization process. In our anodization cell, in optimized conditions, we are able to superimpose nanostructured oxide layers (nanotubular or nanoporous over micro structured surfaces of titanium based materials used for biomedical implants.

  11. Enhanced photoelectrocatalytic performance for degradation of diclofenac and mechanism with TiO2 nano-particles decorated TiO2 nano-tubes arrays photoelectrode

    International Nuclear Information System (INIS)

    Cheng, Xiuwen; Liu, Huiling; Chen, Qinghua; Li, Junjing; Wang, Pu

    2013-01-01

    In this study, TiO 2 nano-particles decorated TiO 2 nano-tubes arrays (TiO 2 NPs/TiO 2 NTAs) photoelectrodes have been successfully prepared through anodization, combined with ultrasonic strategy, followed by annealing post-treatment. The morphology and structure of the as-prepared TiO 2 NPs/TiO 2 NTAs photoelectrodes were characterized by scanning electrons microscopy (SEM), N 2 adsorption/desorption isotherms, X-ray diffraction (XRD) and UV–visible light diffuse reflection spectroscopy (DRS). In addition, the generation of hydroxyl radicals (·OH) was detected by a photoluminescence (PL) spectra using terephthalic acid (TA) as a probe molecule. Furthermore, the photoelectrochemical (PECH) properties of TiO 2 NPs/TiO 2 NTAs photoanode were investigated through transient open circuit potential (OCP), photocurrent response (PCR) and electrochemical impedance spectroscopy (EIS). It was found that TiO 2 NPs/TiO 2 NTAs photoelectrode exhibited a distinct decrease of OCP of −0.219 mV cm −2 and PCR of 0.049 mA cm −2 , while a significantly enhanced photoelectrocatalytic (PEC) efficiency of 63.6% (0.4 V vs. SCE) for the degradation of diclofenac. Moreover, the enhanced PEC mechanism of TiO 2 NPs/TiO 2 NTAs photoanode was proposed. The high PEC performance could be attributed to the decoration of TiO 2 NPs, which could improve the mobility and separation efficiency of photoinduced charge carriers under external potential

  12. TiO2/Cu2O composite based on TiO2 NTPC photoanode for photoelectrochemical (PEC) water splitting under visible light

    KAUST Repository

    Shi, Le

    2015-01-01

    in practice of water splitting with pure TiO2 material, one of the most popular semiconductor material used for photoelectrochemical water splitting, is still challenging. One dimensional TiO2 nanotubes is highly desired with its less recombination

  13. Nanostructured Mesoporous Titanium Dioxide Thin Film Prepared by Sol-Gel Method for Dye-Sensitized Solar Cell

    Directory of Open Access Journals (Sweden)

    Yu-Chang Liu

    2011-01-01

    Full Text Available Titanium dioxide (TiO2 paste was prepared by sol-gel and hydrothermal method with various precursors. Nanostructured mesoporous TiO2 thin-film back electrode was fabricated from the nanoparticle colloidal paste, and its performance was compared with that made of commercial P25 TiO2. The best performance was demonstrated by the DSSC having a 16 μm-thick TTIP-TiO2 back electrode, which gave a solar energy conversion efficiency of 6.03%. The ability of stong adhesion on ITO conducting glass substrate and the high surface area are considered important characteristics of TiO2 thin film. The results show that a thin film with good adhesion can be made from the prepared colloidal paste as a result of alleviating the possibility of electron transfer loss. One can control the colloidal particle size from sol-gel method. Therefore, by optimizing the preparation conditions, TiO2 paste with nanoparticle and narrow diameter distribution was obtained.

  14. CORONA DISCHARGE REACTOR FOR SELECTIVE OXIDATION OF ALCOHOLS AND HYDROCARBONS USING OZONATION AND PHOTOXIDATION OF OVER TIO2

    Science.gov (United States)

    We have developed a process that combines the use of surface corona for the production of ozone by passing air or oxygen through a high voltage electrical discharge and the emitted UV is being used to activate a photocatalyst. A thin film of nanostructured TiO2 with primary part...

  15. Films of brookite TiO2 nanorods/nanoparticles deposited by matrix-assisted pulsed laser evaporation as NO2 gas-sensing layers

    Science.gov (United States)

    Caricato, A. P.; Buonsanti, R.; Catalano, M.; Cesaria, M.; Cozzoli, P. D.; Luches, A.; Manera, M. G.; Martino, M.; Taurino, A.; Rella, R.

    2011-09-01

    Titanium dioxide (TiO2) nanorods in the brookite phase, with average dimensions of 3-4 nm × 20-50 nm, were synthesized by a wet-chemical aminolysis route and used as precursors for thin films that were deposited by the matrix-assisted pulsed laser evaporation (MAPLE) technique. A nanorod solution in toluene (0.016 wt% TiO2) was frozen at the liquid-nitrogen temperature and irradiated with a KrF excimer laser at a fluence of 350 mJ/cm2 and repetition rate of 10 Hz. Single-crystal Si wafers, silica slides, carbon-coated Cu grids and alumina interdigitated slabs were used as substrates to allow performing different characterizations. Films fabricated with 6000 laser pulses had an average thickness of ˜150 nm, and a complete coverage of the selected substrate as achieved. High-resolution scanning and transmission electron microscopy investigations evidenced the formation of quite rough films incorporating individually distinguishable TiO2 nanorods and crystalline spherical nanoparticles with an average diameter of ˜13 nm. Spectrophotometric analysis showed high transparency through the UV-Vis spectral range. Promising resistive sensing responses to 1 ppm of NO2 mixed in dry air were obtained.

  16. Effect of nanoporous TiO2 coating and anodized Ca2+ modification of titanium surfaces on early microbial biofilm formation

    Directory of Open Access Journals (Sweden)

    Wennerberg Ann

    2011-03-01

    Full Text Available Abstract Background The soft tissue around dental implants forms a barrier between the oral environment and the peri-implant bone and a crucial factor for long-term success of therapy is development of a good abutment/soft-tissue seal. Sol-gel derived nanoporous TiO2 coatings have been shown to enhance soft-tissue attachment but their effect on adhesion and biofilm formation by oral bacteria is unknown. Methods We have investigated how the properties of surfaces that may be used on abutments: turned titanium, sol-gel nanoporous TiO2 coated surfaces and anodized Ca2+ modified surfaces, affect biofilm formation by two early colonizers of the oral cavity: Streptococcus sanguinis and Actinomyces naeslundii. The bacteria were detected using 16S rRNA fluorescence in situ hybridization together with confocal laser scanning microscopy. Results Interferometry and atomic force microscopy revealed all the surfaces to be smooth (Sa ≤ 0.22 μm. Incubation with a consortium of S. sanguinis and A. naeslundii showed no differences in adhesion between the surfaces over 2 hours. After 14 hours, the level of biofilm growth was low and again, no differences between the surfaces were seen. The presence of saliva increased the biofilm biovolume of S. sanguinis and A. naeslundii ten-fold compared to when saliva was absent and this was due to increased adhesion rather than biofilm growth. Conclusions Nano-topographical modification of smooth titanium surfaces had no effect on adhesion or early biofilm formation by S. sanguinis and A. naeslundii as compared to turned surfaces or those treated with anodic oxidation in the presence of Ca2+. The presence of saliva led to a significantly greater biofilm biovolume but no significant differences were seen between the test surfaces. These data thus suggest that modification with sol-gel derived nanoporous TiO2, which has been shown to improve osseointegration and soft-tissue healing in vivo, does not cause greater biofilm

  17. Stoichiometric titanium dioxide ion implantation in AISI 304 stainless steel for corrosion protection

    Science.gov (United States)

    Hartwig, A.; Decker, M.; Klein, O.; Karl, H.

    2015-12-01

    The aim of this study is to evaluate the applicability of highly chemically inert titanium dioxide synthesized by ion beam implantation for corrosion protection of AISI 304 stainless steel in sodium chloride solution. More specifically, the prevention of galvanic corrosion between carbon-fiber reinforced plastic (CFRP) and AISI 304 was investigated. Corrosion performance of TiO2 implanted AISI 304 - examined for different implantation and annealing parameters - is strongly influenced by implantation fluence. Experimental results show that a fluence of 5 × 1016 cm-2 (Ti+) and 1 × 1017 cm-2 (O+) is sufficient to prevent pitting corrosion significantly, while galvanic corrosion with CFRP can already be noticeably reduced by an implantation fluence of 5 × 1015 cm-2 (Ti+) and 1 × 1016 cm-2 (O+). Surface roughness, implantation energy and annealing at 200 °C and 400 °C show only little influence on the corrosion behavior. TEM analysis indicates the existence of stoichiometric TiO2 inside the steel matrix for medium fluences and the formation of a separated metal oxide layer for high fluences.

  18. Fabrication of assembled ZnO/TiO2 heterojunction thin film transistors using solution processing technique

    Science.gov (United States)

    Liau, Leo Chau-Kuang; Lin, Yun-Guo

    2015-01-01

    Ceramic-based metal-oxide-semiconductor (MOS) field-effect thin film transistors (TFTs), which were assembled by ZnO and TiO2 heterojunction films coated using solution processing technique, were fabricated and characterized. The fabrication of the device began with the preparation of ZnO and TiO2 films by spin coating. The ZnO and TiO2 films that were stacked together and annealed at 450 °C were characterized as a p-n junction diode. Two types of the devices, p-channel and n-channel TFTs, were produced using different assemblies of ZnO and TiO2 films. Results show that the p-channel TFTs (p-TFTs) and n-channel TFTs (n-TFTs) using the assemblies of ZnO and TiO2 films were demonstrated by source-drain current vs. drain voltage (IDS-VDS) measurements. Several electronic properties of the p- and n- TFTs, such as threshold voltage (Vth), on-off ratio, channel mobility, and subthreshold swing (SS), were determined by current-voltage (I-V) data analysis. The ZnO/TiO2-based TFTs can be produced using solution processing technique and an assembly approach.

  19. Remarkable optical red shift and extremely high optical absorption coefficient of V-Ga co-doped TiO2

    Science.gov (United States)

    Deng, Quanrong; Han, Xiaoping; Gao, Yun; Shao, Guosheng

    2012-07-01

    A first attempt has been made to study the effect of codoping of transition metal and sp metal on the electronic structure and associated optical properties of TiO2, through V-Ga codoped thin films. V-Ga codoped rutile TiO2 films were fabricated on fused quartz substrates using pulsed laser ablation, followed by heat treatment at high temperatures. Gigantic redshift in the optical absorption edge was observed in V-Ga co-doped TiO2 materials, from UV to infrared region with high absorption coefficient. Through combined structural characterization and theoretical modeling, this is attributed to the p-d hybridization between the two metals. This leads to additional energy bands to overlap with the minimum of the conduction band, leading to remarkably narrowed band gap free of mid-gap states. The direct-gap of the co-doped phase is key to the remarkably high optical absorption coefficient of the coped titania.

  20. Fabrication and Characteristics of Macroporous TiO2 Photocatalyst

    Directory of Open Access Journals (Sweden)

    Guiyun Yi

    2014-01-01

    Full Text Available Macroporous TiO2 photocatalyst was synthesized by a facile nanocasting method using polystyrene (PS spherical particles as the hard template. The synthesized photocatalyst was characterized by transmission electron microscope (TEM, scanning electron microscopy (SEM, thermogravimetry-differential thermogravimetry (TG-DTG, X-ray diffraction (XRD, and N2-sorption. TEM, SEM, and XRD characterizations confirmed that the macroporous TiO2 photocatalyst is composed of anatase phase. The high specific surface area of 87.85 m2/g can be achieved according to the N2-sorption analysis. Rhodamine B (RhB was chosen as probe molecule to evaluate the photocatalytic activity of the TiO2 catalysts. Compared with the TiO2 materials synthesized in the absence of PS spherical template, the macroporous TiO2 photocatalyst sintered at 500°C exhibits much higher activity on the degradation of RhB under the UV irradiation, which can be assigned to the well-structured macroporosity. The macroporous TiO2 material presents great potential in the fields of environmental remediation and energy conversion and storage.

  1. Thermal conductivity of amorphous Al2O3/TiO2 nanolaminates deposited by atomic layer deposition.

    Science.gov (United States)

    Ali, Saima; Juntunen, Taneli; Sintonen, Sakari; Ylivaara, Oili M E; Puurunen, Riikka L; Lipsanen, Harri; Tittonen, Ilkka; Hannula, Simo-Pekka

    2016-11-04

    The thermophysical properties of Al2O3/TiO2 nanolaminates deposited by atomic layer deposition (ALD) are studied as a function of bilayer thickness and relative TiO2 content (0%-100%) while the total nominal thickness of the nanolaminates was kept at 100 nm. Cross-plane thermal conductivity of the nanolaminates is measured at room temperature using the nanosecond transient thermoreflectance method. Based on the measurements, the nanolaminates have reduced thermal conductivity as compared to the pure amorphous thin films, suggesting that interfaces have a non-negligible effect on thermal transport in amorphous nanolaminates. For a fixed number of interfaces, we find that approximately equal material content of Al2O3 and TiO2 produces the lowest value of thermal conductivity. The thermal conductivity reduces with increasing interface density up to 0.4 nm(-1), above which the thermal conductivity is found to be constant. The value of thermal interface resistance approximated by the use of diffuse mismatch model was found to be 0.45 m(2) K GW(-1), and a comparative study employing this value supports the interpretation of non-negligible interface resistance affecting the overall thermal conductivity also in the amorphous limit. Finally, no clear trend in thermal conductivity values was found for nanolaminates grown at different deposition temperatures, suggesting that the temperature in the ALD process has a non-trivial while modest effect on the overall thermal conductivity in amorphous nanolaminates.

  2. Interface actions between TiO2 and porous diatomite on the structure and photocatalytic activity of TiO2-diatomite

    International Nuclear Information System (INIS)

    Xia, Yue; Li, Fangfei; Jiang, Yinshan; Xia, Maosheng; Xue, Bing; Li, Yanjuan

    2014-01-01

    TiO 2 -diatomite photocatalysts were prepared by sol–gel process with various pre-modified diatomite. In order to obtain diatomite with different surface characteristics, two modification approaches including calcination and phosphoric acid treatment on the micro-structure of diatomite are introduced. The photocatalysts were characterized by XRD, XPS, nitrogen adsorption–desorption isotherms and micromorphology analysis. The results indicate that, compared with pure TiO 2 , the anatase-to-rutile phase transition temperature of TiO 2 loaded on diatomite carrier is significantly increased to nearly 900 °C, depending on the different pretreatment method of diatomite. The photocatalytic activities of different samples were evaluated by their degradation rate of methyl orange (MO) dye under UV and visible-light irradiation. The samples prepared by phosphoric acid pretreatment method exhibit the highest photocatalytic activity. After 90 min of UV irradiation, about 90% of MO is decomposed by the best effective photocatalyst. And after 8 h visible-light irradiation, nearly 60% of MO is decomposed by the same sample. Further mechanism investigation reveals that the H 3 PO 4 pretreatment process can obviously change the surface features of diatomite carrier, cause the formation of Si–O–Ti bond, increase the binding strength between TiO 2 and diatomite, restrain crystal growth of loaded TiO 2 , and thus form thermal-stable mesoporous structure at the granular spaces. It helps to build micro-, meso- and macro-porous hierarchical porous structure in TiO 2 -diatomite, and improves the charge and mass transfer efficiency during catalyzing process, resulting in the significantly increased photocatalytic activity of TiO 2 -diatomite pretreated by phosphoric acid.

  3. Interface actions between TiO2 and porous diatomite on the structure and photocatalytic activity of TiO2-diatomite

    Science.gov (United States)

    Xia, Yue; Li, Fangfei; Jiang, Yinshan; Xia, Maosheng; Xue, Bing; Li, Yanjuan

    2014-06-01

    TiO2-diatomite photocatalysts were prepared by sol-gel process with various pre-modified diatomite. In order to obtain diatomite with different surface characteristics, two modification approaches including calcination and phosphoric acid treatment on the micro-structure of diatomite are introduced. The photocatalysts were characterized by XRD, XPS, nitrogen adsorption-desorption isotherms and micromorphology analysis. The results indicate that, compared with pure TiO2, the anatase-to-rutile phase transition temperature of TiO2 loaded on diatomite carrier is significantly increased to nearly 900 °C, depending on the different pretreatment method of diatomite. The photocatalytic activities of different samples were evaluated by their degradation rate of methyl orange (MO) dye under UV and visible-light irradiation. The samples prepared by phosphoric acid pretreatment method exhibit the highest photocatalytic activity. After 90 min of UV irradiation, about 90% of MO is decomposed by the best effective photocatalyst. And after 8 h visible-light irradiation, nearly 60% of MO is decomposed by the same sample. Further mechanism investigation reveals that the H3PO4 pretreatment process can obviously change the surface features of diatomite carrier, cause the formation of Si-O-Ti bond, increase the binding strength between TiO2 and diatomite, restrain crystal growth of loaded TiO2, and thus form thermal-stable mesoporous structure at the granular spaces. It helps to build micro-, meso- and macro-porous hierarchical porous structure in TiO2-diatomite, and improves the charge and mass transfer efficiency during catalyzing process, resulting in the significantly increased photocatalytic activity of TiO2-diatomite pretreated by phosphoric acid.

  4. Enhanced Magnetization of Cobalt Defect Clusters Embedded in TiO2-δ Films.

    Science.gov (United States)

    Cortie, David L; Khaydukov, Yury; Keller, Thomas; Sprouster, David J; Hughes, Jacob S; Sullivan, James P; Wang, Xiaolin L; Le Brun, Anton P; Bertinshaw, Joel; Callori, Sara J; Aughterson, Robert; James, Michael; Evans, Peter J; Triani, Gerry; Klose, Frank

    2017-03-15

    High magnetizations are desirable for spintronic devices that operate by manipulating electronic states using built-in magnetic fields. However, the magnetic moment in promising dilute magnetic oxide nanocomposites is very low, typically corresponding to only fractions of a Bohr magneton for each dopant atom. In this study, we report a large magnetization formed by ion implantation of Co into amorphous TiO 2-δ films, producing an inhomogeneous magnetic moment, with certain regions producing over 2.5 μ B per Co, depending on the local dopant concentration. Polarized neutron reflectometry was used to depth-profile the magnetization in the Co:TiO 2-δ nanocomposites, thus confirming the pivotal role of the cobalt dopant profile inside the titania layer. X-ray photoemission spectra demonstrate the dominant electronic state of the implanted species is Co 0 , with a minor fraction of Co 2+ . The detected magnetizations have seldom been reported before and lie near the upper limit set by Hund's rules for Co 0 , which is unusual because the transition metal's magnetic moment is usually reduced in a symmetric 3D crystal-field environment. Low-energy positron annihilation lifetime spectroscopy indicates that defect structures within the titania layer are strongly modified by the implanted Co. We propose that a clustering motif is promoted by the affinity of the positively charged implanted species to occupy microvoids native to the amorphous host. This provides a seed for subsequent doping and nucleation of nanoclusters within an unusual local environment.

  5. Enhanced Magnetization of Cobalt Defect Clusters Embedded in TiO_2_-_δ Films

    International Nuclear Information System (INIS)

    Cortie, David L.; Khaydukov, Yury; Max Planck Society, Garching

    2017-01-01

    High magnetizations are desirable for spintronic devices that operate by manipulating electronic states using built-in magnetic fields. However, the magnetic moment in promising dilute magnetic oxide nanocomposites is very low, typically corresponding to only fractions of a Bohr magneton for each dopant atom. In this study, we report a large magnetization formed by ion implantation of Co into amorphous TiO_2_-_δ films, producing an inhomogeneous magnetic moment, with certain regions producing over 2.5 μ_B per Co, depending on the local dopant concentration. Polarized neutron reflectometry was used to depth-profile the magnetization in the Co:TiO_2_-_δ nanocomposites, thus confirming the pivotal role of the cobalt dopant profile inside the titania layer. X-ray photoemission spectra demonstrate the dominant electronic state of the implanted species is Co"0, with a minor fraction of Co"2"+. The detected magnetizations have seldom been reported before and lie near the upper limit set by Hund’s rules for Co"0, which is unusual because the transition metal’s magnetic moment is usually reduced in a symmetric 3D crystal-field environment. Low-energy positron annihilation lifetime spectroscopy indicates that defect structures within the titania layer are strongly modified by the implanted Co. We propose that a clustering motif is promoted by the affinity of the positively charged implanted species to occupy microvoids native to the amorphous host. This provides a seed for subsequent doping and nucleation of nanoclusters within an unusual local environment.

  6. Formation of double-layered TiO2 structures with selectively-positioned molecular dyes for efficient flexible dye-sensitized solar cells

    International Nuclear Information System (INIS)

    Kim, Eun Yi; Yu, Sora; Moon, Jeong Hoon; Yoo, Seon Mi; Kim, Chulhee; Kim, Hwan Kyu; Lee, Wan In

    2013-01-01

    Graphical abstract: A novel flexible tandem dye-sensitized solar cell, selectively loading different dyes in discrete layers, was successfully formed on a plastic substrate by transferring the high-temperature-processed N719/TiO 2 over an organic dye-adsorbed TiO 2 film by a typical compression process at room temperature. -- Highlights: • A novel flexible dye-sensitized solar cell, selectively loading two different dyes in discrete layers, was successfully formed on a plastic substrate. • η of the flexible tandem cell obtained by transferring the high-temperature-processed TiO 2 layer was enhanced from 2.91% to 6.86%. • Interface control between two TiO 2 layers is crucial for the efficient transport of photo-injected electrons from the top to bottom TiO 2 layer. -- Abstract: To fabricate flexible dye-sensitized solar cells (DSCs) utilizing full solar spectrum, the double-layered TiO 2 films, selectively loading two different dyes in discrete layers, were formed on a plastic substrate by transferring the high-temperature-processed N719/TiO 2 over an organic dye (TA-St-CA)-sensitized TiO 2 film by a typical compression process at room temperature. It was found that interface control between two TiO 2 layers is crucial for the efficient transport of photo-injected electrons from the N719/TiO 2 to the TA-St-CA/TiO 2 layer. Electron impedance spectra (EIS) and transient photoelectron spectroscopic analyses exhibited that introduction of a thin interfacial TiO 2 layer between the two TiO 2 layers remarkably decreased the resistance at the interface, while increasing the electron diffusion constant (D e ) by ∼10 times. As a result, the photovoltaic conversion efficiency (η) of the flexible tandem DSC was 6.64%, whereas that of the flexible cell derived from the single TA-St-CA/TiO 2 layer was only 2.98%. Another organic dye (HC-acid), absorbing a short wavelength region of solar spectrum, was also applied to fabricate flexible tandem DSC. The η of the cell

  7. Fabrication of TiO2-Reduced Graphene Oxide Nanorod Composition Spreads Using Combinatorial Hydrothermal Synthesis and Their Photocatalytic and Photoelectrochemical Applications.

    Science.gov (United States)

    Lu, Wen-Chung; Tseng, Li-Chun; Chang, Kao-Shuo

    2017-09-11

    This study is the first to employ combinatorial hydrothermal synthesis and facile spin-coating technology to fabricate TiO 2 -reduced graphene oxide (rGO) nanorod composition spreads. The features of this study are (1) the development of a self-designed spin-coating wedge, (2) the systemic investigation of the structure-property relationship of the system, (3) the high-throughput screening of the optimal ratio from a wide range of compositions for photocatalytic and photoelectrochemical (PEC) applications, and (4) the effective coupling between the density gradient TiO 2 nanorod array and the thickness gradient rGO. The formation of rGO in the fabricated TiO 2 -rGO sample was monitored through Fourier transform infrared spectrometry. Transmission electron microscopy images also suggested that the TiO 2 nanorod surfaces were covered with a thin layer of amorphous rGO. The rutile TiO 2 plane evolution along the composition variation was verified through X-ray diffraction. 7% TiO 2 -93% rGO on the nanorod composition spread exhibited the most promising photocatalytic ability; the corresponding photodegradation kinetics, denoted by the photodegradation rate constant (k), was determined to be approximately 12.7 × 10 -3 min -1 . The excellent performance was attributed to the effective coupling between the TiO 2 and rGO, which improved the charge carrier transport, thus inhibiting electron-hole pair recombination. A cycling test implied that 7% TiO 2 -93% rGO is a reliable photocatalyst. A photoluminescence spectroscopy study also supported the superior photocatalytic ability of the sample, which was attributed to its markedly poorer recombination behavior. In addition, without further treatment, the sample exhibited excellent PEC stability; the photocurrent density was more than three times higher than that exhibited by the density gradient TiO 2 nanorods.

  8. Photodecomposition of volatile organic compounds using TiO2 nanoparticles.

    Science.gov (United States)

    Jwo, Ching-Song; Chang, Ho; Kao, Mu-Jnug; Lin, Chi-Hsiang

    2007-06-01

    This study examined the photodecomposition of volatile organic compounds (VOCs) using TiO2 catalyst fabricated by the Submerged Arc Nanoparticle Synthesis System (SANSS). TiO2 catalyst was employed to decompose volatile organic compounds and compare with Degussa-P25 TiO2 in terms of decomposition efficiency. In the electric discharge manufacturing process, a Ti bar, applied as the electrode, was melted and vaporized under high temperature. The vaporized Ti powders were then rapidly quenched under low-temperature and low-pressure conditions in deionized water, thus nucleating and forming nanocrystalline powders uniformly dispersed in the base solvent. The average diameter of the TiO2 nanoparticles was 20 nm. X-ray diffraction analysis confirmed that the nanoparticles in the deionized water were Anatase type TiO2. It was found that gaseous toluene exposed to UV irradiation produced intermediates that were even harder to decompose. After 60-min photocomposition, Degussa-P25 TiO2 reduced the concentration of gaseous toluene to 8.18% while the concentration after decomposition by SANSS TiO2 catalyst dropped to 0.35%. Under UV irradiation at 253.7 +/- 184.9 nm, TiO2 prepared by SANSS can produce strong chemical debonding energy, thus showing great efficiency, superior to that of Degussa-P25 TiO2, in decomposing gaseous toluene and its intermediates.

  9. A weak-light-responsive TiO2/g-C3N4 composite film: photocatalytic activity under low-intensity light irradiation.

    Science.gov (United States)

    Wang, Peifang; Guo, Xiang; Rao, Lei; Wang, Chao; Guo, Yong; Zhang, Lixin

    2018-05-10

    A TiO 2 /g-C 3 N 4 composite photocatalytic film was prepared by in situ synthesis method and its photocatalytic capability under weak-visible-light condition was studied. The co-precursor with different ratio of melamine and TiO 2 sol-gel precursor were treated using ultrasonic mixing, physical deposition, and co-sintering method to form the smooth, white-yellow, and compact TiO 2 /g-C 3 N 4 composite films. The prepared TiO 2 /g-C 3 N 4 materials were characterized by SEM, TEM, EDS, XRD, BET, VBXPS, and UV-vis diffuse reflectance spectra. The results of composite showed that TiO 2 and g-C 3 N 4 have close interfacial connections which are favorable to charge transfer between these two semiconductors with suitable band structure, g-C 3 N 4 retard the anatase-to-rutile phase transition of TiO 2 significantly, the specific surface area were increased with g-C 3 N 4 ratio raised. Under weak-light irradiation, composite films photocatalytic experiments exhibited RhB removal efficiency approaching 90% after three recycles. Powders suspension degradation experiments revealed the removal efficiency of TiO 2 /g-C 3 N 4 (90.8%) was higher than pure TiO 2 (52.1%) and slightly lower than pure g-C 3 N 4 (96.6%). By control experiment, the enhanced photocatalysis is ascribed to the combination of TiO 2 and g-C 3 N 4 , which not only produced thin films with greater stability but also formed heterojunctions that can be favorable to charge transfer between these two semiconductors with suitable band structure. This study presents the potential application of photocatalytic film in the wastewater treatment under weak-light situation.

  10. Hybrid thin films based on bilayer heterojunction of titania nanocrystals/polypyrrole/natural dyes (Kappaphycus alvarezii) materials

    Science.gov (United States)

    Ghazali, Salmah Mohd; Salleh, Hasiah; Dagang, Ahmad Nazri; Ghazali, Mohd Sabri Mohd; Ali, Nik Aziz Nik; Rashid, Norlaily Abdul; Kamarulzaman, Nurul Huda; Ahmad, Wan Almaz Dhafina Che Wan

    2017-09-01

    In this research, hybrid thin films which consist of a combination of organic red seaweed (RS) (Kappaphycus alvarezii) and polypyrrole (PPy) with inorganic titania nanocrystals (TiO2 NCs) materials were fabricated. These hybrid thin films were fabricated accordingly with bilayer heterojunction of ITO/TiO2 NCs/PPy/RS via electrochemical method using Electrochemical Impedance Spectroscopy (EIS). The effect of number of scans (thickness) of titania on optical and electrical properties of hybrid thin films were studied. TiO2 NCs function as an electron acceptor and electronic conductor. Meanwhile, PPy acts as holes conductor and RS dye acts as a photosensitizer enhances the optical and electrical properties of the thin films. The UV absorption spectrum of TiO2 NCs, PPy and RS are characterized by UV-Visible spectroscopy, while the functional group of RS was characterized by Fourier transform infrared spectroscopy (FTIR). The UV-Vis spectra showed that TiO2 NCs, PPy and RS were absorbed over a wide range of light spectrum which were 200-300 nm, 300-900 nm and 250-900 nm; respectively. The FTIR spectra of the RS showed the presence of hydroxyl group which was responsible for a good sensitizer for these hybrid solar cells. The electrical conductivity of these hybrid thin films were measured by using four point probes. The electrical conductivity of ITO/ (1)TiO2 NCs/PPy/RS thin film under the radiation of 100 Wm-2 was 0.062 Scm-1, hence this hybrid thin films can be applied in solar cell application.

  11. Porous (001-faceted anatase TiO2 nanorice thin film for efficient dye-sensitized solar cell

    Directory of Open Access Journals (Sweden)

    Shah Athar Ali

    2016-01-01

    Full Text Available Anatase TiO2 structures with nanorice-like morphology and high exposure of (001 facet has been successfully synthesized on an ITO surface using ammonium Hexafluoro Titanate and Hexamethylenetetramine as precursor and capping agent, respectively, under a microwave-assisted liquid-phase deposition method. These anatase TiO2 nanoparticles were prepared within five minutes of reaction time by utilizing an inverter microwave system at a normal atmospheric pressure. The morphology and the size (approximately from 6 to 70 nm of these nanostructures can be controlled. Homogenous, porous, 5.64 ± 0.002 μm thick layer of spongy-nanorice with facets (101 and (001 was grown on ITO substrate and used as a photo-anode in a dye-sensitized solar cell (DSSC. This solar cell device has emerged out with 4.05 ± 0.10% power conversion efficiency (PCE and 72% of incident photon-to-current efficiency (IPCE under AM1.5 G illumination.

  12. Anti-fish bacterial pathogen effect of visible light responsive Fe3O4@TiO2 nanoparticles immobilized on glass using TiO2 sol–gel

    International Nuclear Information System (INIS)

    Yeh, N.; Lee, Y.C.; Chang, C.Y.; Cheng, T.C.

    2013-01-01

    This paper demonstrates a fish pathogen reduction procedure that uses TiO 2 sol–gel coating Fe 3 O 4 @TiO 2 powder on glass substrate. Such procedure can effectively relieve two constraints that haunt TiO 2 sterilization applications: 1) the need for UV for overcoming the wide band gap of pure TiO 2 and 2) the difficulty of its recovering from water for reuse. In the process, visible light responsive Fe 3 O 4 /TiO 2 nanoparticles are synthesized and immobilized on glass using TiO 2 sol–gel as the binder for fish bacterial pathogen disinfection test. After 3 h of visible light irradiation, the immobilized Fe 3 O 4 @TiO 2 's inhibition efficiencies for fish bacterial pathogen are, respectively, 50% for Edwardsiella tarda (BCRC 10670) and 23% for Aeromonas hydrophila (BCRC 13018)

  13. A Simple Method to Functionalize the Surface of Plasma Electrolytic Oxidation Produced TiO2 Coatings for Growing Hydroxyapatite

    International Nuclear Information System (INIS)

    Teng, Huan-Ping; Yang, Chia-Jung; Lin, Jia-Fu; Huang, Yu-Hsin; Lu, Fu-Hsing

    2016-01-01

    Highlights: • TiO 2 coatings with porous surfaces were produced by plasma electrolytic oxidation. • Simple pre-immersion in K 2 HPO 4 could functionalize the surfaces of the TiO 2 . • Such pre-immersion enhanced substantially the growth of hydroxyapatite in SBF. • Growth mechanisms of hydroxyapatite via the pre-immersion have been proposed. • MTT assay shows great osteoblast-like cell activity on the obtained hydroxyapatite. - Abstract: Conventionally, hydrothermal treatment was often used to modify the TiO 2 surface prior to the growth of hydroxyapatite (HA) that is one of the most important implant biomaterials. In this work, a simple pre-immersion of the obtained TiO 2 in a weak base, instead of the conventionally high pressure-temperature hydrothermal pre-treatment, was conducted prior to the growth of HA. Firstly, anatase TiO 2 coatings with porous surfaces were produced by plasma electrolytic oxidation with optimized processing parameters. X-ray diffraction patterns and field-emission microscopy reveal that the anatase TiO 2 films with porous surfaces were produced by plasma electrolytic oxidation. Subsequently, the films were pre-immersed in 0.1–2 M K 2 HPO 4 solutions for only 10 min. Fourier transform infrared spectroscopy shows that the −OH functional groups were generated after such pre-immersion, which could enhance significantly the growth of a single phase of HA in simulated body fluid (SBF). Growth mechanisms of HA via the pre-immersion treatment and soaking in SBF have been proposed. Moreover, the proliferation rate and attachment of the MG-63 osteoblast cells were greatly enhanced on the obtained HA compared to that without the immersion pre-treatment from the MTT assay and morphology analyses. This simple immersion pre-treatment evidently provides an easy route for the growth of HA and has great potential for biomedical applications.

  14. Improved hydrogen storage properties of MgH2 catalyzed with TiO2

    Science.gov (United States)

    Jangir, Mukesh; Meena, Priyanka; Jain, I. P.

    2018-05-01

    In order to improve the hydrogenation properties of the MgH2, various concentration of rutile Titanium Oxide (TiO2) (X wt%= 5, 10, 15 wt %) is added to MgH2 by ball milling and the catalytic effect of TiO2 on hydriding/dehydriding properties of MgH2 has been investigated. Result shows that the TiO2 significantly reduced onset temperature of desorption. Onset temperature as low as 190 °C were observed for the MgH2-15 wt% TiO2 sample which is 60 °C and 160 °C lower than the as-milled and as-received MgH2. Fromm the Kissinger plot the activation energy of 15 wt% TiO2 added sample is calculated to be -75.48 KJ/mol. These results indicate that the hydrogenation properties of MgH2-TiO2 have been improved compared to the as-milled and as-received MgH2. Furthermore, XRD and XPS were performed to characterize the structural evolution upon milling and dehydrogenation.

  15. Ultra thin layer activation by recoil implantation of radioactive heavy ions. Applicability in wear and corrosion studies

    International Nuclear Information System (INIS)

    Lacroix, O.; Sauvage, T.; Blondiaux, G.; Guinard, L.

    1997-07-01

    A new calibration procedure is proposed for the application of recoil implantation of radioactive heavy ions (energies between a few hundred keV and a few MeV) into the near surface of materials as part of a research programme on sub-micrometric wear or corrosion phenomena. The depth profile of implanted radioelements is performed by using ultra thin deposited films obtained by cathode sputtering under argon plasma. Two curves for 56 Co ion in nickel have been determined for implantation depths of 110 and 200 nm, respectively, and stress the feasibility and reproducibility of this method for such activated depths. The achieved surface loss detection sensitivities are about 1 and 2 nm respectively. The on line detection mode is performed directly on the sample of interest. A general description of the method is presented. A study of the reaction kinematics followed by a general treatment on the irradiation parameters to be adopted are also developed with the intention of using the ultra thin layer activation method (UTLA) to further applications in research and industry. (author)

  16. Study of Optical Humidity Sensing Properties of Sol-Gel Processed TiO2 and MgO Films

    Directory of Open Access Journals (Sweden)

    B. C. Yadav

    2007-04-01

    Full Text Available Paper reports a comparative study of humidity sensing properties of TiO2 and MgO films fabricated by Sol-gel technique using optical method. One sensing element of the optical humidity sensor presented here consists of rutile structured two-layered TiO2 thin film deposited on the base of an isosceles glass prism. The other sensing element consists of a film of MgO deposited by same technique on base of the prism. Light from He-Ne laser enters prism from one of refracting faces of the prism and gets reflected from the glass-film interface, before emerging out from its other isosceles face. This emergent beam is allowed to pass through an optical fiber. Light coming out from the optical fiber is measured with an optical power meter. Variations in the intensity of light caused by changes in humidity lying in the range 5%RH to 95%RH have been recorded. MgO film shows better sensitivity than TiO2 film.

  17. TiO2-SnS2 nanocomposites: solar-active photocatalytic materials for water treatment.

    Science.gov (United States)

    Kovacic, Marin; Kusic, Hrvoje; Fanetti, Mattia; Stangar, Urska Lavrencic; Valant, Matjaz; Dionysiou, Dionysios D; Bozic, Ana Loncaric

    2017-08-01

    The study is aimed at evaluating TiO 2 -SnS 2 composites as effective solar-active photocatalysts for water treatment. Two strategies for the preparation of TiO 2 -SnS 2 composites were examined: (i) in-situ chemical synthesis followed by immobilization on glass plates and (ii) binding of two components (TiO 2 and SnS 2 ) within the immobilization step. The as-prepared TiO 2 -SnS 2 composites and their sole components (TiO 2 or SnS 2 ) were inspected for composition, crystallinity, and morphology using Fourier transform infrared spectroscopy (FTIR), thermogravimetric analysis (TGA), X-ray diffraction (XRD), and scanning electron microscopy/energy-dispersive X-ray spectroscopy (SEM/EDX) analyses. Diffuse reflectance spectroscopy (DRS) was used to determine band gaps of immobilized TiO 2 -SnS 2 and to establish the changes in comparison to respective sole components. The activity of immobilized TiO 2 -SnS 2 composites was tested for the removal of diclofenac (DCF) in aqueous solution under simulated solar irradiation and compared with that of single component photocatalysts. In situ chemical synthesis yielded materials of high crystallinity, while their morphology and composition strongly depended on synthesis conditions applied. TiO 2 -SnS 2 composites exhibited higher activity toward DCF removal and conversion in comparison to their sole components at acidic pH, while only in situ synthesized TiO 2 -SnS 2 composites showed higher activity at neutral pH.

  18. Oriented epitaxial TiO2 nanowires for water splitting

    Science.gov (United States)

    Hou, Wenting; Cortez, Pablo; Wuhrer, Richard; Macartney, Sam; Bozhilov, Krassimir N.; Liu, Rong; Sheppard, Leigh R.; Kisailus, David

    2017-06-01

    Highly oriented epitaxial rutile titanium dioxide (TiO2) nanowire arrays have been hydrothermally grown on polycrystalline TiO2 templates with their orientation dependent on the underlying TiO2 grain. Both the diameter and areal density of the nanowires were tuned by controlling the precursor concentration, and the template surface energy and roughness. Nanowire tip sharpness was influenced by precursor solubility and diffusivity. A new secondary ion mass spectrometer technique has been developed to install additional nucleation sites in single crystal TiO2 templates and the effect on nanowire growth was probed. Using the acquired TiO2 nanowire synthesis knowhow, an assortment of nanowire arrays were installed upon the surface of undoped TiO2 photo-electrodes and assessed for their photo-electrochemical water splitting performance. The key result obtained was that the presence of short and dispersed nanowire arrays significantly improved the photocurrent when the illumination intensity was increased from 100 to 200 mW cm-2. This is attributed to the alignment of the homoepitaxially grown nanowires to the [001] direction, which provides the fastest charge transport in TiO2 and an improved pathway for photo-holes to find water molecules and undertake oxidation. This result lays a foundation for achieving efficient water splitting under conditions of concentrated solar illumination.

  19. A thick hierarchical rutile TiO2 nanomaterial with multilayered structure

    International Nuclear Information System (INIS)

    Zhu, Shengli; Xie, Guoqiang; Yang, Xianjin; Cui, Zhenduo

    2013-01-01

    Highlights: ► We synthesized a new rutile TiO 2 nanomaterial with a hierarchical nanostructure. ► The nano architecture structure consist of nanorods and nanoflower arrays. ► The rutile TiO 2 nanomaterial is thick in size (several 10 μm). ► The TiO 2 nanomaterials present a multilayer structure. - Abstract: In the present paper, we synthesized a new type of rutile TiO 2 nanomaterial with a hierarchical nanostructure using a novel method, which combined dealloying process with chemical synthesis. The structure characters were examined using X-ray diffraction, scanning electron microscopy and transmission electron microscopy. The rutile TiO 2 nanomaterial is thick in size (several 10 μm). The hierarchical structure of the rutile TiO 2 nanomaterial consists of large quantities nanorods and nanoflower arrays. The nanoflowers consist of serveral nanopetals with diameter of 100–200 nm. The cross section of TiO 2 nanomaterials presents a multilayer structure with the layer thickness of about 3–5 μm. The rutile TiO 2 nanomaterial has high specific surface area. The formation mechanism of the rutile TiO 2 nanomaterial was discussed according to the experimental results. The rutile TiO 2 nanomaterial has potential applications in catalysis, photocatalysis and solar cells

  20. Heterogeneous photocatalytic degradation of toluene in static environment employing thin films of nitrogen-doped nano-titanium dioxide

    Science.gov (United States)

    Kannangara, Yasun Y.; Wijesena, Ruchira; Rajapakse, R. M. G.; de Silva, K. M. Nalin

    2018-04-01

    Photocatalytic semiconductor thin films have the ability to degrade volatile organic compounds (VOCs) causing numerous health problems. The group of VOCs called "BTEX" is abundant in houses and indoor of automobiles. Anatase phase of TiO2 has a band gap of 3.2 eV and UV radiation is required for photogeneration of electrons and holes in TiO2 particles. This band gap can be decreased significantly when TiO2 is doped with nitrogen (N-TiO2). Dopants like Pd, Cd, and Ag are hazardous to human health but N-doped TiO2 can be used in indoor pollutant remediation. In this research, N-doped TiO2 nano-powder was prepared and characterized using various analytical techniques. N-TiO2 was made in sol-gel method and triethylamine (N(CH2CH3)3) was used as the N-precursor. Modified quartz cell was used to measure the photocatalytic degradation of toluene. N-doped TiO2 nano-powder was illuminated with visible light (xenon lamp 200 W, λ = 330-800 nm, intensity = 1 Sun) to cause the degradation of VOCs present in static air. Photocatalyst was coated on a thin glass plate, using the doctor-blade method, was inserted into a quartz cell containing 2.00 µL of toluene and 35 min was allowed for evaporation/condensation equilibrium and then illuminated for 2 h. Remarkably, the highest value of efficiency 85% was observed in the 1 μm thick N-TiO2 thin film. The kinetics of photocatalytic degradation of toluene by N-TiO2 and P25-TiO2 has been compared. Surface topology was studied by varying the thickness of the N-TiO2 thin films. The surface nanostructures were analysed and studied with atomic force microscopy with various thin film thicknesses.

  1. Electrochemical characteristics of porous TiO2 encapsulated silicon anode

    International Nuclear Information System (INIS)

    Jeon, Bup Ju; Lee, Joong Kee

    2011-01-01

    Graphical abstract: Cycling performances of the TiO 2 coated silicon anode at different catalyst pH values. Display Omitted Highlights: → TiO 2 coated silicon was used as the anode material for lithium batteries. → TiO 2 layer acted as a buffer layer for reducing the volume expansion. → Pore size distribution of TiO 2 coated silicon influenced discharge capacity. → Higher capacity retention was exhibited at pH 10.7. - Abstract: TiO 2 coated silicon, which was prepared by the modified sol-gel method, was employed as the anode material for lithium secondary batteries and the relationship between the diffusivity and electrochemical characteristics was investigated. The results showed that the physical properties of the samples, such as their diffusivity and pore size distribution, enhanced the cycling efficiency of the TiO 2 coated silicon, probably due to the reduction of the side reactions, which may be closely related to the pore size distribution of the TiO 2 coating layer. The pore size of the coating layer plays an important role in retarding the lithium ion diffusion. In the experimental range studied herein, higher capacity retention was exhibited for the TiO 2 coated silicon prepared at pH 10.7.

  2. First-Principles Modeling of Polaron Formation in TiO2 Polymorphs.

    Science.gov (United States)

    Elmaslmane, A R; Watkins, M B; McKenna, K P

    2018-06-21

    We present a computationally efficient and predictive methodology for modeling the formation and properties of electron and hole polarons in solids. Through a nonempirical and self-consistent optimization of the fraction of Hartree-Fock exchange (α) in a hybrid functional, we ensure the generalized Koopmans' condition is satisfied and self-interaction error is minimized. The approach is applied to model polaron formation in known stable and metastable phases of TiO 2 including anatase, rutile, brookite, TiO 2 (H), TiO 2 (R), and TiO 2 (B). Electron polarons are predicted to form in rutile, TiO 2 (H), and TiO 2 (R) (with trapping energies ranging from -0.02 eV to -0.35 eV). In rutile the electron localizes on a single Ti ion, whereas in TiO 2 (H) and TiO 2 (R) the electron is distributed across two neighboring Ti sites. Hole polarons are predicted to form in anatase, brookite, TiO 2 (H), TiO 2 (R), and TiO 2 (B) (with trapping energies ranging from -0.16 eV to -0.52 eV). In anatase, brookite, and TiO 2 (B) holes localize on a single O ion, whereas in TiO 2 (H) and TiO 2 (R) holes can also be distributed across two O sites. We find that the optimized α has a degree of transferability across the phases, with α = 0.115 describing all phases well. We also note the approach yields accurate band gaps, with anatase, rutile, and brookite within six percent of experimental values. We conclude our study with a comparison of the alignment of polaron charge transition levels across the different phases. Since the approach we describe is only two to three times more expensive than a standard density functional theory calculation, it is ideally suited to model charge trapping at complex defects (such as surfaces and interfaces) in a range of materials relevant for technological applications but previously inaccessible to predictive modeling.

  3. Synthesis of Ag-TiO2 composite nano thin film for antimicrobial application

    Science.gov (United States)

    Yu, Binyu; Leung, Kar Man; Guo, Qiuquan; Lau, Woon Ming; Yang, Jun

    2011-03-01

    TiO2 photocatalysts have been found to kill cancer cells, bacteria and viruses under mild UV illumination, which offers numerous potential applications. On the other hand, Ag has long been proved as a good antibacterial material as well. The advantage of Ag-TiO2 nanocomposite is to expand the nanomaterial's antibacterial function to a broader range of working conditions. In this study neat TiO2 and Ag-TiO2 composite nanofilms were successfully prepared on silicon wafer via the sol-gel method by the spin-coating technique. The as-prepared composite Ag-TiO2 and TiO2 films with different silver content were characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS) to determine the topologies, microstructures and chemical compositions, respectively. It was found that the silver nanoparticles were uniformly distributed and strongly attached to the mesoporous TiO2 matrix. The morphology of the composite film could be controlled by simply tuning the molar ratio of the silver nitrate aqueous solution. XPS results confirmed that the Ag was in the Ag0 state. The antimicrobial effect of the synthesized nanofilms was carried out against gram-negative bacteria (Escherichia coli ATCC 29425) by using an 8 W UV lamp with a constant relative intensity of 0.6 mW cm - 2 and in the dark respectively. The synthesized Ag-TiO2 thin films showed enhanced bactericidal activities compared to the neat TiO2 nanofilm both in the dark and under UV illumination.

  4. Synthesis of Ag-TiO2 composite nano thin film for antimicrobial application

    International Nuclear Information System (INIS)

    Yu Binyu; Guo Qiuquan; Yang Jun; Leung, Kar Man; Lau, Woon Ming

    2011-01-01

    TiO 2 photocatalysts have been found to kill cancer cells, bacteria and viruses under mild UV illumination, which offers numerous potential applications. On the other hand, Ag has long been proved as a good antibacterial material as well. The advantage of Ag-TiO 2 nanocomposite is to expand the nanomaterial's antibacterial function to a broader range of working conditions. In this study neat TiO 2 and Ag-TiO 2 composite nanofilms were successfully prepared on silicon wafer via the sol-gel method by the spin-coating technique. The as-prepared composite Ag-TiO 2 and TiO 2 films with different silver content were characterized by scanning electron microscopy (SEM), atomic force microscopy (AFM), x-ray diffraction (XRD) and x-ray photoelectron spectroscopy (XPS) to determine the topologies, microstructures and chemical compositions, respectively. It was found that the silver nanoparticles were uniformly distributed and strongly attached to the mesoporous TiO 2 matrix. The morphology of the composite film could be controlled by simply tuning the molar ratio of the silver nitrate aqueous solution. XPS results confirmed that the Ag was in the Ag 0 state. The antimicrobial effect of the synthesized nanofilms was carried out against gram-negative bacteria (Escherichia coli ATCC 29425) by using an 8 W UV lamp with a constant relative intensity of 0.6 mW cm -2 and in the dark respectively. The synthesized Ag-TiO 2 thin films showed enhanced bactericidal activities compared to the neat TiO 2 nanofilm both in the dark and under UV illumination.

  5. Impedance spectroscopic and dielectric analysis of Ba0.7Sr0.3TiO3 thin films

    International Nuclear Information System (INIS)

    Rouahi, A.; Kahouli, A.; Sylvestre, A.; Defaÿ, E.; Yangui, B.

    2012-01-01

    Highlights: ► The material exhibits the contribution of both grain and grain boundaries in the electric response of Ba 0.7 Sr 0.3 TiO 3 . ► The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film. ► The frequency dependence of ac conductivity exhibits a polaron hopping mechanism with activation energy of 0.38 eV. ► The complex dielectric modulus analysis confirmed the presence of a non-Debye type of conductivity relaxation deduced from the KWW function. - Abstract: Polycrystalline Ba 0.7 Sr 0.3 TiO 3 thin film with Pt/BST/Pt/TiO 2 /SiO 2 structure was prepared by ion beam sputtering. The film was post annealed at 700 °C. The dielectric and electric modulus properties were studied by impedance spectroscopy over a wide frequency range [0.1–10 5 Hz] at different temperatures [175–350 °C]. The Nyquist plots (Z″ vs . Z′) show the contribution of both grain and grain boundaries at higher temperature on the electric response of BST thin films. Moreover, the resistance of grains decreases with the rise in temperature and the material exhibits a negative temperature coefficient of resistance. The electric modulus plot indicates the non-Debye type of dielectric relaxation. The values of the activation energy computed from both plots of Z″ and M″ are 0.86 eV and 0.81 eV respectively, which reveals that the species responsible for conduction are the same. The scaling behavior of M ″ /M ″ max shows the temperature independent nature of relaxation time. The plot of normalized complex dielectric modulus and impedance as a function of frequency exhibits both short and long-range conduction in the film.

  6. High pressure synthesis of amorphous TiO2 nanotubes

    Directory of Open Access Journals (Sweden)

    Quanjun Li

    2015-09-01

    Full Text Available Amorphous TiO2 nanotubes with diameters of 8-10 nm and length of several nanometers were synthesized by high pressure treatment of anatase TiO2 nanotubes. The structural phase transitions of anatase TiO2 nanotubes were investigated by using in-situ high-pressure synchrotron X-ray diffraction (XRD method. The starting anatase structure is stable up to ∼20GPa, and transforms into a high-density amorphous (HDA form at higher pressure. Pressure-modified high- to low-density transition was observed in the amorphous form upon decompression. The pressure-induced amorphization and polyamorphism are in good agreement with the previous results in ultrafine TiO2 nanoparticles and nanoribbons. The relationship between the LDA form and α-PbO2 phase was revealed by high-resolution transmission electron microscopy (HRTEM study. In addition, the bulk modulus (B0 = 158 GPa of the anatase TiO2 nanotubes is smaller than those of the corresponding bulks and nanoparticles (180-240 GPa. We suggest that the unique open-ended nanotube morphology and nanosize play important roles in the high pressure phase transition of TiO2 nanotubes.

  7. Modification of the microstructure and electronic properties of rutile TiO_2 thin films with 79 MeV Br ion irradiation

    International Nuclear Information System (INIS)

    Rath, Haripriya; Dash, P.; Singh, U.P.; Avasthi, D.K.; Kanjilal, D.; Mishra, N.C.

    2015-01-01

    Modifications induced by 79 MeV Br ions in rutile titanium dioxide thin films, synthesized by dc magnetron sputtering are presented. Irradiations did not induce any new XRD peak corresponding to any other phase. The area and the width of the XRD peaks were considerably affected by irradiation, and peaks shifted to lower angles. But the samples retained their crystallinity at the highest fluence (1 × 10"1"3 ions cm"−"2) of irradiation even though the electronic energy loss of 79 MeV Br ions far exceeds the reported threshold value for amorphization of rutile TiO_2. Fitting of the fluence dependence of the XRD peak area to Poisson equation yielded the radius of ion tracks as 2.4 nm. Ion track radius obtained from the simulation based on the thermal spike model matches closely with that obtained from the fluence dependence of the area under XRD peaks. Williamson–Hall analysis of the XRD spectra indicated broadening and shifting of the peaks are a consequence of irradiation induced defect accumulation leading to microstrains, as was also indicated by Raman and UV–Visible absorption study.

  8. Effect of nitrogen ion implantation on the structural and optical properties of indium oxide thin films

    Energy Technology Data Exchange (ETDEWEB)

    Sethi, Riti; Aziz, Anver; Siddiqui, Azher M., E-mail: amsiddiqui@jmi.ac.in [Department of Physics, Jamia Millia Islamia, New Delhi-110025 (India); Kumar, Pravin [Inter University Accelerator Center, Aruna Asaf Ali Marg, New Delhi-110067 (India); Khan, Sameen Ahmed [Department of Mathematics and Sciences, College of Arts and Applied Sciences (CAAS) Dhofar University, Salalah, Sultanate of Oman (Oman)

    2016-06-10

    : We report here synthesis and subsequent nitrogen ion implantation of indium oxide (In{sub 2}O{sub 3}) thin films. The films were implanted with 25 keV N{sup +} beam for different ion doses between 3E15 to 1E16 ions/cm{sup 2}. The resulting changes in structural and optical properties were investigated using XRD, SEM-EDAX and UV-Vis Spectrometry. XRD studies reveal decrease in crystallite size from 20.06 to 12.42 nm with increase in ion dose. SEM micrographs show an increase in the grain size from 0.8 to 1.35 µm with increase in ion dose because of the agglomeration of the grains. Also, from EDAX data on pristine and N-implanted thin films the presence of indium and oxygen without any traces of impurity elements could be seen. However, at lower ion doses such as 3E15 and 5E15 ions/cm{sup 2}, no evidence of the presence of nitrogen ion was seen. However, for the ion dose of 1E16 ions/cm{sup 2}, evidence of presence of nitrogen can be seen in the EDAX data. Band gap calculations reveal a decrease in band gap from 3.54 to 3.38 eV with increasing ion dose. However, the band gap was found to again show an increase to 3.58 eV at the highest ion dose owing to quantum confinement effect.

  9. Design and fabrication of ZnO/TiO2-based thin-film inverter circuits using solution processing techniques

    International Nuclear Information System (INIS)

    Liau, Leo Chau-Kuang; Kuo, Juo-Wei; Chiang, Hsin-Ni

    2012-01-01

    Novel and cost-effective ceramic-based thin-film inverter circuits, based on two layers of TiO 2 and ZnO films to construct junction field-effect transistors (FETs), were designed and fabricated by solution coating techniques. The double layers of the sol–gel ZnO and TiO 2 films were coated and characterized as a diode according to the current–voltage performance. Two types of FETs, the p-channel (p-FET) and the n-channel (n-FET) devices, were produced using different coating sequences of ZnO and TiO 2 layers. Both of the transistor performances were evaluated by analyzing the source–drain current versus voltage (I ds –V ds ) data with the control of the gate voltage (V g ). The ZnO/TiO 2 -based inverter circuits, such as the complementary-FET device, were further fabricated using the integration of the p-FET and the n-FET. The voltage transfer characteristics of the inverters were estimated by the tests of the input voltage (V in ) versus the output voltage (V out ) for the thin-film inverter circuits. (paper)

  10. Reflectance spectroscopy from TiO2 particles embedded in polyurethane

    DEFF Research Database (Denmark)

    Gudla, Visweswara Chakravarthy; Canulescu, Stela; Johansen, Villads Egede

    2013-01-01

    This paper presents the results of a physical simulation carried out using TiO2-Polyurethane composite coating on bright aluminium surface to understand the light scattering effect for designing white surfaces. Polyurethane matrix is selected due to the matching refractive index (1.7) with Al2O3...... layer on anodized aluminium surfaces. Three different TiO2 particle distributions were dispersed in polyurethane and spin coated onto high gloss and caustic etched aluminium substrates. Reflectance spectra of TiO2-polyurethane films of various concentrations were analysed using an integrating sphere....... The results show that the TiO2-polyurethane coatings have a high diffuse reflectance as a result of multiple scattering from TiO2 particles. Diffuse reflectance spectra of TiO2 containing films vary weakly with particle concentration and reach a steady state value at a concentration of 0.75 wt.%. Using...

  11. Nanoscale TiO2 and Fe2O3 Architectures for Solar Energy Conversion Schemes

    Science.gov (United States)

    Sedach, Pavel Anatolyvich

    The direct conversion of sunlight into more useable forms of energy has the potential of alleviating the environmental and social problems associated with a dependence on fossil fuels. If solar energy is to be utilized en-masse, however, it must be inexpensive and widely available. In this vein, the focus of this thesis is on nanostructured materials relevant to solar energy conversion and storage. Specifically, this thesis describes the ambient sol-gel synthesis of titanium dioxide (Ti02) nanowires designed for enhanced charge-transfer in solar collection devices, and the synthesis of novel disordered metal-oxide (MOx) catalysts for water oxidation. The introductory chapter of this thesis gives an overview of the various approaches to solar energy conversion. Sol---gel reaction conditions that enable the growth of one-dimensional (1-D) anatase TiO2 nanostructures from fluorine-doped tin oxide (FTO) for photovoltaics (PVs) are described in the second chapter. The generation of these linear nanostructures in the absence of an external bias or template is achieved by using facile experimental conditions (e.g., acetic acid (HOAc) and titanium isopropoxide (Ti(OiPr)4) in anhydrous heptane). The procedure was developed by functionalizing base-treated substrates with Ti-oxide nucleation sites that serve as a foundation for the growth of linear Ti-oxide macromolecules, which upon calcination, render uniform films of randomly oriented anatase TiO2 nanowires. A systematic evaluation of how reaction conditions (e.g., solvent volume, stoichiometry of reagents, substrate base treatment) affect the generation of these TiO 2 films is presented. A photo-organic MO. deposition route (i.e., photochemical metal-organic deposition (PMOD)) used to deposit thin-films of amorphous iron oxide (a-Fe2O3) for water oxidation catalysis is detailed in third chapter. It is shown that the irradiation of a spin-coated metal-organic film produces a film of non-crystalline a-Fe203. It is shown

  12. Electrodeposition of flake-like Cu_2O on vertically aligned two-dimensional TiO_2 nanosheet array films for enhanced photoelectrochemical properties

    International Nuclear Information System (INIS)

    Yang, Lei; Zhang, Miao; Zhu, Kerong; Lv, Jianguo; He, Gang; Sun, Zhaoqi

    2017-01-01

    Highlights: • Flake-like Cu_2O/TNS with exposed {001} facets constructed p-n heterostructure. • The TNS arrays were used as starting substrates for Cu_2O growth. • The Cu_2O/TNS prepared at −0.4 V exhibits the best photoelectrochemical property. - Abstract: A novel Cu_2O/TNS composite structure of single crystal TiO_2 nanosheet (TNS) arrays decorated with flake-like Cu_2O were synthesized by a facile hydrothermal reaction followed by the electrodeposition process. The effects of deposition potential on the microstructure, morphology, and optical property of the thin films were investigated by X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM) and UV–vis spectrophotometer. When the deposition potential is higher than −0.4 V, peaks corresponding to Cu appear, meanwhile, flake-like Cu_2O become agglomerating, and transform into dense Cu_2O particles. Additionally, photoelectrochemical experiments indicate that the films deposited at −0.4 V show the lowest resistivity and highest exciton separation efficiency. This enhanced photoelectrochemical properties can be explained by synergistic effect of p-type flake-like Cu_2O and n-type TiO_2 heterojunctions combined with two-dimensional TiO_2 nanosheet with exposed highly reactive {001} facets.

  13. The double peaks and symmetric path phenomena in the catalytic activity of Pd/Al2O3-TiO2 catalysts with different TiO2 contents

    Science.gov (United States)

    Zhang, Shen; Guo, Yuyu; Li, Xingying; Wu, Xu; Li, Zhe

    2018-06-01

    Physicochemical properties of Pd/Al2O3-TiO2 catalysts with different amounts of TiO2 contents were investigated by XRD, nitrogen adsorption-desorption, FTIR, NH3-TPD, H2-TPR and XPS techniques. Catalysts of different compositions were tested in the ethanol oxidation reaction to study the effects of TiO2 contents. Double peaks and symmetric path phenomena were observed at certain temperatures with the increase in TiO2 contents. The symmetric peak phenomena and the diverse activity fluctuations have been ascribed to the controlling factors such as temperature and compositions. With the increase in TiO2 content, the surface area, adsorbed oxygen contents and surface acid quantity decreased gradually. The large surface area and adsorbed oxygen contents were conducive to the performance, while increased acid amounts were not beneficial for ethanol oxidation. At 150 and 175 °C, Pd/AT(X1

  14. ??????????? ??????????????? ????? ??????-???????? ????????????? ?????????? ??????? ?aO?Al2O3?TiO2 ??? ???????? ?????? ?????

    OpenAIRE

    ???????, ????; ??????, ?????????

    2011-01-01

    ? ????? ?????? ?????????? ???????? ?????????????? ??????????? ????????????? ??? ??????-????????? ???????????????? ?????????? ??????? ?aO?Al2O3?TiO2, ?? ???????? ??????? ? ???????????? ??????? ??? ???????? ? ?????? ????????? ?????? ?????. ???????? ?????????? ???????? ??? ??????????? ?????????? ??????? ????????? ???????????? ?????????? ??? ??????????? 12000?, ?? ????????? ?????????????? ????????????? ???????, ????????? ???? ? ?????????? ????? ???????? ??????? ???????????. ????????, ?? ?? ...

  15. Polaron variable range hopping in TiO2-δ(-0.04=<δ=<0.2) thin films

    International Nuclear Information System (INIS)

    Heluani, S.P.; Comedi, D.; Villafuerte, M.; Juarez, G.

    2007-01-01

    The mechanisms of electrical conduction in TiO 2-δ (-0.04= 2 +Ar gas atmospheres where changes in δ and film structure had been achieved by varying the O 2 flow rate and the substrate temperature. The electrical transport properties of these samples were investigated by measuring the conductivity as a function of temperature between 17K and room temperature. At the temperature range between 200 and 290K the best fit to the experimental data was obtained assuming a dependence characteristic of adiabatic variable range hopping. At lower temperature the activation energy for the conductivity tends to zero. The results suggest that the conduction mechanism is adiabatic small polaron hopping, which switches to conduction in a polaron band at low temperatures

  16. Damage evolution in Xe-ion irradiated rutile (TiO2) single crystals

    International Nuclear Information System (INIS)

    Li, F.; Sickafus, K.E.; Evans, C.R.; Nastasi, M.

    1999-01-01

    Rutile (TiO 2 ) single crystals with (110) orientation were irradiated with 360 keV Xe 2+ ions at 300 K to fluences ranging from 2 x 10 19 to 1 x 10 20 Xe/m 2 . Irradiated samples were analyzed using: (1) Rutherford backscattering spectroscopy combined with ion channeling analysis (RBS/C); and (2) cross-sectional transmission electron microscopy (XTEM). Upon irradiation to a fluence of 2 x 10 19 Xe/m 2 , the sample thickness penetrated by the implanted ions was observed to consist of three distinct layers: (1) a defect-free layer at the surface (thickness about 12 nm) exhibiting good crystallinity; (2) a second layer with a low density of relatively large-sized defects; and (3) a third layer consisting of a high concentration of small defects. After the fluence was increased to 7 x 10 19 Xe/m 2 , a buried amorphous layer was visible by XTEM. The thickness of the amorphous layer was found to increase with increasing Xe ion fluence. The location of this buried amorphous layer was found to coincide with the measured peak in the Xe concentration (measured by RBS/C), rather than with the theoretical maximum in the displacement damage profile. This observation suggests the implanted Xe ions may serve as nucleation sites for the amorphization transformation. The total thickness of the damaged microstructure due to ion irradiation was always found to be much greater than the projected range of the Xe ions. This is likely due to point defect migration under the high stresses induced by ion implantation

  17. Evaluating the Critical Thickness of TiO 2 Layer on Insulating Mesoporous Templates for Efficient Current Collection in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar; Comte, Pascal; Humphry-Baker, Robin; Kessler, Florian; Yi, Chenyi; Nazeeruddin, Md. Khaja; Grä tzel, Michael

    2013-01-01

    In this paper, a way of utilizing thin and conformal overlayer of titanium dioxide on an insulating mesoporous template as a photoanode for dye-sensitized solar cells is presented. Different thicknesses of TiO2 ranging from 1 to 15 nm are deposited on the surface of the template by atomic layer deposition. This systematic study helps unraveling the minimum critical thickness of the TiO2 overlayer required to transport the photogenerated electrons efficiently. A merely 6-nm-thick TiO2 film on a 3-μm mesoporous insulating substrate is shown to transport 8 mA/cm 2 of photocurrent density along with ≈900 mV of open-circuit potential when using our standard donor-π-acceptor sensitizer and Co(bipyridine) redox mediator. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Evaluating the Critical Thickness of TiO 2 Layer on Insulating Mesoporous Templates for Efficient Current Collection in Dye-Sensitized Solar Cells

    KAUST Repository

    Chandiran, Aravind Kumar

    2013-01-15

    In this paper, a way of utilizing thin and conformal overlayer of titanium dioxide on an insulating mesoporous template as a photoanode for dye-sensitized solar cells is presented. Different thicknesses of TiO2 ranging from 1 to 15 nm are deposited on the surface of the template by atomic layer deposition. This systematic study helps unraveling the minimum critical thickness of the TiO2 overlayer required to transport the photogenerated electrons efficiently. A merely 6-nm-thick TiO2 film on a 3-μm mesoporous insulating substrate is shown to transport 8 mA/cm 2 of photocurrent density along with ≈900 mV of open-circuit potential when using our standard donor-π-acceptor sensitizer and Co(bipyridine) redox mediator. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  19. Surface properties of nanocrystalline TiO2 coatings in relation to the in vitro plasma protein adsorption

    International Nuclear Information System (INIS)

    Lorenzetti, M; Kobe, S; Novak, S; Bernardini, G; Santucci, A; Luxbacher, T

    2015-01-01

    This study reports on the selective adsorption of whole plasma proteins on hydrothermally (HT) grown TiO 2 -anatase coatings and its dependence on the three main surface properties: surface charge, wettability and roughness. The influence of the photo-activation of TiO 2 by UV irradiation was also evaluated. Even though the protein adhesion onto Ti-based substrates was only moderate, better adsorption of any protein (at pH = 7.4) occurred for the most negatively charged and hydrophobic substrate (Ti non-treated) and for the most nanorough and hydrophilic surface (HT Ti3), indicating that the mutual action of the surface characteristics is responsible for the attraction and adhesion of the proteins. The HT coatings showed a higher adsorption of certain proteins (albumin ‘passivation’ layer, apolipoproteins, vitamin D-binding protein, ceruloplasmin, α-2-HS-glycoprotein) and higher ratios of albumin to fibrinogen and albumin to immunoglobulin γ-chains. The UV pre-irradiation affected the surface properties and strongly reduced the adsorption of the proteins. These results provide in-depth knowledge about the characterization of nanocrystalline TiO 2 coatings for body implants and provide a basis for future studies on the hemocompatibility and biocompatibility of such surfaces. (paper)

  20. New Cu-based catalysts supported on TiO2 films for Ullmann SnAr-type C-O coupling reactions

    NARCIS (Netherlands)

    Benaskar, F.; Engels, V.; Rebrov, E.; Patil, N.G.; Meuldijk, J.; Thuene, P.C.; Magusin, P.C.M.M.; Mezari, B.; Hessel, V.; Hulshof, L.A.; Hensen, E.J.M.; Wheatley, A.E.H.; Schouten, J.C.

    2012-01-01

    New routes for the preparation of highly active TiO2-supported Cu and CuZn catalysts have been developed for CO coupling reactions. Slurries of a titania precursor were dip-coated onto glass beads to obtain either structured mesoporous or non-porous titania thin films. The Cu and CuZn nanoparticles,