WorldWideScience

Sample records for ic chip stress

  1. Solid state silicon based condenser microphone for hearing aid, has transducer chip and IC chip between intermediate chip and openings on both sides of intermediate chip, to allow sound towards diaphragm

    DEFF Research Database (Denmark)

    2000-01-01

    towards diaphragm. Surface of the chip (2) has electrical conductors (14) to connect chip with IC chip (3). USE - For use in miniature electroacoustic devices such as hearing aid. ADVANTAGE - Since sound inlet is covered by filter, dust, moisture and other impurities do not obstruct interior and sound...... inlet of microphone. External electrical connection can be made economically reliable and the thermal stress is avoided with the small size solid state silicon based condenser microphone....

  2. A contact-lens-shaped IC chip technology

    International Nuclear Information System (INIS)

    Liu, Ching-Yu; Yang, Frank; Teng, Chih-Chiao; Fan, Long-Sheng

    2014-01-01

    We report on novel contact-lens-shaped silicon integrated circuit chip technology for applications such as forming a conforming retinal prosthesis. This is achieved by means of patterning thin films of high residual stress on top of a shaped thin silicon substrate. Several strategies are employed to achieve curvatures of various amounts. Firstly, high residual stress on a thin film makes a thin chip deform into a designed three-dimensional shape. Also, a series of patterned stress films and ‘petal-shaped’ chips were fabricated and analyzed. Large curvatures can also be formed and maintained by the packaging process of bonding the chips to constraining elements such as thin-film polymer ring structures. As a demonstration, a complementary metal oxide semiconductor transistor (CMOS) image-sensing retina chip is made into a contact-lens shape conforming to a human eyeball 12.5 mm in radius. This non-planar and flexible chip technology provides a desirable device surface interface to soft tissues or non-planar bio surfaces and opens up many other possibilities for biomedical applications. (paper)

  3. Experimental and theoretical analysis of integrated circuit (IC) chips on flexible substrates subjected to bending

    Science.gov (United States)

    Chen, Ying; Yuan, Jianghong; Zhang, Yingchao; Huang, Yonggang; Feng, Xue

    2017-10-01

    The interfacial failure of integrated circuit (IC) chips integrated on flexible substrates under bending deformation has been studied theoretically and experimentally. A compressive buckling test is used to impose the bending deformation onto the interface between the IC chip and the flexible substrate quantitatively, after which the failed interface is investigated using scanning electron microscopy. A theoretical model is established based on the beam theory and a bi-layer interface model, from which an analytical expression of the critical curvature in relation to the interfacial failure is obtained. The relationships between the critical curvature, the material, and the geometric parameters of the device are discussed in detail, providing guidance for future optimization flexible circuits based on IC chips.

  4. OSL signal of IC chips from mobile phones for dose assessment in accidental dosimetry

    International Nuclear Information System (INIS)

    Mrozik, A.; Marczewska, B.; Bilski, P.; Książek, M.

    2017-01-01

    The rapid assessment of the radiation dose is very important for the prediction of biological effects after unintended exposition. The materials for use as dosimeters in accidental dosimetry should be everyday objects which are usually placed near the human body, for example mobile phones. IC (Integrated Circuit) chip is one of several electronic components of mobile phones which give a luminescent signal. The measurements of samples from different mobile phones and smartphones were conducted by optically stimulated luminescence (OSL) and thermoluminescence (TL) methods. The OSL measurement was performed in two ways: with readouts at room temperature and at 100 °C. This work is focused on determination of OSL dose response of IC chips, minimum detectable dose (MDD), OSL signal stability in the time after the exposition, its repeatability and sensitivity to light. Several tests of the assessment of unknown doses were also conducted. The readouts at 100 °C indicate the reducing of the fading of OSL signal in the first hours after irradiation in comparison with room temperature readouts. The obtained results showed relatively good dosimetric properties of IC chips: their high sensitivity to the ionizing radiation, linear dose response up to 10 Gy and a good reproducibility of OSL signal which can allow the dose recovery of doses less than 2 Gy in 14 days after an incident with the accuracy better than 25%. The fading is a drawback of IC chips and the fading factor should be considered when calculating the dose. - Highlights: • IC chips from smartphones demonstrated high potential for accidental dosimetry. • Minimum detectable dose was estimated as a value of 50 mGy. • Samples showed linear dose response for the dose range from 0.05 Gy up to 10 Gy.

  5. A Single-Chip Solar Energy Harvesting IC Using Integrated Photodiodes for Biomedical Implant Applications.

    Science.gov (United States)

    Chen, Zhiyuan; Law, Man-Kay; Mak, Pui-In; Martins, Rui P

    2017-02-01

    In this paper, an ultra-compact single-chip solar energy harvesting IC using on-chip solar cell for biomedical implant applications is presented. By employing an on-chip charge pump with parallel connected photodiodes, a 3.5 × efficiency improvement can be achieved when compared with the conventional stacked photodiode approach to boost the harvested voltage while preserving a single-chip solution. A photodiode-assisted dual startup circuit (PDSC) is also proposed to improve the area efficiency and increase the startup speed by 77%. By employing an auxiliary charge pump (AQP) using zero threshold voltage (ZVT) devices in parallel with the main charge pump, a low startup voltage of 0.25 V is obtained while minimizing the reversion loss. A 4 V in gate drive voltage is utilized to reduce the conduction loss. Systematic charge pump and solar cell area optimization is also introduced to improve the energy harvesting efficiency. The proposed system is implemented in a standard 0.18- [Formula: see text] CMOS technology and occupies an active area of 1.54 [Formula: see text]. Measurement results show that the on-chip charge pump can achieve a maximum efficiency of 67%. With an incident power of 1.22 [Formula: see text] from a halogen light source, the proposed energy harvesting IC can deliver an output power of 1.65 [Formula: see text] at 64% charge pump efficiency. The chip prototype is also verified using in-vitro experiment.

  6. In-situ volumetric topography of IC chips for defect detection using infrared confocal measurement with active structured light

    International Nuclear Information System (INIS)

    Chen, Liang-Chia; Le, Manh-Trung; Phuc, Dao Cong; Lin, Shyh-Tsong

    2014-01-01

    The article presents the development of in-situ integrated circuit (IC) chip defect detection techniques for automated clipping detection by proposing infrared imaging and full-field volumetric topography. IC chip inspection, especially held during or post IC packaging, has become an extremely critical procedure in IC fabrication to assure manufacturing quality and reduce production costs. To address this, in the article, microscopic infrared imaging using an electromagnetic light spectrum that ranges from 0.9 to 1.7 µm is developed to perform volumetric inspection of IC chips, in order to identify important defects such as silicon clipping, cracking or peeling. The main difficulty of infrared (IR) volumetric imaging lies in its poor image contrast, which makes it incapable of achieving reliable inspection, as infrared imaging is sensitive to temperature difference but insensitive to geometric variance of materials, resulting in difficulty detecting and quantifying defects precisely. To overcome this, 3D volumetric topography based on 3D infrared confocal measurement with active structured light, as well as light refractive matching principles, is developed to detect defects the size, shape and position of defects in ICs. The experimental results show that the algorithm is effective and suitable for in-situ defect detection of IC semiconductor packaging. The quality of defect detection, such as measurement repeatability and accuracy, is addressed. Confirmed by the experimental results, the depth measurement resolution can reach up to 0.3 µm, and the depth measurement uncertainty with one standard deviation was verified to be less than 1.0% of the full-scale depth-measuring range. (paper)

  7. Development of semiconductor ΔE-E detector chip using standard bipolar IC technology

    International Nuclear Information System (INIS)

    Mishra, Vijay; Kataria, S.K.

    2005-01-01

    A proposal has been made for developing silicon based AE-E detector chip which can be used as particle identifiers in nuclear physics experiments and also in several applications in nuclear industry scenario. The proposed development work employs standard bipolar IC fabrication technology of Bharat Electronics Ltd. and the deliverable products that emerge out will be very cost effective. The present paper discusses the concept, feasibility studies and systematic plan for fabrication, characterization and packaging of the proposed detectors. (author)

  8. Stress Voiding in IC Interconnects - Rules of Evidence for Failure Analysts

    Energy Technology Data Exchange (ETDEWEB)

    FILTER, WILLIAM F.

    1999-09-17

    Mention the words ''stress voiding'', and everyone from technology engineer to manager to customer is likely to cringe. This IC failure mechanism elicits fear because it is insidious, capricious, and difficult to identify and arrest. There are reasons to believe that a damascene-copper future might be void-free. Nevertheless, engineers who continue to produce ICs with Al-alloy interconnects, or who assess the reliability of legacy ICs with long service life, need up-to-date insights and techniques to deal with stress voiding problems. Stress voiding need not be fearful. Not always predictable, neither is it inevitable. On the contrary, stress voids are caused by specific, avoidable processing errors. Analytical work, though often painful, can identify these errors when stress voiding occurs, and vigilance in monitoring the improved process can keep it from recurring. In this article, they show that a methodical, forensics approach to failure analysis can solve suspected cases of stress voiding. This approach uses new techniques, and patiently applies familiar ones, to develop evidence meeting strict standards of proof.

  9. A proposed holistic approach to on-chip, off-chip, test, and package interconnections

    Science.gov (United States)

    Bartelink, Dirk J.

    1998-11-01

    The term interconnection has traditionally implied a `robust' connection from a transistor or a group of transistors in an IC to the outside world, usually a PC board. Optimum system utilization is done from outside the IC. As an alternative, this paper addresses `unimpeded' transistor-to-transistor interconnection aimed at reaching the high circuit densities and computational capabilities of neighboring IC's. In this view, interconnections are not made to some human-centric place outside the IC world requiring robustness—except for system input and output connections. This unimpeded interconnect style is currently available only through intra-chip signal traces in `system-on-a-chip' implementations, as exemplified by embedded DRAMs. Because the traditional off-chip penalty in performance and wiring density is so large, a merging of complex process technologies is the only option today. It is suggested that, for system integration to move forward, the traditional robustness requirement inherited from conventional packaging interconnect and IC manufacturing test must be discarded. Traditional system assembly from vendor parts requires robustness under shipping, inspection and assembly. The trend toward systems on a chip signifies willingness by semiconductor companies to design and fabricate whole systems in house, so that `in-house' chip-to-chip assembly is not beyond reach. In this scenario, bare chips never leave the controlled environment of the IC fabricator while the two major contributors to off-chip signal penalty, ESD protection and the need to source a 50-ohm test head, are avoided. With in-house assembly, ESD protection can be eliminated with the precautions already familiar in plasma etching. Test interconnection impacts the fundamentals of IC manufacturing, particularly with clock speeds approaching 1GHz, and cannot be an afterthought. It should be an integral part of the chip-to-chip interconnection bandwidth optimization, because—as we must

  10. Innovative Teaching of IC Design and Manufacture Using the Superchip Platform

    Science.gov (United States)

    Wilson, P. R.; Wilcock, R.; McNally, I.; Swabey, M.

    2010-01-01

    This paper describes how an intelligent chip architecture has allowed a large cohort of undergraduate (UG) students to be given effective practical insight into integrated circuit (IC) design by designing and manufacturing their own ICs. To achieve this, an efficient chip architecture, the "Superchip," was developed, which allows multiple student…

  11. Influence of passivation process on chip performance

    NARCIS (Netherlands)

    Lu, J.; Kovalgin, Alexeij Y.; Schmitz, Jurriaan

    2009-01-01

    In this work, we have studied the performance of CMOS chips before and after a low temperature post-processing step. In order to prevent damage to the IC chips by the post-processing steps, a first passivation layers is needed on top of the IC chips. Two different passivation layer deposition

  12. The optical design of 3D ICs for smartphone and optro-electronics sensing module

    Science.gov (United States)

    Huang, Jiun-Woei

    2018-03-01

    Smartphone require limit space for image system, current lens, used in smartphones are refractive type, the effective focal length is limited the thickness of phone physical size. Other, such as optro-electronics sensing chips, proximity optical sensors, and UV indexer chips are integrated into smart phone with limit space. Due to the requirement of multiple lens in smartphone, proximity optical sensors, UV indexer and other optro-electronics sensing chips in a limited space of CPU board in future smart phone, optro-electronics 3D IC's integrated with optical lens or components may be a key technology for 3 C products. A design for reflective lens is fitted to CMOS, proximity optical sensors, UV indexer and other optro-electronics sensing chips based on 3-D IC. The reflective lens can be threes times of effective focal lens, and be able to resolve small object. The system will be assembled and integrated in one 3-D IC more easily.

  13. Testing of a single-polarity piezoresistive three-dimensional stress-sensing chip

    International Nuclear Information System (INIS)

    Gharib, H H; Moussa, W A

    2013-01-01

    A new piezoresistive stress-sensing rosette is developed to extract the components of the three-dimensional (3D) stress tensor using single-polarity (n-type) piezoresistors. This paper presents the testing of a micro-fabricated sensing chip utilizing the developed single-polarity rosette. The testing is conducted using a four-point bending of a chip-on-beam to induce five controlled stress components, which are analyzed both numerically and experimentally. Numerical analysis using finite element analysis is conducted to study the levels of the induced stress components at three rosette-sites and the levels of the stress field non-uniformities, and to simulate the extracted stress components from the sensing rosette. The experimental analysis applied tensile and compressive loads over three rosette-sites at different load increments. The experimentally extracted stress components show good linearity with the applied load and values close to the numerical model. (paper)

  14. Ion Chromatography-on-a-chip for Water Quality Analysis

    Science.gov (United States)

    Kidd, R. D.; Noell, A.; Kazarians, G.; Aubrey, A. D.; Scianmarello, N.; Tai, Y.-C.

    2015-01-01

    We report progress towards developing a Micro-Electro-Mechanical Systems (MEMS)- based ion chromatograph (IC) for crewed spacecraft water analysis. This IC-chip is an offshoot of a NASA-funded effort to produce a high performance liquid chromatograph (HPLC)-chip. This HPLC-chip system would require a desalting (i.e. ion chromatography) step. The complete HPLC instrument consists of the Jet Propulsion Labortory's (JPL's) quadrupole ion trap mass spectrometer integrated with a state-of-the-art MEMS liquid chromatograph (LC) system developed by the California Institute of Technology's (Caltech's) Micromachining Laboratory. The IC version of the chip consist of an electrolysis-based injector, a separation column, two electrolysis pumps for gradient generation, mixer, and a built-in conductivity detector. The HPLC version of the chip also includes a nanospray tip. The low instrument mass, coupled with its high analytical capabilities, makes the LC chip ideally suitable for wide range of applications such as trace contaminant, inorganic analytical science and, when coupled to a mass spectrometer, a macromolecular detection system for either crewed space exploration vehicles or robotic planetary missions.

  15. Study on boiling heat transfer from diode elements in an integrated circuit chip

    Energy Technology Data Exchange (ETDEWEB)

    Hijikata, Kunio; Nagasaki, Takao; Kurata, Naoki (Tokyo Institute of Technology Faculty of Engineering (Japan))

    1989-02-25

    By temperature measurement of elements in boiling experiments with diodes in an integrated circuit (IC) chip, characteristics of boiling heat transfer from tiny heat generating elements in an IC chip and thermal transfer characteristics of multiple heating elements adjoining positioned were studied. The Package of an IC was removed by acid to expose the IC chip. Electricity is applied to the diode in the IC to study the heat transfer properties. The heat transfer rate from a tiny heating element on an IC is greater than that from the conventional continual heated surface. In the case of heat generation by two adjoining elements, the relationship between the total amount of heat and the temperature of elements shows the same characteristics as in the case with a single element. The boiling heat transfer properties of an element in an IC chip are influenced by such microstructure surrounding the element as the pattern of wiring. Heat transfer increases with the decreasing size of the heating element by the heat transfer to the substrate beneath the element. 10 refs., 15 figs.

  16. Development of Equivalent Material Properties of Microbump for Simulating Chip Stacking Packaging

    Directory of Open Access Journals (Sweden)

    Chang-Chun Lee

    2015-08-01

    Full Text Available three-dimensional integrated circuit (3D-IC structure with a significant scale mismatch causes difficulty in analytic model construction. This paper proposes a simulation technique to introduce an equivalent material composed of microbumps and their surrounding wafer level underfill (WLUF. The mechanical properties of this equivalent material, including Young’s modulus (E, Poisson’s ratio, shear modulus, and coefficient of thermal expansion (CTE, are directly obtained by applying either a tensile load or a constant displacement, and by increasing the temperature during simulations, respectively. Analytic results indicate that at least eight microbumps at the outermost region of the chip stacking structure need to be considered as an accurate stress/strain contour in the concerned region. In addition, a factorial experimental design with analysis of variance is proposed to optimize chip stacking structure reliability with four factors: chip thickness, substrate thickness, CTE, and E-value. Analytic results show that the most significant factor is CTE of WLUF. This factor affects microbump reliability and structural warpage under a temperature cycling load and high-temperature bonding process. WLUF with low CTE and high E-value are recommended to enhance the assembly reliability of the 3D-IC architecture.

  17. Method and apparatus to debug an integrated circuit chip via synchronous clock stop and scan

    Science.gov (United States)

    Bellofatto, Ralph E [Ridgefield, CT; Ellavsky, Matthew R [Rochester, MN; Gara, Alan G [Mount Kisco, NY; Giampapa, Mark E [Irvington, NY; Gooding, Thomas M [Rochester, MN; Haring, Rudolf A [Cortlandt Manor, NY; Hehenberger, Lance G [Leander, TX; Ohmacht, Martin [Yorktown Heights, NY

    2012-03-20

    An apparatus and method for evaluating a state of an electronic or integrated circuit (IC), each IC including one or more processor elements for controlling operations of IC sub-units, and each the IC supporting multiple frequency clock domains. The method comprises: generating a synchronized set of enable signals in correspondence with one or more IC sub-units for starting operation of one or more IC sub-units according to a determined timing configuration; counting, in response to one signal of the synchronized set of enable signals, a number of main processor IC clock cycles; and, upon attaining a desired clock cycle number, generating a stop signal for each unique frequency clock domain to synchronously stop a functional clock for each respective frequency clock domain; and, upon synchronously stopping all on-chip functional clocks on all frequency clock domains in a deterministic fashion, scanning out data values at a desired IC chip state. The apparatus and methodology enables construction of a cycle-by-cycle view of any part of the state of a running IC chip, using a combination of on-chip circuitry and software.

  18. A remotely-controlled locomotive IC driven by electrolytic bubbles and wireless powering.

    Science.gov (United States)

    Hsieh, Jian-Yu; Kuo, Po-Hung; Huang, Yi-Chun; Huang, Yu-Jie; Tsai, Rong-Da; Wang, Tao; Chiu, Hung-Wei; Wang, Yao-Hung; Lu, Shey-Shi

    2014-12-01

    A batteryless remotely-controlled locomotive IC utilizing electrolytic bubbles as propelling force is realized in 0.35 μm CMOS technology. Without any external components, such as magnets and on-board coils, the bare IC is wirelessly powered and controlled by a 10 MHz ASK modulated signal with RS232 control commands to execute movement in four moving directions and with two speeds. The receiving coil and electrolysis electrodes are all integrated on the locomotive chip. The experiment successfully demonstrated that the bare IC moved on the surface of an electrolyte with a speed up to 0.3 mm/s and change moving directions according to the commands. The total power consumptions of the chip are 207.4 μW and 180 μ W while the output electrolysis voltages are 2 V and 1.3 V, respectively.

  19. Physical IC debug ─ backside approach and nanoscale challenge

    Directory of Open Access Journals (Sweden)

    U. Kerst

    2008-05-01

    Full Text Available Physical analysis for IC functionality in submicron technologies requires access through chip backside. Based upon typical global backside preparation with 50–100 µm moderate silicon thickness remaining, a state of the art of the analysis techniques available for this purpose is presented and evaluated for functional analysis and layout pattern resolution potential. A circuit edit technique valid for nano technology ICs, is also presented that is based upon the formation of local trenches using the bottom of Shallow Trench Isolation (STI as endpoint for Focused Ion Beam (FIB milling. As a derivative from this process, a locally ultra thin silicon device can be processed, creating a back surface as work bench for breakthrough applications of nanoscale analysis techniques to a fully functional circuit through chip backside. Several applications demonstrate the power and potential of this new approach.

  20. The influence of the stress state on Ksub(Ic)

    International Nuclear Information System (INIS)

    Aurich, D.; Helms, R.; Schmidt, P.; Veith, H.; Ziebs, J.

    1977-01-01

    To get a first impression of the influence of stress states of higher multi-axiality than plane strain on Ksub(c) a specimen has been created, in which a bi-axial nominal stress state arises by uniaxial tension. This is attained by tension superimposed by transverse bending stress. The stress distribution without crack was analysed by photoelasticity as well as by finite element method. The results were identical. The stress distribution in the fracture (crack) plane was somewhat inhomogeneous, of course. But the ratio of the stress parallel to the tension axis to that perpendicular to it was max. 1:0.3 with a mean value 1:0.15. Specimens of this type were machined from a rolled sheet of the steel 22 NiMoCr 37, with specimen thickness of about 50 mm. For comparison single-edge notched specimens of the same cross section were prepared from the same material. Fatigue cracks were made following ASTM Recommendations. The fracture mechanics tests were carried out at a temperature of -100 0 C. Although valid Ksub(Ic)-values following the rigorous intention of the linear elastic fracture mechanics (ASTM Recommendations) were not obtained, the differences between the results of the two types of specimens and stress states were significant. The Ksub(Q)-values of the bi-axial stressed specimen were about 25% lower than that of the single-edge notched specimen. The deviation of the load-displacement trace from the linear elastic behavior was greater for the single-edge notched specimens than for the bi-axial stressed specimens. The consequences of these results for the assessment of flaws in pressure vessels are evident considering that bi-axial nominal stress states occur in pressure vessels

  1. Joule heating induced thermomigration failure in un-powered microbumps due to thermal crosstalk in 2.5D IC technology

    Energy Technology Data Exchange (ETDEWEB)

    Li, Menglu; Tu, K. N., E-mail: kntu@ucla.edu [Department of Materials Science and Engineering, UCLA, Los Angeles, California 90095-1595 (United States); Kim, Dong Wook; Gu, Sam [Qualcomm, San Diego, California 92121 (United States); Parkinson, Dilworth Y.; Barnard, Harold [Advanced Light Source, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States)

    2016-08-21

    Thermal-crosstalk induced thermomigration failure in un-powered microbumps has been found in 2.5D integrated circuit (IC) circuit. In 2.5D IC, a Si interposer was used between a polymer substrate and a device chip which has transistors. The interposer has no transistors. If transistors are added to the interposer chip, it becomes 3D IC. In our test structure, there are two Si chips placed horizontally on a Si interposer. The vertical connections between the interposer and the Si chips are through microbumps. We powered one daisy chain of the microbumps under one Si chip; however, the un-powered microbumps in the neighboring chip are failed with big holes in the solder layer. We find that Joule heating from the powered microbumps is transferred horizontally to the bottom of the neighboring un-powered microbumps, and creates a large temperature gradient, in the order of 1000 °C/cm, through the un-powered microbumps in the neighboring chip, so the latter failed by thermomigration. In addition, we used synchrotron radiation tomography to compare three sets of microbumps in the test structure: microbumps under electromigration, microbumps under thermomigration, and microbumps under a constant temperature thermal annealing. The results show that the microbumps under thermomigration have the largest damage. Furthermore, simulation of temperature distribution in the test structure supports the finding of thermomigration.

  2. Experiment list: SRX119684 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 2,13603 GSM874990: ES.H3K79me2; Homo sapiens; ChIP-Seq source_name=H1 human Embryonic stem cell || cell line=H1 || treatment=diagnost...ic sample (pre-treatment) || chip antibody=H3K79me2 || chip antibody manufacturer=A

  3. Embedded Processor Based Automatic Temperature Control of VLSI Chips

    Directory of Open Access Journals (Sweden)

    Narasimha Murthy Yayavaram

    2009-01-01

    Full Text Available This paper presents embedded processor based automatic temperature control of VLSI chips, using temperature sensor LM35 and ARM processor LPC2378. Due to the very high packing density, VLSI chips get heated very soon and if not cooled properly, the performance is very much affected. In the present work, the sensor which is kept very near proximity to the IC will sense the temperature and the speed of the fan arranged near to the IC is controlled based on the PWM signal generated by the ARM processor. A buzzer is also provided with the hardware, to indicate either the failure of the fan or overheating of the IC. The entire process is achieved by developing a suitable embedded C program.

  4. Advanced flip chip packaging

    CERN Document Server

    Lai, Yi-Shao; Wong, CP

    2013-01-01

    Advanced Flip Chip Packaging presents past, present and future advances and trends in areas such as substrate technology, material development, and assembly processes. Flip chip packaging is now in widespread use in computing, communications, consumer and automotive electronics, and the demand for flip chip technology is continuing to grow in order to meet the need for products that offer better performance, are smaller, and are environmentally sustainable. This book also: Offers broad-ranging chapters with a focus on IC-package-system integration Provides viewpoints from leading industry executives and experts Details state-of-the-art achievements in process technologies and scientific research Presents a clear development history and touches on trends in the industry while also discussing up-to-date technology information Advanced Flip Chip Packaging is an ideal book for engineers, researchers, and graduate students interested in the field of flip chip packaging.

  5. Self-Patterning of Silica/Epoxy Nanocomposite Underfill by Tailored Hydrophilic-Superhydrophobic Surfaces for 3D Integrated Circuit (IC) Stacking.

    Science.gov (United States)

    Tuan, Chia-Chi; James, Nathan Pataki; Lin, Ziyin; Chen, Yun; Liu, Yan; Moon, Kyoung-Sik; Li, Zhuo; Wong, C P

    2017-03-15

    As microelectronics are trending toward smaller packages and integrated circuit (IC) stacks nowadays, underfill, the polymer composite filled in between the IC chip and the substrate, becomes increasingly important for interconnection reliability. However, traditional underfills cannot meet the requirements for low-profile and fine pitch in high density IC stacking packages. Post-applied underfills have difficulties in flowing into the small gaps between the chip and the substrate, while pre-applied underfills face filler entrapment at bond pads. In this report, we present a self-patterning underfilling technology that uses selective wetting of underfill on Cu bond pads and Si 3 N 4 passivation via surface energy engineering. This novel process, fully compatible with the conventional underfilling process, eliminates the issue of filler entrapment in typical pre-applied underfilling process, enabling high density and fine pitch IC die bonding.

  6. ASIC Wafer Test System for the ATLAS Semiconductor Tracker Front-End Chip

    International Nuclear Information System (INIS)

    Anghinolfi, F.; Bialas, W.; Busek, N.; Ciocio, A.; Cosgrove, D.; Fadeyev, V.; Flacco, C.; Gilchriese, M.; Grillo, A.A.; Haber, C.; Kaplon, J.; Lacasta, C.; Murray, W.; Niggli, H.; Pritchard, T.; Rosenbaum, F.; Spieler, H.; Stezelberger, T.; Vu, C.; Wilder, M.; Yaver, H.; Zetti, F.

    2002-01-01

    An ASIC wafer test system has been developed to provide comprehensive production screening of the ATLAS Semiconductor Tracker front-end chip (ABCD3T). The ABCD3T[1] features a 128-channel analog front-end, a digital pipeline, and communication circuitry, clocked at 40 MHz, which is the bunch crossing frequency at the LHC (Large Hadron Collider). The tester measures values and tolerance ranges of all critical IC parameters, including DC parameters, electronic noise, time resolution, clock levels and clock timing. The tester is controlled by an FPGA (ORCA3T) programmed to issue the input commands to the IC and to interpret the output data. This allows the high-speed wafer-level IC testing necessary to meet the production schedule. To characterize signal amplitudes and phase margins, the tester utilizes pin-driver, delay, and DAC chips, which control the amplitudes and delays of signals sent to the IC under test. Output signals from the IC under test go through window comparator chips to measure their levels. A probe card has been designed specifically to reduce pick-up noise that can affect the measurements. The system can operate at frequencies up to 100 MHz to study the speed limits of the digital circuitry before and after radiation damage. Testing requirements and design solutions are presented

  7. A novel prototyping method for die-level monolithic integration of MEMS above-IC

    International Nuclear Information System (INIS)

    Cicek, Paul-Vahe; Zhang, Qing; Saha, Tanmoy; Mahdavi, Sareh; Allidina, Karim; Gamal, Mourad El; Nabki, Frederic

    2013-01-01

    This work presents a convenient and versatile prototyping method for integrating surface-micromachined microelectromechanical systems (MEMS) directly above IC electronics, at the die level. Such localized implementation helps reduce development costs associated with the acquisition of full-sized semiconductor wafers. To demonstrate the validity of this method, variants of an IC-compatible surface-micromachining MEMS process are used to build different MEMS devices above a commercial transimpedance amplifier chip. Subsequent functional assessments for both the electronics and the MEMS indicate that the integration is successful, validating the prototyping methodology presented in this work, as well as the suitability of the selected MEMS technology for above-IC integration. (paper)

  8. Development of an FPGA-Based Motion Control IC for Caving Machine

    Directory of Open Access Journals (Sweden)

    Chiu-Keng Lai

    2014-03-01

    Full Text Available Since the Field Programmable Gate Arrays (FPGAs with high density are available nowadays, systems with complex functions can thus be realized by FPGA in a single chip while they are traditionally implemented by several individual chips. In this research, the control of stepping motor drives as well as motion controller is integrated and implemented on Altera Cyclone III FPGA; the resulting system is evaluated by applying it to a 3-axis caving machine which is driven by stepping motors. Finally, the experimental results of current regulation and motion control integrated in FPGA IC are shown to prove the validness.

  9. Package-friendly piezoresistive pressure sensors with on-chip integrated packaging-stress-suppressed suspension (PS3) technology

    International Nuclear Information System (INIS)

    Wang, Jiachou; Li, Xinxin

    2013-01-01

    An on-chip integrated packaging-stress-suppressed suspension (PS 3 ) technology for a packaging-stress-free pressure sensor is proposed and developed. With a MIS (microholes interetch and sealing) micromachining process implemented only from the front-side of a single-side polished (1 1 1) silicon wafer, a compact cantilever-shaped PS 3 is on-chip integrated surrounding a piezoresistive pressure-sensing structure to provide a packaging-process/substrate-friendly method for low-cost but high-performance sensor applications. With the MIS process, the chip size of the PS 3 -enclosed pressure sensor is as small as 0.8 mm × 0.8 mm. Compared with a normal pressure sensor without PS 3 (but with an identical pressure-sensing structure), the proposed pressure sensor has the same sensitivity of 0.046 mV kPa −1 (3.3 V) −1 . However, without using the thermal compensation technique, a temperature coefficient of offset of only 0.016% °C −1 FS is noted for the sensor with PS 3 , which is about 15 times better than that for the sensor without PS 3 . Featuring effective isolation and elimination of the influence from packaging stress, the PS 3 technique is promising to be widely used for packaging-friendly mechanical sensors. (paper)

  10. Experiment list: SRX099380 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available .7,8.5,497 GSM803108: Mi2beta Ikaros knockout chromatin source_name=mouse Ik knockout DP thymocytes || genet...ic background=C57BL/6 x129S4/SvJae || genotype=Ikaros knockout || cell type=DP thymocytes || chip antibody=h

  11. Development of an ASD IC for the Micro Pixel Chamber

    CERN Document Server

    Orito, R; Kubo, H; Miuchi, K; Nagayoshi, T; Okada, Y; Takada, A; Takeda, A; Tanimori, T; Ueno, M

    2004-01-01

    A new amplifier-shaper-discriminator (ASD) chip was designed and manufactured for the Micro Pixel Chamber ($\\mu$-PIC). The design of this ASD IC is based on the ASD IC (TGC-ASD) for the Thin Gap Chamber in the LHC Atlas Experiment. The decay time constant of the preamplifier is 5-times longer than that of the TGC-ASD, and some other modifications have been made in order to improve the signal-to-noise ratio of the $\\mu$-PIC. The ASD IC uses SONY Analog Master Slice bipolar technology. The IC contains 4 channels in a QFP48 package. The decay time constant of the preamplifier is 80 ns and its gain is approximately 0.8 V/pC. The output from the preamplifier is received by a shaper (main-amplifier) with a gain of 7. A baseline restoration circuit is incorporated in the main-amplifier, and the current used for the baseline restoration is 5-times smaller than that of the TGC-ASD. The threshold voltage for the discriminator section is common to the 4 channels and their digital output level is LVDS-compatible. The ASD...

  12. Mechanical and electrical properties of ultra-thin chips and flexible electronics assemblies during bending

    NARCIS (Netherlands)

    Van Den Ende, D.A.; Van De Wiel, H.J.; Kusters, R.H.L.; Sridhar, A.; Schram, J.F.M.; Cauwe, M.; Van Den Brand, J.

    2014-01-01

    Ultra-thin chips of less than 20 μm become flexible, allowing integration of silicon IC technology with highly flexible electronics such as food packaging sensor systems or healthcare and sport monitoring tags as wearable patches or even directly in clothing textile. The ultra-thin chips in these

  13. High Voltage Dielectrophoretic and Magnetophoretic Hybrid Integrated Circuit / Microfluidic Chip

    Science.gov (United States)

    Issadore, David; Franke, Thomas; Brown, Keith A.; Hunt, Thomas P.; Westervelt, Robert M.

    2010-01-01

    A hybrid integrated circuit (IC) / microfluidic chip is presented that independently and simultaneously traps and moves microscopic objects suspended in fluid using both electric and magnetic fields. This hybrid chip controls the location of dielectric objects, such as living cells and drops of fluid, on a 60 × 61 array of pixels that are 30 × 38 μm2 in size, each of which can be individually addressed with a 50 V peak-to-peak, DC to 10 MHz radio frequency voltage. These high voltage pixels produce electric fields above the chip’s surface with a magnitude , resulting in strong dielectrophoresis (DEP) forces . Underneath the array of DEP pixels there is a magnetic matrix that consists of two perpendicular sets of 60 metal wires running across the chip. Each wire can be sourced with 120 mA to trap and move magnetically susceptible objects using magnetophoresis (MP). The DEP pixel array and magnetic matrix can be used simultaneously to apply forces to microscopic objects, such as living cells or lipid vesicles, that are tagged with magnetic nanoparticles. The capabilities of the hybrid IC / microfluidic chip demonstrated in this paper provide important building blocks for a platform for biological and chemical applications. PMID:20625468

  14. ASD IC for the thin gap chambers in the LHC Atlas experiment

    International Nuclear Information System (INIS)

    Sasaki, Osamu; Yoshida, Mitsuhiro

    1999-01-01

    An amplifier-shaper-discriminator (ASD) chip was designed and built for Thin Gap Chambers in the forward muon trigger system of the LHC Atlas experiment. The ASD IC uses SONY Analog Master Slice bipolar technology. The IC contains 4 channels in a QFP48 package. The gain of its first stage (preamplifier) is approximately 0.8V/pC and output from the preamplifier is received by a shaper (main-amplifier) with a gain of 7. The baseline restoration circuit is incorporated in the main-amplifier. The threshold voltage for discriminator section is common to the 4 channels and their digital output level is LVDS-compatible. The IC also has analog output of the preamplifier. The equivalent noise charge at input capacitance of 150 pF is around 7,500 electrons. The power dissipation with LDVS outputs (100 Omega load) is 59mW/ch

  15. ASD IC for the thin gap chambers in the LHC ATLAS experiment

    CERN Document Server

    Sasaki, O

    1998-01-01

    An amplifier-shaper-discriminator (ASD) chip was designed and built for Thin Gap Chambers in the forward muon trigger system of the LHC ATLAS experiment. The ASD IC uses SONY Analog Master Slice bipolar technology. The IC contains 4 $9 channels in a QFP48 package. The gain of its first stage (preamplifier) is approximately 0.8 V/pC and output from the preamplifier is received by a shaper (main-amplifier) with a gain of 7. The baseline restoration circuit is $9 incorporated in the main-amplifier. The threshold voltage for the discriminator section is common to the 4 channels and their digital output level is LVDS-compatible. The IC also has analog output for the preamplifier. The equivalent $9 noise charge at input capacitance of 150 pF is around 7500 electrons. The power dissipation with LDVS outputs (100 Omega load) is 59 mW/ch. (8 refs).

  16. ASD IC for the thin gap chambers in the LHC ATLAS Experiment

    CERN Document Server

    Sasaki, O

    1999-01-01

    An amplifier-shaper-discriminator (ASD) chip was designed and built for Thin Gap Chambers in the forward muon trigger system of the LHC Atlas experiment. The ASD IC uses SONY Analog Master Slice bipolar technology. The IC contains 4 channels in a QFP48 package. The gain of its first stage (preamplifier) is approximately 0.8 V/pC and output from the preamplifier is received by a shaper (main-amplifier) with a gain of 7. The baseline restoration circuit is incorporated in the main-amplifier. The threshold voltage for discriminator section is common to the 4 channels and their digital output level is LVDS- compatible. The IC also has analog output of the preamplifier. The equivalent noise charge at input capacitance of 150 pF is around 7500 electrons. The power dissipation with LDVS outputs (100 Omega load) is 59 mW/ch.

  17. A microfluidic microprocessor: controlling biomimetic containers and cells using hybrid integrated circuit/microfluidic chips.

    Science.gov (United States)

    Issadore, David; Franke, Thomas; Brown, Keith A; Westervelt, Robert M

    2010-11-07

    We present an integrated platform for performing biological and chemical experiments on a chip based on standard CMOS technology. We have developed a hybrid integrated circuit (IC)/microfluidic chip that can simultaneously control thousands of living cells and pL volumes of fluid, enabling a wide variety of chemical and biological tasks. Taking inspiration from cellular biology, phospholipid bilayer vesicles are used as robust picolitre containers for reagents on the chip. The hybrid chip can be programmed to trap, move, and porate individual living cells and vesicles and fuse and deform vesicles using electric fields. The IC spatially patterns electric fields in a microfluidic chamber using 128 × 256 (32,768) 11 × 11 μm(2) metal pixels, each of which can be individually driven with a radio frequency (RF) voltage. The chip's basic functions can be combined in series to perform complex biological and chemical tasks and can be performed in parallel on the chip's many pixels for high-throughput operations. The hybrid chip operates in two distinct modes, defined by the frequency of the RF voltage applied to the pixels: Voltages at MHz frequencies are used to trap, move, and deform objects using dielectrophoresis and voltages at frequencies below 1 kHz are used for electroporation and electrofusion. This work represents an important step towards miniaturizing the complex chemical and biological experiments used for diagnostics and research onto automated and inexpensive chips.

  18. A Novel Analog Integrated Circuit Design Course Covering Design, Layout, and Resulting Chip Measurement

    Science.gov (United States)

    Lin, Wei-Liang; Cheng, Wang-Chuan; Wu, Chen-Hao; Wu, Hai-Ming; Wu, Chang-Yu; Ho, Kuan-Hsuan; Chan, Chueh-An

    2010-01-01

    This work describes a novel, first-year graduate-level analog integrated circuit (IC) design course. The course teaches students analog circuit design; an external manufacturer then produces their designs in three different silicon chips. The students, working in pairs, then test these chips to verify their success. All work is completed within…

  19. Chip bonding of low-melting eutectic alloys by transmitted laser radiation

    Science.gov (United States)

    Hoff, Christian; Venkatesh, Arjun; Schneider, Friedrich; Hermsdorf, Jörg; Bengsch, Sebastian; Wurz, Marc C.; Kaierle, Stefan; Overmeyer, Ludger

    2017-06-01

    Present-day thermode bond systems for the assembly of radio-frequency identification (RFID) chips are mechanically inflexible, difficult to control, and will not meet future manufacturing challenges sufficiently. Chip bonding, one of the key processes in the production of integrated circuits (ICs), has a high potential for optimization with respect to process duration and process flexibility. For this purpose, the technologies used, so far, are supposed to be replaced by a transmission laser-bonding process using low-melting eutectic alloys. In this study, successful bonding investigations of mock silicon chips and of RFID chips on flexible polymer substrates are presented using the low-melting eutectic alloy, 52In48Sn, and a laser with a wavelength of 2 μm.

  20. Practical silicon Light emitting devices fabricated by standard IC technology

    International Nuclear Information System (INIS)

    Aharoni, H.; Monuko du Plessis; Snyman, L.W.

    2004-01-01

    Full Text:Research activities are described with regard to the development of a comprehensive approach for the practical realization of single crystal Silicon Light Emitting Devices (Si-LEDs). Several interesting suggestions for the fabrication of such devices were made in the literature but they were not adopted by the semiconductor industry because they involve non-standard fabrication schemes, requiring special production lines. Our work presents an alternative approach, proposed and realized in practice by us, permitting the fabrication of Si-LEDs using the standard conventional fully industrialized IC technology ''as is'' without any adaptation. It enables their fabrication in the same production lines of the presently existing IC industry. This means that Si-LEDs can now be fabricated simultaneously with other components, such as transistors, on the same silicon chip, using the same masks and processing procedures. The result is that the yield, reliability, and price of the above Si-LEDs are the same as the other Si devices integrated on the same chip. In this work some structural details of several practical Si-LED's designed by us, as well as experimental results describing their performance are presented. These Si-LED's were fabricated to our specifications utilizing standard CMOS/BiCMOS technology, a fact which comprises an achievement by itself. The structure of the Si-LED's, is designed according to specifications such as the required operating voltage, overall light output intensity, its dependence(linear, or non-linear) on the input signal (voltage or current), light generations location (bulk, or near-surface), the emission pattern and uniformity. Such structural design present a problem since the designer can not use any structural parameters (such as doping levels and junction depths for example) but only those which already exist in the production lines. Since the fabrication procedures in these lines are originally designed for processing of

  1. An NFC-Enabled CMOS IC for a Wireless Fully Implantable Glucose Sensor.

    Science.gov (United States)

    DeHennis, Andrew; Getzlaff, Stefan; Grice, David; Mailand, Marko

    2016-01-01

    This paper presents an integrated circuit (IC) that merges integrated optical and temperature transducers, optical interface circuitry, and a near-field communication (NFC)-enabled digital, wireless readout for a fully passive implantable sensor platform to measure glucose in people with diabetes. A flip-chip mounted LED and monolithically integrated photodiodes serve as the transduction front-end to enable fluorescence readout. A wide-range programmable transimpedance amplifier adapts the sensor signals to the input of an 11-bit analog-to-digital converter digitizing the measurements. Measurement readout is enabled by means of wireless backscatter modulation to a remote NFC reader. The system is able to resolve current levels of less than 10 pA with a single fluorescent measurement energy consumption of less than 1 μJ. The wireless IC is fabricated in a 0.6-μm-CMOS process and utilizes a 13.56-MHz-based ISO15693 for passive wireless readout through a NFC interface. The IC is utilized as the core interface to a fluorescent, glucose transducer to enable a fully implantable sensor-based continuous glucose monitoring system.

  2. ESD full chip simulation: HBM and CDM requirements and simulation approach

    Directory of Open Access Journals (Sweden)

    E. Franell

    2008-05-01

    Full Text Available Verification of ESD safety on full chip level is a major challenge for IC design. Especially phenomena with their origin in the overall product setup are posing a hurdle on the way to ESD safe products. For stress according to the Charged Device Model (CDM, a stumbling stone for a simulation based analysis is the complex current distribution among a huge number of internal nodes leading to hardly predictable voltage drops inside the circuits.

    This paper describes an methodology for Human Body Model (HBM simulations with an improved ESD-failure coverage and a novel methodology to replace capacitive nodes within a resistive network by current sources for CDM simulation. This enables a highly efficient DC simulation clearly marking CDM relevant design weaknesses allowing for application of this software both during product development and for product verification.

  3. Online Chip Temperature Monitoring Using υce-Load Current and IR Thermography

    DEFF Research Database (Denmark)

    Ghimire, Pramod; Pedersen, Kristian Bonderup; Trintis, Ionut

    2015-01-01

    This paper presents on-state collector-emitter voltage (υce, on)-load current (Ic) method to monitor chip temperature on power insulated gate bipolar transistor (IGBT) modules in converter operation. The measurement method is also evaluated using infrared (IR) thermography. Temperature dependencies...

  4. Description of the SAltro-16 chip for gas detector readout

    CERN Document Server

    Aspell, P; Garcia Garcia, E; de Gaspari, M; Mager, M; Musa, L; Rehman, A; Trampitsch, G

    2010-01-01

    The S-ALTRO prototype chip is a mixed-signal integrated circuit designed to be one of the building blocks of the readout electronics for gas detectors. Its architecture is based in the ALTRO (ALICE TPC Read Out) chip, being its main difference the integration of the charge shaping amplifier in the same IC. Just like ALTRO chip, the prototype architecture and programmability make it suitable for the readout of a wider class of detectors. In one single chip, 16 analogue signals from the detector are shaped, digitised, processed, compressed and stored in a multi-acquisition memory. The Analogue-to- Digital converters embedded in the chip have a 10-bit dynamic range and a maximum sampling rate up to 40MHz. After digitisation, a pipelined Data Processor is able to remove from the input signal a wide range of perturbations, related to the non- ideal behaviour of the detector, temperature variation of the electronics, environmental noise, etc. Moreover, the Data Processor is able to suppress the pulse tail within 1�...

  5. A Low-Power Integrated Humidity CMOS Sensor by Printing-on-Chip Technology

    Directory of Open Access Journals (Sweden)

    Chang-Hung Lee

    2014-05-01

    Full Text Available A low-power, wide-dynamic-range integrated humidity sensing chip is implemented using a printable polymer sensing material with an on-chip pulse-width-modulation interface circuit. By using the inkjet printing technique, poly(3,4-ethylene-dioxythiophene/polystyrene sulfonate that has humidity sensing features can be printed onto the top metal layer of a 0.35 μm CMOS IC. The developed printing-on-chip humidity sensor achieves a heterogeneous three dimensional sensor system-on-chip architecture. The humidity sensing of the implemented printing-on-chip sensor system is experimentally tested. The sensor shows a sensitivity of 0.98% to humidity in the atmosphere. The maximum dynamic range of the readout circuit is 9.8 MΩ, which can be further tuned by the frequency of input signal to fit the requirement of the resistance of printed sensor. The power consumption keeps only 154 μW. This printing-on-chip sensor provides a practical solution to fulfill an ultra-small integrated sensor for the applications in miniaturized sensing systems.

  6. A low-power integrated humidity CMOS sensor by printing-on-chip technology.

    Science.gov (United States)

    Lee, Chang-Hung; Chuang, Wen-Yu; Cowan, Melissa A; Wu, Wen-Jung; Lin, Chih-Ting

    2014-05-23

    A low-power, wide-dynamic-range integrated humidity sensing chip is implemented using a printable polymer sensing material with an on-chip pulse-width-modulation interface circuit. By using the inkjet printing technique, poly(3,4-ethylene-dioxythiophene)/polystyrene sulfonate that has humidity sensing features can be printed onto the top metal layer of a 0.35 μm CMOS IC. The developed printing-on-chip humidity sensor achieves a heterogeneous three dimensional sensor system-on-chip architecture. The humidity sensing of the implemented printing-on-chip sensor system is experimentally tested. The sensor shows a sensitivity of 0.98% to humidity in the atmosphere. The maximum dynamic range of the readout circuit is 9.8 MΩ, which can be further tuned by the frequency of input signal to fit the requirement of the resistance of printed sensor. The power consumption keeps only 154 μW. This printing-on-chip sensor provides a practical solution to fulfill an ultra-small integrated sensor for the applications in miniaturized sensing systems.

  7. An integrated circuit with transmit beamforming flip-chip bonded to a 2-D CMUT array for 3-D ultrasound imaging.

    Science.gov (United States)

    Wygant, Ira O; Jamal, Nafis S; Lee, Hyunjoo J; Nikoozadeh, Amin; Oralkan, Omer; Karaman, Mustafa; Khuri-Yakub, Butrus T

    2009-10-01

    State-of-the-art 3-D medical ultrasound imaging requires transmitting and receiving ultrasound using a 2-D array of ultrasound transducers with hundreds or thousands of elements. A tight combination of the transducer array with integrated circuitry eliminates bulky cables connecting the elements of the transducer array to a separate system of electronics. Furthermore, preamplifiers located close to the array can lead to improved receive sensitivity. A combined IC and transducer array can lead to a portable, high-performance, and inexpensive 3-D ultrasound imaging system. This paper presents an IC flip-chip bonded to a 16 x 16-element capacitive micromachined ultrasonic transducer (CMUT) array for 3-D ultrasound imaging. The IC includes a transmit beamformer that generates 25-V unipolar pulses with programmable focusing delays to 224 of the 256 transducer elements. One-shot circuits allow adjustment of the pulse widths for different ultrasound transducer center frequencies. For receiving reflected ultrasound signals, the IC uses the 32-elements along the array diagonals. The IC provides each receiving element with a low-noise 25-MHz-bandwidth transimpedance amplifier. Using a field-programmable gate array (FPGA) clocked at 100 MHz to operate the IC, the IC generated properly timed transmit pulses with 5-ns accuracy. With the IC flip-chip bonded to a CMUT array, we show that the IC can produce steered and focused ultrasound beams. We present 2-D and 3-D images of a wire phantom and 2-D orthogonal cross-sectional images (Bscans) of a latex heart phantom.

  8. Mod 1 ICS TI Report: ICS Conversion of a 140% HPGe Detector

    Energy Technology Data Exchange (ETDEWEB)

    Bounds, John Alan [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2016-07-05

    This report evaluates the Mod 1 ICS, an electrically cooled 140% HPGe detector. It is a custom version of the ORTEC Integrated Cooling System (ICS) modified to make it more practical for us to use in the field. Performance and operating characteristics of the Mod 1 ICS are documented, noting both pros and cons. The Mod 1 ICS is deemed a success. Recommendations for a Mod 2 ICS, a true field prototype, are provided.

  9. 3D-SoftChip: A Novel Architecture for Next-Generation Adaptive Computing Systems

    Directory of Open Access Journals (Sweden)

    Lee Mike Myung-Ok

    2006-01-01

    Full Text Available This paper introduces a novel architecture for next-generation adaptive computing systems, which we term 3D-SoftChip. The 3D-SoftChip is a 3-dimensional (3D vertically integrated adaptive computing system combining state-of-the-art processing and 3D interconnection technology. It comprises the vertical integration of two chips (a configurable array processor and an intelligent configurable switch through an indium bump interconnection array (IBIA. The configurable array processor (CAP is an array of heterogeneous processing elements (PEs, while the intelligent configurable switch (ICS comprises a switch block, 32-bit dedicated RISC processor for control, on-chip program/data memory, data frame buffer, along with a direct memory access (DMA controller. This paper introduces the novel 3D-SoftChip architecture for real-time communication and multimedia signal processing as a next-generation computing system. The paper further describes the advanced HW/SW codesign and verification methodology, including high-level system modeling of the 3D-SoftChip using SystemC, being used to determine the optimum hardware specification in the early design stage.

  10. Thermal-Aware Scheduling for Future Chip Multiprocessors

    Directory of Open Access Journals (Sweden)

    Pedro Trancoso

    2007-04-01

    Full Text Available The increased complexity and operating frequency in current single chip microprocessors is resulting in a decrease in the performance improvements. Consequently, major manufacturers offer chip multiprocessor (CMP architectures in order to keep up with the expected performance gains. This architecture is successfully being introduced in many markets including that of the embedded systems. Nevertheless, the integration of several cores onto the same chip may lead to increased heat dissipation and consequently additional costs for cooling, higher power consumption, decrease of the reliability, and thermal-induced performance loss, among others. In this paper, we analyze the evolution of the thermal issues for the future chip multiprocessor architectures and show that as the number of on-chip cores increases, the thermal-induced problems will worsen. In addition, we present several scenarios that result in excessive thermal stress to the CMP chip or significant performance loss. In order to minimize or even eliminate these problems, we propose thermal-aware scheduler (TAS algorithms. When assigning processes to cores, TAS takes their temperature and cooling ability into account in order to avoid thermal stress and at the same time improve the performance. Experimental results have shown that a TAS algorithm that considers also the temperatures of neighboring cores is able to significantly reduce the temperature-induced performance loss while at the same time, decrease the chip's temperature across many different operation and configuration scenarios.

  11. Charge-sensitive preamplifier IC for silicon calorimetry at colliders

    International Nuclear Information System (INIS)

    Baturitsky, M.A.; Chekhovsky, V.A.; Emel'yanchik, I.F.; Shumeiko, N.M.; Golutvin, I.A.; Zamyatin, N.I.; Dvornikov, O.V.

    1995-01-01

    Four versions of a fast monolithic charge-sensitive preamplifier (CSP) were designed using microwave BJT-JFET technology. The best one has a 3.5 ns rise time for input detector capacitance C d =100 pF and approximately 8 mW power dissipation for 5 V supply voltage. The ENC performance at shaping time 30 ns is 1350 e+17 e/pF for C d up to 600 pF. Crosstalk in a four-channel amplifier made in the same chip was measured to be about -46 dB for C d =100 pF. The linear output voltage swing is 0.8 V for voltage supply 5 V. The IC has revealed good radiation hardness to neutron irradiation. ((orig.))

  12. On-Chip Sensing of Thermoelectric Thin Film’s Merit

    OpenAIRE

    Xiao, Zhigang; Zhu, Xiaoshan

    2015-01-01

    Thermoelectric thin films have been widely explored for thermal-to-electrical energy conversion or solid-state cooling, because they can remove heat from integrated circuit (IC) chips or micro-electromechanical systems (MEMS) devices without involving any moving mechanical parts. In this paper, we report using silicon diode-based temperature sensors and specific thermoelectric devices to characterize the merit of thermoelectric thin films. The silicon diode temperature sensors and thermoelect...

  13. Implantable Biomedical Signal Monitoring Using RF Energy Harvestingand On-Chip Antenna

    Directory of Open Access Journals (Sweden)

    Jiann-Shiun Yuan

    2015-08-01

    Full Text Available This paper presents the design of an energy harvesting wireless and battery-less silicon-on-chip (SoC device that can be implanted in the human body to monitor certain health conditions. The proposed architecture has been designed on TSMC 0.18μm CMOS ICs and is an integrated system with a rectenna (antenna and rectifier and transmitting circuit, all on a single chip powered by an external transmitter and that is small enough to be inserted in the human eye, heart or brain. The transmitting and receiving antennas operate in the 5.8- GHz ISM band and have a -10dB gain. The distinguishing feature of this design is the rectenna that comprises of a singlestage diode connected NMOS rectifier and a 3-D on-chip antenna that occupies only 2.5 × 1 × 2.8 mm3 of chip area and has the ability to communicate within proximity of 5 cm while giving 10% efficiency. The external source is a reader that powers up the RF rectifier in the implantable chip triggering it to start sending data back to the reader enabling an efficient method of health evaluation for the patient.

  14. Estimate the thermomechanical fatigue life of two flip chip packages

    International Nuclear Information System (INIS)

    Pash, R.A.; Ullah, H.S.; Khan, M.Z.

    2005-01-01

    The continuing demand towards high density and low profile integrated circuit packaging has accelerated the development of flip chip structures as used in direct chip attach (DCA) technology, ball grid array (BOA) and chip scale package (CSP). In such structures the most widely used flip chip interconnects are solder joints. The reliability of flip chip structures largely depends on the reliability of solder joints. In this work solder joint fatigue life prediction for two chip scale packages is carried out. Elasto-plastic deformation behavior of the solder was simulated using ANSYS. Two dimensional plain strain finite element models were developed for each package to numerically compute the stress and total strain of the solder joints under temperature cycling. These stress and strain values are then used to predict the solder joint lifetime through modified Coffin Manson equation. The effect of solder joint's distance from edge of silicon die on life of the package is explored. The solder joint fatigue response is modeled for a typical temperature cycling of -60 to 140 degree C. (author)

  15. LDMOS Channel Thermometer Based on a Thermal Resistance Sensor for Balancing Temperature in Monolithic Power ICs

    Directory of Open Access Journals (Sweden)

    Tingyou Lin

    2017-06-01

    Full Text Available This paper presents a method of thermal balancing for monolithic power integrated circuits (ICs. An on-chip temperature monitoring sensor that consists of a poly resistor strip in each of multiple parallel MOSFET banks is developed. A temperature-to-frequency converter (TFC is proposed to quantize on-chip temperature. A pulse-width-modulation (PWM methodology is developed to balance the channel temperature based on the quantization. The modulated PWM pulses control the hottest of metal-oxide-semiconductor field-effect transistor (MOSFET bank to reduce its power dissipation and heat generation. A test chip with eight parallel MOSFET banks is fabricated in TSMC 0.25 μm HV BCD processes, and total area is 900 × 914 μm2. The maximal temperature variation among the eight banks can reduce to 2.8 °C by the proposed thermal balancing system from 9.5 °C with 1.5 W dissipation. As a result, our proposed system improves the lifetime of a power MOSFET by 20%.

  16. 3D stacked chips from emerging processes to heterogeneous systems

    CERN Document Server

    Fettweis, Gerhard

    2016-01-01

    This book explains for readers how 3D chip stacks promise to increase the level of on-chip integration, and to design new heterogeneous semiconductor devices that combine chips of different integration technologies (incl. sensors) in a single package of the smallest possible size.  The authors focus on heterogeneous 3D integration, addressing some of the most important challenges in this emerging technology, including contactless, optics-based, and carbon-nanotube-based 3D integration, as well as signal-integrity and thermal management issues in copper-based 3D integration. Coverage also includes the 3D heterogeneous integration of power sources, photonic devices, and non-volatile memories based on new materials systems.   •Provides single-source reference to the latest research in 3D optoelectronic integration: process, devices, and systems; •Explains the use of wireless 3D integration to improve 3D IC reliability and yield; •Describes techniques for monitoring and mitigating thermal behavior in 3D I...

  17. Children and IC

    Science.gov (United States)

    ... Cola, and Orange Crush, for example), Kool-Aid, chocolate, and many fruits, fruit juices and drinks (including ... Guideline IC Treatments IC Diet & Self Management Physical Therapy Antidepressants Antihistamines Pentosan Polysulfate Sodium Bladder Instillations Immunosuppresants ...

  18. Epoxy Chip-in-Carrier Integration and Screen-Printed Metalization for Multichannel Microfluidic Lab-on-CMOS Microsystems.

    Science.gov (United States)

    Li, Lin; Yin, Heyu; Mason, Andrew J

    2018-04-01

    The integration of biosensors, microfluidics, and CMOS instrumentation provides a compact lab-on-CMOS microsystem well suited for high throughput measurement. This paper describes a new epoxy chip-in-carrier integration process and two planar metalization techniques for lab-on-CMOS that enable on-CMOS electrochemical measurement with multichannel microfluidics. Several design approaches with different fabrication steps and materials were experimentally analyzed to identify an ideal process that can achieve desired capability with high yield and low material and tool cost. On-chip electrochemical measurements of the integrated assembly were performed to verify the functionality of the chip-in-carrier packaging and its capability for microfluidic integration. The newly developed CMOS-compatible epoxy chip-in-carrier process paves the way for full implementation of many lab-on-CMOS applications with CMOS ICs as core electronic instruments.

  19. Men and IC

    Science.gov (United States)

    ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Newly Diagnosed Toolkit IC Awareness Toolkit Know ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Newly Diagnosed Toolkit IC Awareness Toolkit Know ...

  20. General IC Symptoms

    Science.gov (United States)

    ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Newly Diagnosed Toolkit IC Awareness Toolkit Know ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Newly Diagnosed Toolkit IC Awareness Toolkit Know ...

  1. Pregnancy and IC

    Science.gov (United States)

    ... have not already done so, try to identify foods, beverages, and supplements that are irritating to your bladder ... Other Medicines Over-the-counter Medicines Pain Management Management of IC ... Diet Food Diaries Least and Most Bothersome Foods IC-Friendly ...

  2. Low temperature co-fired ceramic packaging of CMOS capacitive sensor chip towards cell viability monitoring.

    Science.gov (United States)

    Halonen, Niina; Kilpijärvi, Joni; Sobocinski, Maciej; Datta-Chaudhuri, Timir; Hassinen, Antti; Prakash, Someshekar B; Möller, Peter; Abshire, Pamela; Kellokumpu, Sakari; Lloyd Spetz, Anita

    2016-01-01

    Cell viability monitoring is an important part of biosafety evaluation for the detection of toxic effects on cells caused by nanomaterials, preferably by label-free, noninvasive, fast, and cost effective methods. These requirements can be met by monitoring cell viability with a capacitance-sensing integrated circuit (IC) microchip. The capacitance provides a measurement of the surface attachment of adherent cells as an indication of their health status. However, the moist, warm, and corrosive biological environment requires reliable packaging of the sensor chip. In this work, a second generation of low temperature co-fired ceramic (LTCC) technology was combined with flip-chip bonding to provide a durable package compatible with cell culture. The LTCC-packaged sensor chip was integrated with a printed circuit board, data acquisition device, and measurement-controlling software. The packaged sensor chip functioned well in the presence of cell medium and cells, with output voltages depending on the medium above the capacitors. Moreover, the manufacturing of microfluidic channels in the LTCC package was demonstrated.

  3. Abundances of Planetary Nebulae IC 418, IC 2165 and NGC 5882

    NARCIS (Netherlands)

    Pottasch, [No Value; Bernard-Salas, J; Beintema, DA; Feibelman, WA

    The ISO and IUE spectra of the elliptical nebulae NGC 5882, IC 418 and IC 2165 are presented. These spectra are combined with the spectra in the visual wavelength region to obtain a complete, extinction corrected, spectrum. The chemical composition of the nebulae is then calculated and compared to

  4. Hybridization of Environmental Microbial Community Nucleic Acids by GeoChip.

    Science.gov (United States)

    Van Nostrand, Joy D; Yin, Huaqin; Wu, Liyou; Yuan, Tong; Zhou, Jizhong

    2016-01-01

    Functional gene arrays, like the GeoChip, allow for the study of tens of thousands of genes in a single assay. The GeoChip array (5.0) contains probes for genes involved in geochemical cycling (N, C, S, and P), metal homeostasis, stress response, organic contaminant degradation, antibiotic resistance, secondary metabolism, and virulence factors as well as genes specific for fungi, protists, and viruses. Here, we briefly describe GeoChip design strategies (gene selection and probe design) and discuss minimum quantity and quality requirements for nucleic acids. We then provide detailed protocols for amplification, labeling, and hybridization of samples to the GeoChip.

  5. An Experimentation Platform for On-Chip Integration of Analog Neural Networks: A Pathway to Trusted and Robust Analog/RF ICs.

    Science.gov (United States)

    Maliuk, Dzmitry; Makris, Yiorgos

    2015-08-01

    We discuss the design of an experimentation platform intended for prototyping low-cost analog neural networks for on-chip integration with analog/RF circuits. The objective of such integration is to support various tasks, such as self-test, self-tuning, and trust/aging monitoring, which require classification of analog measurements obtained from on-chip sensors. Particular emphasis is given to cost-efficient implementation reflected in: 1) low energy and area budgets of circuits dedicated to neural networks; 2) robust learning in presence of analog inaccuracies; and 3) long-term retention of learned functionality. Our chip consists of a reconfigurable array of synapses and neurons operating below threshold and featuring sub-μW power consumption. The synapse circuits employ dual-mode weight storage: 1) a dynamic mode, for fast bidirectional weight updates during training and 2) a nonvolatile mode, for permanent storage of learned functionality. We discuss a robust learning strategy, and we evaluate the system performance on several benchmark problems, such as the XOR2-6 and two-spirals classification tasks.

  6. Chip formation and surface integrity in high-speed machining of hardened steel

    Science.gov (United States)

    Kishawy, Hossam Eldeen A.

    Increasing demands for high production rates as well as cost reduction have emphasized the potential for the industrial application of hard turning technology during the past few years. Machining instead of grinding hardened steel components reduces the machining sequence, the machining time, and the specific cutting energy. Hard turning Is characterized by the generation of high temperatures, the formation of saw toothed chips, and the high ratio of thrust to tangential cutting force components. Although a large volume of literature exists on hard turning, the change in machined surface physical properties represents a major challenge. Thus, a better understanding of the cutting mechanism in hard turning is still required. In particular, the chip formation process and the surface integrity of the machined surface are important issues which require further research. In this thesis, a mechanistic model for saw toothed chip formation is presented. This model is based on the concept of crack initiation on the free surface of the workpiece. The model presented explains the mechanism of chip formation. In addition, experimental investigation is conducted in order to study the chip morphology. The effect of process parameters, including edge preparation and tool wear on the chip morphology, is studied using Scanning Electron Microscopy (SEM). The dynamics of chip formation are also investigated. The surface integrity of the machined parts is also investigated. This investigation focusses on residual stresses as well as surface and sub-surface deformation. A three dimensional thermo-elasto-plastic finite element model is developed to predict the machining residual stresses. The effect of flank wear is introduced during the analysis. Although residual stresses have complicated origins and are introduced by many factors, in this model only the thermal and mechanical factors are considered. The finite element analysis demonstrates the significant effect of the heat generated

  7. Simplified design of IC amplifiers

    CERN Document Server

    Lenk, John

    1996-01-01

    Simplified Design of IC Amplifiers has something for everyone involved in electronics. No matter what skill level, this book shows how to design and experiment with IC amplifiers. For experimenters, students, and serious hobbyists, this book provides sufficient information to design and build IC amplifier circuits from 'scratch'. For working engineers who design amplifier circuits or select IC amplifiers, the book provides a variety of circuit configurations to make designing easier.Provides basics for all phases of practical design.Covers the most popular forms for amplif

  8. Addressing On-Chip Power Converstion and Dissipation Issues in Many-Core System-on-a-Chip Based on Conventional Silicon and Emerging Nanotechnologies

    Science.gov (United States)

    Ashenafi, Emeshaw

    Integrated circuits (ICs) are moving towards system-on-a-chip (SOC) designs. SOC allows various small and large electronic systems to be implemented in a single chip. This approach enables the miniaturization of design blocks that leads to high density transistor integration, faster response time, and lower fabrication costs. To reap the benefits of SOC and uphold the miniaturization of transistors, innovative power delivery and power dissipation management schemes are paramount. This dissertation focuses on on-chip integration of power delivery systems and managing power dissipation to increase the lifetime of energy storage elements. We explore this problem from two different angels: On-chip voltage regulators and power gating techniques. On-chip voltage regulators reduce parasitic effects, and allow faster and efficient power delivery for microprocessors. Power gating techniques, on the other hand, reduce the power loss incurred by circuit blocks during standby mode. Power dissipation (Ptotal = Pstatic and Pdynamic) in a complementary metal-oxide semiconductor (CMOS) circuit comes from two sources: static and dynamic. A quadratic dependency on the dynamic switching power and a more than linear dependency on static power as a form of gate leakage (subthreshold current) exist. To reduce dynamic power loss, the supply power should be reduced. A significant reduction in power dissipation occurs when portions of a microprocessor operate at a lower voltage level. This reduction in supply voltage is achieved via voltage regulators or converters. Voltage regulators are used to provide a stable power supply to the microprocessor. The conventional off-chip switching voltage regulator contains a passive floating inductor, which is difficult to be implemented inside the chip due to excessive power dissipation and parasitic effects. Additionally, the inductor takes a very large chip area while hampering the scaling process. These limitations make passive inductor based on-chip

  9. IC Treatment: Surgical Procedures

    Science.gov (United States)

    ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Newly Diagnosed Toolkit IC Awareness Toolkit Know ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Newly Diagnosed Toolkit IC Awareness Toolkit Know ...

  10. IC: Frequently Asked Questions

    Science.gov (United States)

    ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Newly Diagnosed Toolkit IC Awareness Toolkit Know ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Newly Diagnosed Toolkit IC Awareness Toolkit Know ...

  11. Low temperature co-fired ceramic packaging of CMOS capacitive sensor chip towards cell viability monitoring

    Directory of Open Access Journals (Sweden)

    Niina Halonen

    2016-11-01

    Full Text Available Cell viability monitoring is an important part of biosafety evaluation for the detection of toxic effects on cells caused by nanomaterials, preferably by label-free, noninvasive, fast, and cost effective methods. These requirements can be met by monitoring cell viability with a capacitance-sensing integrated circuit (IC microchip. The capacitance provides a measurement of the surface attachment of adherent cells as an indication of their health status. However, the moist, warm, and corrosive biological environment requires reliable packaging of the sensor chip. In this work, a second generation of low temperature co-fired ceramic (LTCC technology was combined with flip-chip bonding to provide a durable package compatible with cell culture. The LTCC-packaged sensor chip was integrated with a printed circuit board, data acquisition device, and measurement-controlling software. The packaged sensor chip functioned well in the presence of cell medium and cells, with output voltages depending on the medium above the capacitors. Moreover, the manufacturing of microfluidic channels in the LTCC package was demonstrated.

  12. LD to IC

    CERN Multimedia

    Association du personnel

    2010-01-01

    LC to IC – Publication of posts: Following the publication of new LD to IC posts, we regret that a large number of post descriptions are not available in both CERN official languages, English and French. Consequently, the Staff Association has decided to provide assistance to those who need it with the translation of one or more posts of interest. To do this, please contact the Staff Association secretariat, tel. 72819 or 72761 or 74224.

  13. Chipping operations and efficiency in different operational environments

    Energy Technology Data Exchange (ETDEWEB)

    Roeser, D.; Mola-Yudego, B.; Prinz, R.; Emer, B.; Sikanen, L., e-mail: dominik.roser@metla.fi

    2012-11-01

    This research analyses the productivity of energy wood chipping operations at several sites in Austria and Finland. The aim of the work is to examine the differences in productivity and the effects of the operational environment for the chipping of bioenergy at the roadside. Furthermore, the study quantifies the effects of different variables such as forest energy assortments, tree species, sieve size and machines on the overall productivity of chipping. The results revealed that there are significant differences in the chipping productivity in Austria and Finland which are largely based on the use of different sieve sizes. Furthermore, the different operational environments in both countries, as well as the characteristics of the raw material also seem to have an effect on productivity. In order to improve the chipping productivity, particularly in Central European conditions, all relevant stakeholders need to work jointly to find solutions that will allow a greater variation of chip size. Furthermore, in the future more consideration has to be given to the close interlinkage between the chipper, crane and grapple. As a result, investments costs can be optimized and operational costs and stress on the machines reduced. (orig.)

  14. The oiling of ICS

    International Nuclear Information System (INIS)

    Hunter, S.

    1993-01-01

    The incident command system (ICS) works for oil spills. It should be the industry standard and some will argue that it already is. But there are a number of temptations to fiddle with it. Fueling these inclinations is the fundamental difference between oil spills and natural disasters: Oil spills make the perpetrator fix the problem - under heavy oversight. Add to this difference the public outcry that attends oil spills and the dual role of government as both helper and prosecutor. From these conditions emerge adaptations of ICS which both weaken and strengthen it. The benefits of ICS are diminished by deputy incident commanders who block unified commanders from access to section chiefs, over-zealous crisis managers who displace command post decisions or its information office, separate press offices with party line slants, government law enforcement activity mixed into spill response, nonstandard operations terminology and structure involving open-quotes containment and clean upclose quotes or open-quotes salvage,close quotes and the commingling of public and private response funds. ICS's application to oil spill response is strengthened by the use of trained unified commanders, deputy incident commanders who operate as staff rather than line, crisis managers who support on-scene objectives, joint information centers, and heavy involvement of skilled, prepared environmental assessment teams in the planning section who generate priorities, strategies, and (operationally coordinated) tactics. Technically, not all these points constitute alterations of ICS, but most do and the others come close. This mixed bag of strengthening and weakening tweaks to oil spill ICS provides an opportunity to take a new look at this faithful friend to the crisis responder

  15. Single-chip CMUT-on-CMOS front-end system for real-time volumetric IVUS and ICE imaging.

    Science.gov (United States)

    Gurun, Gokce; Tekes, Coskun; Zahorian, Jaime; Xu, Toby; Satir, Sarp; Karaman, Mustafa; Hasler, Jennifer; Degertekin, F Levent

    2014-02-01

    Intravascular ultrasound (IVUS) and intracardiac echography (ICE) catheters with real-time volumetric ultrasound imaging capability can provide unique benefits to many interventional procedures used in the diagnosis and treatment of coronary and structural heart diseases. Integration of capacitive micromachined ultrasonic transducer (CMUT) arrays with front-end electronics in single-chip configuration allows for implementation of such catheter probes with reduced interconnect complexity, miniaturization, and high mechanical flexibility. We implemented a single-chip forward-looking (FL) ultrasound imaging system by fabricating a 1.4-mm-diameter dual-ring CMUT array using CMUT-on-CMOS technology on a front-end IC implemented in 0.35-μm CMOS process. The dual-ring array has 56 transmit elements and 48 receive elements on two separate concentric annular rings. The IC incorporates a 25-V pulser for each transmitter and a low-noise capacitive transimpedance amplifier (TIA) for each receiver, along with digital control and smart power management. The final shape of the silicon chip is a 1.5-mm-diameter donut with a 430-μm center hole for a guide wire. The overall front-end system requires only 13 external connections and provides 4 parallel RF outputs while consuming an average power of 20 mW. We measured RF A-scans from the integrated single- chip array which show full functionality at 20.1 MHz with 43% fractional bandwidth. We also tested and demonstrated the image quality of the system on a wire phantom and an ex vivo chicken heart sample. The measured axial and lateral point resolutions are 92 μm and 251 μm, respectively. We successfully acquired volumetric imaging data from the ex vivo chicken heart at 60 frames per second without any signal averaging. These demonstrative results indicate that single-chip CMUT-on-CMOS systems have the potential to produce realtime volumetric images with image quality and speed suitable for catheter-based clinical applications.

  16. Decapsulation Method for Flip Chips with Ceramics in Microelectronic Packaging

    Science.gov (United States)

    Shih, T. I.; Duh, J. G.

    2008-06-01

    The decapsulation of flip chips bonded to ceramic substrates is a challenging task in the packaging industry owing to the vulnerability of the chip surface during the process. In conventional methods, such as manual grinding and polishing, the solder bumps are easily damaged during the removal of underfill, and the thin chip may even be crushed due to mechanical stress. An efficient and reliable decapsulation method consisting of thermal and chemical processes was developed in this study. The surface quality of chips after solder removal is satisfactory for the existing solder rework procedure as well as for die-level failure analysis. The innovative processes included heat-sink and ceramic substrate removal, solder bump separation, and solder residue cleaning from the chip surface. In the last stage, particular temperatures were selected for the removal of eutectic Pb-Sn, high-lead, and lead-free solders considering their respective melting points.

  17. Development of brain injury criteria (BrIC).

    Science.gov (United States)

    Takhounts, Erik G; Craig, Matthew J; Moorhouse, Kevin; McFadden, Joe; Hasija, Vikas

    2013-11-01

    Rotational motion of the head as a mechanism for brain injury was proposed back in the 1940s. Since then a multitude of research studies by various institutions were conducted to confirm/reject this hypothesis. Most of the studies were conducted on animals and concluded that rotational kinematics experienced by the animal's head may cause axonal deformations large enough to induce their functional deficit. Other studies utilized physical and mathematical models of human and animal heads to derive brain injury criteria based on deformation/pressure histories computed from their models. This study differs from the previous research in the following ways: first, it uses two different detailed mathematical models of human head (SIMon and GHBMC), each validated against various human brain response datasets; then establishes physical (strain and stress based) injury criteria for various types of brain injury based on scaled animal injury data; and finally, uses Anthropomorphic Test Devices (ATDs) (Hybrid III 50th Male, Hybrid III 5th Female, THOR 50th Male, ES-2re, SID-IIs, WorldSID 50th Male, and WorldSID 5th Female) test data (NCAP, pendulum, and frontal offset tests) to establish a kinematically based brain injury criterion (BrIC) for all ATDs. Similar procedures were applied to college football data where thousands of head impacts were recorded using a six degrees of freedom (6 DOF) instrumented helmet system. Since animal injury data used in derivation of BrIC were predominantly for diffuse axonal injury (DAI) type, which is currently an AIS 4+ injury, cumulative strain damage measure (CSDM) and maximum principal strain (MPS) were used to derive risk curves for AIS 4+ anatomic brain injuries. The AIS 1+, 2+, 3+, and 5+ risk curves for CSDM and MPS were then computed using the ratios between corresponding risk curves for head injury criterion (HIC) at a 50% risk. The risk curves for BrIC were then obtained from CSDM and MPS risk curves using the linear relationship

  18. The Implications Related to Different IC, Different Projects and Different Thinking Addressing the Common Core of IC

    DEFF Research Database (Denmark)

    Lindgren, Peter; Saghaug, Kristin Margrethe

    2009-01-01

    challenge the development of IC: - The IC at the organizational level seems to diminish when innovation gets highly dispersed and is operated outside the core of the organization - The attractiveness of the organization to different ICA, which is one fundament to sustainable and successful innovation, seems...... to fall when the IC at the organizational core level diminishes The objective of this paper is therefore to understand 1) How the IC at the organizational core level may continue to be developed, when at the same time innovation is taking place in dispersed groups and projects. 2) How to motivate...... the different ICA´s to bring learning and knowledge back to the core with the purpose to develop IC at the organizational core level....

  19. STRESS ANALYSIS IN CUTTING TOOLS COATED TiN AND EFFECT OF THE FRICTION COEFFICIENT IN TOOL-CHIP INTERFACE

    Directory of Open Access Journals (Sweden)

    Kubilay ASLANTAŞ

    2003-02-01

    Full Text Available The coated tools are regularly used in today's metal cutting industry. Because, it is well known that thin and hard coatings can reduce tool wear, improve tool life and productivity. Such coatings have significantly contributed to the improvements cutting economies and cutting tool performance through lower tool wear and reduced cutting forces. TiN coatings have especially high strength and low friction coefficients. During the cutting process, low friction coefficient reduce damage in cutting tool. In addition, maximum stress values between coating and substrate also decrease as the friction coefficient decreases. In the present study, stress analysis is carried out for HSS (High Speed Steel cutting tool coated with TiN. The effect of the friction coefficient between tool and chip on the stresses developed at the cutting tool surface and interface of coating and HSS is investigated. Damage zones during cutting process was also attempted to determine. Finite elements method is used for the solution of the problem and FRANC2D finite element program is selected for numerical solutions.

  20. Irregular Dwarf Galaxy IC 1613

    Science.gov (United States)

    2005-01-01

    Ultraviolet image (left) and visual image (right) of the irregular dwarf galaxy IC 1613. Low surface brightness galaxies, such as IC 1613, are more easily detected in the ultraviolet because of the low background levels compared to visual wavelengths.

  1. A novel on-chip high to low voltage power conversion circuit

    International Nuclear Information System (INIS)

    Wang Hui; Wang Songlin; Mou Zaixin; Guo Baolong; Lai Xinquan; Ye Qiang; Li Xianrui

    2009-01-01

    A novel power supply transform technique for high voltage IC based on the TSMC 0.6 μm BCD process is achieved. An adjustable bandgap voltage reference is presented which is different from the traditional power supply transform technique. It can be used as an internal power supply for high voltage IC by using the push-pull output stage to enhance its load capability. High-order temperature compensated circuit is designed to ensure the precision of the reference. Only 0.01 mm 2 area is occupied using this novel power supply technique. Compared with traditional technique, 50% of the area is saved, 40% quiescent power loss is decreased, and the temperature coefficient of the reference is only 4.48 ppm/deg. C. Compared with the traditional LDO (low dropout) regulator, this power conversion architecture does not need external output capacitance and decreases the chip-pin and external components, so the PCB area and design cost are also decreased. The testing results show that this circuit works well.

  2. A novel on-chip high to low voltage power conversion circuit

    Energy Technology Data Exchange (ETDEWEB)

    Wang Hui; Wang Songlin; Mou Zaixin; Guo Baolong [Institute of Mechano-electronic Engineering, Xidian University, Xi' an 71007 (China); Lai Xinquan; Ye Qiang; Li Xianrui, E-mail: whui94@126.co [Institute of Electronic CAD, Xidian University, Xi' an 710071 (China)

    2009-03-15

    A novel power supply transform technique for high voltage IC based on the TSMC 0.6 mum BCD process is achieved. An adjustable bandgap voltage reference is presented which is different from the traditional power supply transform technique. It can be used as an internal power supply for high voltage IC by using the push-pull output stage to enhance its load capability. High-order temperature compensated circuit is designed to ensure the precision of the reference. Only 0.01 mm{sup 2} area is occupied using this novel power supply technique. Compared with traditional technique, 50% of the area is saved, 40% quiescent power loss is decreased, and the temperature coefficient of the reference is only 4.48 ppm/deg. C. Compared with the traditional LDO (low dropout) regulator, this power conversion architecture does not need external output capacitance and decreases the chip-pin and external components, so the PCB area and design cost are also decreased. The testing results show that this circuit works well.

  3. Electromigration in 3D-IC scale Cu/Sn/Cu solder joints

    Energy Technology Data Exchange (ETDEWEB)

    Ho, Cheng-En, E-mail: ceho1975@hotmail.com; Lee, Pei-Tzu; Chen, Chih-Nan; Yang, Cheng-Hsien

    2016-08-15

    The electromigration effect on the three-dimensional integrated circuits (3D-IC) scale solder joints with a Cu/Sn(25–50 μm)/Cu configuration was investigated using a field-emission scanning electron microscope (FE–SEM) combined with electron backscatter diffraction (EBSD) analysis system. Electron current stressing for a few days caused the pronounced accumulation of Cu{sub 6}Sn{sub 5} in specific Sn grain boundaries (GBs). The EBSD analysis indicated that both the β-Sn crystallographic orientation and GB orientation play dominant roles in this accumulation. The dependencies of the Cu{sub 6}Sn{sub 5} accumulation on the two above factors (i.e., Sn grain orientation and GB orientation) can be well rationalized via a proposed mathematic model based on the Huntington and Grone's electromigration theory with the Cu anisotropic diffusion data in a β-Sn lattice. - Highlights: • Anisotropic Cu electromigration in the 3D-IC scale microelectronic solder joints. • Pronounced accumulation of Cu{sub 6}Sn{sub 5} intermetallic in specific Sn grain boundaries. • A linear dependence of Cu{sub 6}Sn{sub 5} accumulation over the current stressing time. • β-Sn and grain boundary orientations are the dominant factors in Cu{sub 6}Sn{sub 5} accumulation.

  4. Silicon Chip-to-Chip Mode-Division Multiplexing

    DEFF Research Database (Denmark)

    Baumann, Jan Markus; Porto da Silva, Edson; Ding, Yunhong

    2018-01-01

    A chip-to-chip mode-division multiplexing connection is demonstrated using a pair of multiplexers/demultiplexers fabricated on the silicon-on-insulator platform. Successful mode multiplexing and demultiplexing is experimentally demonstrated, using the LP01, LP11a and LP11b modes.......A chip-to-chip mode-division multiplexing connection is demonstrated using a pair of multiplexers/demultiplexers fabricated on the silicon-on-insulator platform. Successful mode multiplexing and demultiplexing is experimentally demonstrated, using the LP01, LP11a and LP11b modes....

  5. Near-chip compliant layer for reducing perimeter stress during assembly process

    Energy Technology Data Exchange (ETDEWEB)

    Schultz, Mark D.; Takken, Todd E.; Tian, Shurong; Yao, Yuan

    2018-03-20

    A heat source (single semiconductor chip or group of closely spaced semiconductor chips of similar height) is provided on a first side of a substrate, which substrate has on said first side a support member comprising a compressible material. A heat removal component, oriented at an angle to said heat source, is brought into proximity of said heat source such that said heat removal component contacts said support member prior to contacting said heat source. Said heat removal component is assembled to said heat source such that said support member at least partially absorbs global inequality of force that would otherwise be applied to said heat source, absent said support member comprising said compressible material.

  6. Role of insular cortex in visceral hypersensitivity model in rats subjected to chronic stress.

    Science.gov (United States)

    Yi, LiSha; Sun, HuiHui; Ge, Chao; Chen, Ying; Peng, HaiXia; Jiang, YuanXi; Wu, Ping; Tang, YinHan; Meng, QingWei; Xu, ShuChang

    2014-12-30

    Abnormal processing of visceral sensation at the level of the central nervous system has been proven to be important in the pathophysiologic mechanisms of stress related functional gastrointestinal disorders. However, the specific mechanism is still not clear. The insular cortex (IC) was considered as one important visceral sensory area. Moreover, the IC has been shown to be involved in various neuropsychiatric diseases such as panic disorders and post-traumatic stress disorder. However, whether the IC is important in psychological stress related visceral hypersensitivity has not been studied yet. In our study, through destruction of the bilateral IC, we explored whether the IC played a critical role in the formation of visceral hypersensitivity induced by chronic stress on rats. Chronic partial restraint stress was used to establish viscerally hypersensitive rat model. Bilateral IC lesions were generated by N-methyl-D-day (door) aspartate. After a recovery period of 7 days, 14-day consecutive restraint stress was performed. The visceromotor response to colorectal distension was monitored by recording electromyogram to measure rats׳ visceral sensitivity. We found that bilateral insular cortex lesion could markedly inhibit the formation of visceral hypersensitivity induced by chronic stress. The insular cortex plays a critical role in the pathophysiology of stress-related visceral hypersensitivity.

  7. Wafer level 3-D ICs process technology

    CERN Document Server

    Tan, Chuan Seng; Reif, L Rafael

    2009-01-01

    This book focuses on foundry-based process technology that enables the fabrication of 3-D ICs. The core of the book discusses the technology platform for pre-packaging wafer lever 3-D ICs. However, this book does not include a detailed discussion of 3-D ICs design and 3-D packaging. This is an edited book based on chapters contributed by various experts in the field of wafer-level 3-D ICs process technology. They are from academia, research labs and industry.

  8. Submission of the First Full Scale Prototype Chip for Upgraded ATLAS Pixel Detector at LHC, FE-I4A

    CERN Document Server

    Barbero, M; The ATLAS collaboration; Beccherle, R; Darbo, G; Dube, S; Elledge, D; Fleury, J; Fougeron, D; Garcia-Sciveres, M; Gensolen, F; Gnani, D; Gromov, V; Jensen, F; Hemperek, T; Karagounis, M; Kluit, R; Kruth, A; Mekkaoui, A; Menouni, M; Schipper, JD; Wermes, N; Zivkovic, V

    2010-01-01

    A new ATLAS pixel chip FE-I4 is being developed for use in upgraded LHC luminosity environments, including the near-term Insertable B-Layer (IBL) upgrade. FE-I4 is designed in a 130nm CMOS technology, presenting advantages in terms of radiation tolerance and digital logic density compared to the 250nm CMOS technology used for the current ATLAS pixel IC, FE-I3. The FE-I4 architecture is based on an array of 80x336 pixels, each 50x250um^2, consisting of analog and digital sections. In the summer 2010, a first full scale prototype FE-I4A was submitted for an engineering run. This IC features the full scale pixel array as well as the complex periphery of the future full-size FE-I4. The FE-I4A contains also various extra test features which should prove very useful for the chip characterization, but deviate from the needs for standard operation of the final FE-I4 for IBL. In this paper, focus will be brought to the various features implemented in the FE-I4A submission, while also underlining the main differences b...

  9. Microfluidic organ-on-chip technology for blood-brain barrier research.

    Science.gov (United States)

    van der Helm, Marinke W; van der Meer, Andries D; Eijkel, Jan C T; van den Berg, Albert; Segerink, Loes I

    2016-01-01

    Organs-on-chips are a new class of microengineered laboratory models that combine several of the advantages of current in vivo and in vitro models. In this review, we summarize the advances that have been made in the development of organ-on-chip models of the blood-brain barrier (BBBs-on-chips) and the challenges that are still ahead. The BBB is formed by specialized endothelial cells and separates blood from brain tissue. It protects the brain from harmful compounds from the blood and provides homeostasis for optimal neuronal function [corrected]. Studying BBB function and dysfunction is important for drug development and biomedical research. Microfluidic BBBs-on-chips enable real-time study of (human) cells in an engineered physiological microenvironment, for example incorporating small geometries and fluid flow as well as sensors. Examples of BBBs-on-chips in literature already show the potential of more realistic microenvironments and the study of organ-level functions. A key challenge in the field of BBB-on-chip development is the current lack of standardized quantification of parameters such as barrier permeability and shear stress. This limits the potential for direct comparison of the performance of different BBB-on-chip models to each other and existing models. We give recommendations for further standardization in model characterization and conclude that the rapidly emerging field of BBB-on-chip models holds great promise for further studies in BBB biology and drug development.

  10. Flip chip assembly of thinned chips for hybrid pixel detector applications

    International Nuclear Information System (INIS)

    Fritzsch, T; Zoschke, K; Rothermund, M; Oppermann, H; Woehrmann, M; Ehrmann, O; Lang, K D; Huegging, F

    2014-01-01

    There is a steady trend to ultra-thin microelectronic devices. Especially for future particle detector systems a reduced readout chip thickness is required to limit the loss of tracking precision due to scattering. The reduction of silicon thickness is performed at wafer level in a two-step thinning process. To minimize the risk of wafer breakage the thinned wafer needs to be handled by a carrier during the whole process chain of wafer bumping. Another key process is the flip chip assembly of thinned readout chips onto thin sensor tiles. Besides the prevention of silicon breakage the minimization of chip warpage is one additional task for a high yield and reliable flip chip process. A new technology using glass carrier wafer will be described in detail. The main advantage of this technology is the combination of a carrier support during wafer processing and the chip support during flip chip assembly. For that a glass wafer is glue-bonded onto the backside of the thinned readout chip wafer. After the bump deposition process the glass-readout chip stack is diced in one step. Finally the glass carrier chip is released by laser illumination after flip chip assembly of the readout chip onto sensor tile. The results of the flip chip assembly process development for the ATLAS IBL upgrade are described more in detail. The new ATLAS FEI4B chip with a size of 20 × 19 mm 2 is flip chip bonded with a thickness of only 150 μm, but the capability of this technology has been demonstrated on hybrid modules with a reduced readout chip thickness of down to 50 μm which is a major step for ultra-thin electronic systems

  11. SEM probe of IC radiation sensitivity

    Science.gov (United States)

    Gauthier, M. K.; Stanley, A. G.

    1979-01-01

    Scanning Electron Microscope (SEM) used to irradiate single integrated circuit (IC) subcomponent to test for radiation sensitivity can localize area of IC less than .03 by .03 mm for determination of exact location of radiation sensitive section.

  12. An On-Chip Learning Neuromorphic Autoencoder With Current-Mode Transposable Memory Read and Virtual Lookup Table.

    Science.gov (United States)

    Cho, Hwasuk; Son, Hyunwoo; Seong, Kihwan; Kim, Byungsub; Park, Hong-June; Sim, Jae-Yoon

    2018-02-01

    This paper presents an IC implementation of on-chip learning neuromorphic autoencoder unit in a form of rate-based spiking neural network. With a current-mode signaling scheme embedded in a 500 × 500 6b SRAM-based memory, the proposed architecture achieves simultaneous processing of multiplications and accumulations. In addition, a transposable memory read for both forward and backward propagations and a virtual lookup table are also proposed to perform an unsupervised learning of restricted Boltzmann machine. The IC is fabricated using 28-nm CMOS process and is verified in a three-layer network of encoder-decoder pair for training and recovery of images with two-dimensional pixels. With a dataset of 50 digits, the IC shows a normalized root mean square error of 0.078. Measured energy efficiencies are 4.46 pJ per synaptic operation for inference and 19.26 pJ per synaptic weight update for learning, respectively. The learning performance is also estimated by simulations if the proposed hardware architecture is extended to apply to a batch training of 60 000 MNIST datasets.

  13. Dicty_cDB: FC-IC0102 [Dicty_cDB

    Lifescience Database Archive (English)

    Full Text Available FC-IC (Link to library) FC-IC0102 (Link to dictyBase) - - - Contig-U16527-1 FC-IC01...02F (Link to Original site) FC-IC0102F 434 - - - - - - Show FC-IC0102 Library FC-IC (Link to library) Clone ...ID FC-IC0102 (Link to dictyBase) Atlas ID - NBRP ID - dictyBase ID - Link to Contig Contig-U16527-1 Original site URL http://dict... (bits) Value N AB088483 |AB088483.1 Dictyostelium discoideum gene for gamete and mating-type specific prote...oducing significant alignments: (bits) Value AB088483_1( AB088483 |pid:none) Dictyostelium discoideum gmsA g

  14. A multi-scale PDMS fabrication strategy to bridge the size mismatch between integrated circuits and microfluidics.

    Science.gov (United States)

    Muluneh, Melaku; Issadore, David

    2014-12-07

    In recent years there has been great progress harnessing the small-feature size and programmability of integrated circuits (ICs) for biological applications, by building microfluidics directly on top of ICs. However, a major hurdle to the further development of this technology is the inherent size-mismatch between ICs (~mm) and microfluidic chips (~cm). Increasing the area of the ICs to match the size of the microfluidic chip, as has often been done in previous studies, leads to a waste of valuable space on the IC and an increase in fabrication cost (>100×). To address this challenge, we have developed a three dimensional PDMS chip that can straddle multiple length scales of hybrid IC/microfluidic chips. This approach allows millimeter-scale ICs, with no post-processing, to be integrated into a centimeter-sized PDMS chip. To fabricate this PDMS chip we use a combination of soft-lithography and laser micromachining. Soft lithography was used to define micrometer-scale fluid channels directly on the surface of the IC, allowing fluid to be controlled with high accuracy and brought into close proximity to sensors for highly sensitive measurements. Laser micromachining was used to create ~50 μm vias to connect these molded PDMS channels to a larger PDMS chip, which can connect multiple ICs and house fluid connections to the outside world. To demonstrate the utility of this approach, we built and demonstrated an in-flow magnetic cytometer that consisted of a 5 × 5 cm(2) microfluidic chip that incorporated a commercial 565 × 1145 μm(2) IC with a GMR sensing circuit. We additionally demonstrated the modularity of this approach by building a chip that incorporated two of these GMR chips connected in series.

  15. Dynamical Competition of IC-Industry Clustering from Taiwan to China

    Science.gov (United States)

    Tsai, Bi-Huei; Tsai, Kuo-Hui

    2009-08-01

    Most studies employ qualitative approach to explore the industrial clusters; however, few research has objectively quantified the evolutions of industry clustering. The purpose of this paper is to quantitatively analyze clustering among IC design, IC manufacturing as well as IC packaging and testing industries by using the foreign direct investment (FDI) data. The Lotka-Volterra system equations are first adopted here to capture the competition or cooperation among such three industries, thus explaining their clustering inclinations. The results indicate that the evolution of FDI into China for IC design industry significantly inspire the subsequent FDI of IC manufacturing as well as IC packaging and testing industries. Since IC design industry lie in the upstream stage of IC production, the middle-stream IC manufacturing and downstream IC packing and testing enterprises tend to cluster together with IC design firms, in order to sustain a steady business. Finally, Taiwan IC industry's FDI amount into China is predicted to cumulatively increase, which supports the industrial clustering tendency for Taiwan IC industry. Particularly, the FDI prediction of Lotka-Volterra model performs superior to that of the conventional Bass model after the forecast accuracy of these two models are compared. The prediction ability is dramatically improved as the industrial mutualism among each IC production stage is taken into account.

  16. Influences of Cutting Speed and Material Mechanical Properties on Chip Deformation and Fracture during High-Speed Cutting of Inconel 718

    Directory of Open Access Journals (Sweden)

    Bing Wang

    2018-03-01

    Full Text Available The paper aims to investigate the influences of material constitutive and fracture parameters in addition to cutting speed on chip formation during high-speed cutting of Inconel 718. Finite element analyses for chip formation are conducted with Johnson–Cook constitutive and fracture models. Meanwhile, experiments of high-speed orthogonal cutting are performed to verify the simulation results with cutting speeds ranging from 50 m/min to 7000 m/min. The research indicates that the chip morphology transforms from serrated to fragmented at the cutting speed of 7000 m/min due to embrittlement of the workpiece material under ultra-high cutting speeds. The parameter of shear localization sensitivity is put forward to describe the influences of material mechanical properties on serrated chip formation. The results demonstrate that the effects of initial yield stress and thermal softening coefficient on chip shear localization are much more remarkable than the other constitutive parameters. For the material fracture parameters, the effects of initial fracture strain and exponential factor of stress state on chip shear localization are more much prominent. This paper provides guidance for controlling chip formation through the adjustment of material mechanical properties and the selection of appropriate cutting parameters.

  17. Influences of Cutting Speed and Material Mechanical Properties on Chip Deformation and Fracture during High-Speed Cutting of Inconel 718.

    Science.gov (United States)

    Wang, Bing; Liu, Zhanqiang; Hou, Xin; Zhao, Jinfu

    2018-03-21

    The paper aims to investigate the influences of material constitutive and fracture parameters in addition to cutting speed on chip formation during high-speed cutting of Inconel 718. Finite element analyses for chip formation are conducted with Johnson-Cook constitutive and fracture models. Meanwhile, experiments of high-speed orthogonal cutting are performed to verify the simulation results with cutting speeds ranging from 50 m/min to 7000 m/min. The research indicates that the chip morphology transforms from serrated to fragmented at the cutting speed of 7000 m/min due to embrittlement of the workpiece material under ultra-high cutting speeds. The parameter of shear localization sensitivity is put forward to describe the influences of material mechanical properties on serrated chip formation. The results demonstrate that the effects of initial yield stress and thermal softening coefficient on chip shear localization are much more remarkable than the other constitutive parameters. For the material fracture parameters, the effects of initial fracture strain and exponential factor of stress state on chip shear localization are more much prominent. This paper provides guidance for controlling chip formation through the adjustment of material mechanical properties and the selection of appropriate cutting parameters.

  18. IC Associated Conditions

    Science.gov (United States)

    ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Newly Diagnosed Toolkit IC Awareness Toolkit Know ... Bowel Syndrome Lupus Pelvic Floor Dysfunction Pudendal Neuralgia Sjogren’s Syndrome Vulvodynia Click to learn more about these and ...

  19. Precracking of WC-Co-hardmetal-specimens for fracture-toughness testing. Anrisserzeugung in WC-Co-Hartmetall-Proben fuer die Messung des kritischen Spannungsintensitaetsfaktors K sub IC

    Energy Technology Data Exchange (ETDEWEB)

    Wissmeier, H.J.; Engel, U. (Erlangen-Nuernberg Univ., Erlangen (Germany, F.R.). Lehrstuhl fuer Fertigungstechnologie)

    1989-09-01

    The determination of a valid critical stress intensity factor K{sub IC} requires an extremely sharp, well defined initial crack. Methods producing such a crack are well known for metallic materials, but they often can not be used with brittle materials, like cemented carbides or ceramics. Their low fracture toughness makes a controlled crack growth under pure tensile stress nearly impossible. More useful are precracking methods, utilizing a stress gradient to stop the crack at defined depth. A very simple method uses the indentation of a hardness tester to produce a semi-elliptical surface crack, interfered with residual stresses. For different areas of application and specimen geometries, bridge indentation, wedge indentation and composite bending method produce cracks with a straight front. Also under cyclic loading, under tensile as well as under compressive stress, the creation of a sharp precrack, applicable in K{sub IC} measurement, is possible. (orig.).

  20. The Front-End Readout as an Encoder IC for Magneto-Resistive Linear Scale Sensors

    Directory of Open Access Journals (Sweden)

    Trong-Hieu Tran

    2016-09-01

    Full Text Available This study proposes a front-end readout circuit as an encoder chip for magneto-resistance (MR linear scales. A typical MR sensor consists of two major parts: one is its base structure, also called the magnetic scale, which is embedded with multiple grid MR electrodes, while another is an “MR reader” stage with magnets inside and moving on the rails of the base. As the stage is in motion, the magnetic interaction between the moving stage and the base causes the variation of the magneto-resistances of the grid electrodes. In this study, a front-end readout IC chip is successfully designed and realized to acquire temporally-varying resistances in electrical signals as the stage is in motions. The acquired signals are in fact sinusoids and co-sinusoids, which are further deciphered by the front-end readout circuit via newly-designed programmable gain amplifiers (PGAs and analog-to-digital converters (ADCs. The PGA is particularly designed to amplify the signals up to full dynamic ranges and up to 1 MHz. A 12-bit successive approximation register (SAR ADC for analog-to-digital conversion is designed with linearity performance of ±1 in the least significant bit (LSB over the input range of 0.5–2.5 V from peak to peak. The chip was fabricated by the Taiwan Semiconductor Manufacturing Company (TSMC 0.35-micron complementary metal oxide semiconductor (CMOS technology for verification with a chip size of 6.61 mm2, while the power consumption is 56 mW from a 5-V power supply. The measured integral non-linearity (INL is −0.79–0.95 LSB while the differential non-linearity (DNL is −0.68–0.72 LSB. The effective number of bits (ENOB of the designed ADC is validated as 10.86 for converting the input analog signal to digital counterparts. Experimental validation was conducted. A digital decoder is orchestrated to decipher the harmonic outputs from the ADC via interpolation to the position of the moving stage. It was found that the displacement

  1. NEPP Evaluation of Automotive Grade Tantalum Chip Capacitors

    Science.gov (United States)

    Sampson, Mike; Brusse, Jay

    2018-01-01

    Automotive grade tantalum (Ta) chip capacitors are available at lower cost with smaller physical size and higher volumetric efficiency compared to military/space grade capacitors. Designers of high reliability aerospace and military systems would like to take advantage of these attributes while maintaining the high standards for long-term reliable operation they are accustomed to when selecting military-qualified established reliability tantalum chip capacitors (e.g., MIL-PRF-55365). The objective for this evaluation was to assess the long-term performance of off-the-shelf automotive grade Ta chip capacitors (i.e., manufacturer self-qualified per AEC Q-200). Two (2) lots of case size D manganese dioxide (MnO2) cathode Ta chip capacitors from 1 manufacturer were evaluated. The evaluation consisted of construction analysis, basic electrical parameter characterization, extended long-term (2000 hours) life testing and some accelerated stress testing. Tests and acceptance criteria were based upon manufacturer datasheets and the Automotive Electronics Council's AEC Q-200 qualification specification for passive electronic components. As-received a few capacitors were marginally above the specified tolerance for capacitance and ESR. X-ray inspection found that the anodes for some devices may not be properly aligned within the molded encapsulation leaving less than 1 mil thickness of the encapsulation. This evaluation found that the long-term life performance of automotive grade Ta chip capacitors is generally within specification limits suggesting these capacitors may be suitable for some space applications.

  2. Fluctuating asymmetry and developmental instability in Protoreaster nodosus (Chocolate Chip Sea Star as a biomarker for environmental stress

    Directory of Open Access Journals (Sweden)

    D. J. V. Trono

    2015-06-01

    Full Text Available Fluctuating asymmetry (FA, pertains to small and random departures from perfect symmetry of an organism's bilateral traits and has been used as a measurement of developmental instability and as a potential indicator of stress in populations. It measures the variations from symmetry of a symmetrical structure whose sides are said to be genetically identical, with similar history of gene activity and experiencing the same environment. Symmetries are potentially the basis for studies on FA. Hence, this study assessed the potential of FA as a reliable developmental instability and environmental stress indicator in five-fold dihedral symmetrical Protoreaster nodosus (Chocolate chip sea fish from three (3 different sites (Linamon, Lanao del Norte; Initao, Misamis Oriental and Jasaan, Misamis Oriental. FA for each population from every site was measured for comparison. In this study, anatomical landmarks were subjected to Procrustes superimposition and Principal Component Analysis (PCA using "Symmetry and Asymmetry in Geometric Data" (SAGE program. Results showed highly significant FA and significant DA for population from Jasaan and Linamon where habitat disturbance due to anthropogenic activities were prevalent. Thus, experienced more stress compared to the other populations, suggesting that significant variation in size or left-right side of each individual could be a product of genotype-environment interaction. Moreover, insignificant FA and high DA was obtained from Initao (protected seascape area which indicated that variation among individual genotypes and asymmetry in phenotypes is mostly induced by genetics under less stressful environment. Significant FA and increase FA present inability of species to buffer stress in its developmental pathways and have implications on species fitness. Hypothesis assumes that fluctuating asymmetry has costs, reflects the quality of individuals and the level of genetic and environmental stress experienced by

  3. Flip chip assembly of thinned chips for hybrid pixel detector applications

    CERN Document Server

    Fritzsch, T; Woehrmann, M; Rothermund, M; Huegging, F; Ehrmann, O; Oppermann, H; Lang, K.D

    2014-01-01

    There is a steady trend to ultra-thin microelectronic devices. Especially for future particle detector systems a reduced readout chip thickness is required to limit the loss of tracking precision due to scattering. The reduction of silicon thickness is performed at wafer level in a two-step thinning process. To minimize the risk of wafer breakage the thinned wafer needs to be handled by a carrier during the whole process chain of wafer bumping. Another key process is the flip chip assembly of thinned readout chips onto thin sensor tiles. Besides the prevention of silicon breakage the minimization of chip warpage is one additional task for a high yield and reliable flip chip process. A new technology using glass carrier wafer will be described in detail. The main advantage of this technology is the combination of a carrier support during wafer processing and the chip support during flip chip assembly. For that a glass wafer is glue-bonded onto the backside of the thinned readout chip wafer. After the bump depo...

  4. Conceptualising Intellectual Capital (IC) as Language Game and Power

    DEFF Research Database (Denmark)

    Jørgensen, Kenneth Mølbjerg

    2006-01-01

    Intellectual Capital (IC) can be viewed as knowledge about knowledge, knowledge creation and how such processes might be leveraged into value. Developing a critical understanding of IC requires a historical and contextual understanding of how IC has emerged and how IC is used. This paper, drawing...... this process of social construction. The paper concludes by proposing some methodological guidelines for conducting critical genealogical research on intellectual capital....

  5. On-chip concentration of bacteria using a 3D dielectrophoretic chip and subsequent laser-based DNA extraction in the same chip

    International Nuclear Information System (INIS)

    Cho, Yoon-Kyoung; Kim, Tae-hyeong; Lee, Jeong-Gun

    2010-01-01

    We report the on-chip concentration of bacteria using a dielectrophoretic (DEP) chip with 3D electrodes and subsequent laser-based DNA extraction in the same chip. The DEP chip has a set of interdigitated Au post electrodes with 50 µm height to generate a network of non-uniform electric fields for the efficient trapping by DEP. The metal post array was fabricated by photolithography and subsequent Ni and Au electroplating. Three model bacteria samples (Escherichia coli, Staphylococcus epidermidis, Streptococcus mutans) were tested and over 80-fold concentrations were achieved within 2 min. Subsequently, on-chip DNA extraction from the concentrated bacteria in the 3D DEP chip was performed by laser irradiation using the laser-irradiated magnetic bead system (LIMBS) in the same chip. The extracted DNA was analyzed with silicon chip-based real-time polymerase chain reaction (PCR). The total process of on-chip bacteria concentration and the subsequent DNA extraction can be completed within 10 min including the manual operation time.

  6. Thermal cycling reliability of Cu/SnAg double-bump flip chip assemblies for 100 μm pitch applications

    Science.gov (United States)

    Son, Ho-Young; Kim, Ilho; Lee, Soon-Bok; Jung, Gi-Jo; Park, Byung-Jin; Paik, Kyung-Wook

    2009-01-01

    A thick Cu column based double-bump flip chip structure is one of the promising alternatives for fine pitch flip chip applications. In this study, the thermal cycling (T/C) reliability of Cu/SnAg double-bump flip chip assemblies was investigated, and the failure mechanism was analyzed through the correlation of T/C test and the finite element analysis (FEA) results. After 1000 thermal cycles, T/C failures occurred at some Cu/SnAg bumps located at the edge and corner of chips. Scanning acoustic microscope analysis and scanning electron microscope observations indicated that the failure site was the Cu column/Si chip interface. It was identified by a FEA where the maximum stress concentration was located during T/C. During T/C, the Al pad between the Si chip and a Cu column bump was displaced due to thermomechanical stress. Based on the low cycle fatigue model, the accumulation of equivalent plastic strain resulted in thermal fatigue deformation of the Cu column bumps and ultimately reduced the thermal cycling lifetime. The maximum equivalent plastic strains of some bumps at the chip edge increased with an increased number of thermal cycles. However, equivalent plastic strains of the inner bumps did not increase regardless of the number of thermal cycles. In addition, the z-directional normal plastic strain ɛ22 was determined to be compressive and was a dominant component causing the plastic deformation of Cu/SnAg double bumps. As the number of thermal cycles increased, normal plastic strains in the perpendicular direction to the Si chip and shear strains were accumulated on the Cu column bumps at the chip edge at low temperature region. Thus it was found that the Al pad at the Si chip/Cu column interface underwent thermal fatigue deformation by compressive normal strain and the contact loss by displacement failure of the Al pad, the main T/C failure mode of the Cu/SnAg flip chip assembly, then occurred at the Si chip/Cu column interface shear strain deformation

  7. Prediction of 3D chip formation in the facing cutting with lathe machine using FEM

    Science.gov (United States)

    Prasetyo, Yudhi; Tauviqirrahman, Mohamad; Rusnaldy

    2016-04-01

    This paper presents the prediction of the chip formation at the machining process using a lathe machine in a more specific way focusing on facing cutting (face turning). The main purpose is to propose a new approach to predict the chip formation with the variation of the cutting directions i.e., the backward and forward direction. In addition, the interaction between stress analysis and chip formation on cutting process was also investigated. The simulations were conducted using three dimensional (3D) finite element method based on ABAQUS software with aluminum and high speed steel (HSS) as the workpiece and the tool materials, respectively. The simulation result showed that the chip resulted using a backward direction depicts a better formation than that using a conventional (forward) direction.

  8. Chips 2020

    CERN Document Server

    2016-01-01

    The release of this second volume of CHIPS 2020 coincides with the 50th anniversary of Moore’s Law, a critical year marked by the end of the nanometer roadmap and by a significantly reduced annual rise in chip performance. At the same time, we are witnessing a data explosion in the Internet, which is consuming 40% more electrical power every year, leading to fears of a major blackout of the Internet by 2020. The messages of the first CHIPS 2020, published in 2012, concerned the realization of quantum steps for improving the energy efficiency of all chip functions. With this second volume, we review these messages and amplify upon the most promising directions: ultra-low-voltage electronics, nanoscale monolithic 3D integration, relevant-data, brain- and human-vision-inspired processing, and energy harvesting for chip autonomy. The team of authors, enlarged by more world leaders in low-power, monolithic 3D, video, and Silicon brains, presents new vistas in nanoelectronics, promising  Moore-like exponential g...

  9. Extracción de cobre desde soluciones clorhídricas con LIX 860N-IC y LIX 84-IC

    Directory of Open Access Journals (Sweden)

    Navarro, Carlos María

    2001-08-01

    Full Text Available In this work, the extraction of copper from chloride solutions with two hydroxyoximes: 5- nonylsalicylaldoxime (LIX 860N-IC and 2-hydroxy-5-nonylacetophenona oxime (LIX 84-IC is discussed. The results showed that an increase in the acidity and an increase in the total concentration of chloride ions in the aqueous phase decreased significantly the extraction of copper as well as the extraction of iron for both extractants. This effect of the chloride ions can be explained by the formation of a series of chloro complexes of Cu(II and Fe(III in the aqueous phase. The effect of initial pH and total chloride concentration on the extraction of chloride by the organic phase suggests that LIX 860N-IC, and to a lesser extent LIX 84-IC, extract small amounts of the cationic complex, CuCl+. An increase in the concentration of chloride ions also produced a small decrease in the rate of copper extraction with both hydroxyoximes.

    En este trabajo se discute el estudio de la extracción de cobre desde soluciones clorhídricas con dos hidroxioximas: 5-nonilsalicilaldoxima (LIX 860N-IC, y 2-hidroxi-5 nonilacetofenona oxima (LIX 84-IC. Los resultados indicaron que al aumentar la acidez o aumentar la concentración de cloruro en la fase acuosa se produce una significativa disminución en la extracción de cobre y hierro con ambas hidroxioximas. Este efecto del ion cloruro se explica por la formación de varios clorocomplejos de Cu(II y Fe(III en la solución acuosa. El efecto del pH y la concentración total de cloruro en la extracción de cloruro sugiere que el LIX 860N-IC, y en menor grado el LIX 84-IC extraen pequeñas cantidades del catión monovalente, CuCl+. Se determinó también que un aumento en la concentración de cloruro en la solución acuosa produce una leve disminución en la velocidad de extracción del cobre con ambas hidroxioximas.

  10. Measuring IC following a semi-qualitative approach: An integrated framework

    Directory of Open Access Journals (Sweden)

    Chiara Verbano

    2013-09-01

    Full Text Available Purpose: Considering the different IC measures adopted in literature, the advantages of adopting semi-qualitative measures, and the lack of an agreed system for IC evaluation, the purpose of the paper is to analyse literature on IC measurement following a semi-qualitative approach, with the final intent to build an IC measurement framework. Design/methodology/approach: A literature review on IC measurement system, following a semi-qualitative approach, has been conducted and analysed, in order to re-organize and synthesize all items used in previous researches. Findings: An integrated framework emerged from this research and it constitutes an IC  measurement system, created gathering and integrating different items previously adopted in literature. Each of these variables has been organized in categories belonging to one of the three main components of IC: human capital, internal structural capital and relational capital. Originality/value: This research provides an integrated tool for IC evaluation, fostering toward a well agreed measurement system that is still lacking in literature. This framework could be interesting  not only for the academic world, which in the last two decades reveals increasing attention to IC, but also for the management of the companies, that with IC measurement can increase awareness of the firm’s value and develop internal auditing system to support the management of these assets. Moreover, it could be a useful instrument for the communication of IC value to the external stakeholders, as customers, suppliers and especially shareholders, and to investors and financial analysts.

  11. Price of forest chips decreasing

    International Nuclear Information System (INIS)

    Hakkila, P.

    2001-01-01

    Use of forest chips was studied in 1999 in the national Puuenergia (Wood Energy) research program. Wood combusting heating plants were questioned about are the main reasons restricting the increment of the use of forest chips. Heating plants, which did not use forest chips at all or which used less than 250 m 3 (625 bulk- m 3 ) in 1999 were excluded. The main restrictions for additional use of forest chips were: too high price of forest chips; lack of suppliers and/or uncertainty of deliveries; technical problems of reception and processing of forest chips; insufficiency of boiler output especially in winter; and unsatisfactory quality of chips. The price of forest chips becomes relatively high because wood biomass used for production of forest chips has to be collected from wide area. Heavy equipment has to be used even though small fragments of wood are processed, which increases the price of chips. It is essential for forest chips that the costs can be pressed down because competition with fossil fuels, peat and industrial wood residues is hard. Low market price leads to the situation in which forest owner gets no price of the raw material, the entrepreneurs operate at the limit of profitability and renovation of machinery is difficult, and forest chips suppliers have to sell the chips at prime costs. Price of forest chips has decreased significantly during the past decade. Nominal price of forest chips is now lower than two decades ago. The real price of chips has decreased even more than the nominal price, 35% during the past decade and 20% during the last five years. Chips, made of small diameter wood, are expensive because the price includes the felling costs and harvesting is carried out at thinning lots. Price is especially high if chips are made of delimbed small diameter wood due to increased the work and reduced amount of chips. The price of logging residue chips is most profitable because cutting does not cause additional costs. Recovery of chips is

  12. STUDY OF CHIP IGNITION AND CHIP MORPHOLOGY AFTER MILLING OF MAGNESIUM ALLOYS

    Directory of Open Access Journals (Sweden)

    Ireneusz Zagórski

    2016-12-01

    Full Text Available The paper analyses the impact of specified technological parameters of milling (vc, fz, ap on time to ignition. Stages leading to chip ignition were analysed. Metallographic images of magnesium chip were presented. No significant difference was observed in time to ignition in different chip fractions. Moreover, the surface of chips was free of products of ignition and signs of strong oxidation.

  13. The Advances, Challenges and Future Possibilities of Millimeter-Wave Chip-to-Chip Interconnections for Multi-Chip Systems

    Directory of Open Access Journals (Sweden)

    Amlan Ganguly

    2018-02-01

    Full Text Available With aggressive scaling of device geometries, density of manufacturing faults is expected to increase. Therefore, yield of complex Multi-Processor Systems-on-Chips (MP-SoCs will decrease due to higher probability of manufacturing defects especially, in dies with large area. Therefore, disintegration of large SoCs into smaller chips called chiplets will improve yield and cost of complex platform-based systems. This will also provide functional flexibility, modular scalability as well as the capability to integrate heterogeneous architectures and technologies in a single unit. However, with scaling of the number of chiplets in such a system, the shared resources in the system such as the interconnection fabric and memory modules will become performance bottlenecks. Additionally, the integration of heterogeneous chiplets operating at different frequencies and voltages can be challenging. State-of-the-art inter-chip communication requires power-hungry high-speed I/O circuits and data transfer over long wired traces on substrates. This increases energy consumption and latency while decreasing data bandwidth for chip-to-chip communication. In this paper, we explore the advances and the challenges of interconnecting a multi-chip system with millimeter-wave (mm-wave wireless interconnects from a variety of perspectives spanning multiple aspects of the wireless interconnection design. Our discussion on the recent advances include aspects such as interconnection topology, physical layer, Medium Access Control (MAC and routing protocols. We also present some potential paradigm-shifting applications as well as complementary technologies of wireless inter-chip communications.

  14. Temperature effects in Au piezoresistors integrated in SU-8 cantilever chips

    DEFF Research Database (Denmark)

    Johansson, Alicia; Hansen, Ole; Hales, Jan Harry

    2006-01-01

    We present a cantilever-based biosensor chip made for the detection of biochemical molecules. The device is fabricated entirely in the photosensitive polymer SU-8 except for integrated piezoresistors made of Au. The integrated piezoresistors are used to monitor the surface stress changes due to b...

  15. Measurements of Ultra-Fast single photon counting chip with energy window and 75 μm pixel pitch with Si and CdTe detectors

    International Nuclear Information System (INIS)

    Maj, P.; Grybos, P.; Kasinski, K.; Koziol, A.; Krzyzanowska, A.; Kmon, P.; Szczygiel, R.; Zoladz, M.

    2017-01-01

    Single photon counting pixel detectors become increasingly popular in various 2-D X-ray imaging techniques and scientific experiments mainly in solid state physics, material science and medicine. This paper presents architecture and measurement results of the UFXC32k chip designed in a CMOS 130 nm process. The chip consists of about 50 million transistors and has an area of 9.64 mm × 20.15 mm. The core of the IC is a matrix of 128 × 256 pixels of 75 μm pitch. Each pixel contains a CSA, a shaper with tunable gain, two discriminators with correction circuits and two 14-bit ripple counters operating in a normal mode (with energy window), a long counter mode (one 28-bit counter) and a zero-dead time mode. Gain and noise performance were verified with X-ray radiation and with the chip connected to Si (320 μm thick) and CdTe (750 μ m thick) sensors.

  16. HI observations of the irregular galaxy IC 10

    International Nuclear Information System (INIS)

    Shostak, G.S.; Woerden, H. van

    1983-01-01

    The authors have made radio synthesis observations of the galaxy IC 10 with resolutions of 30 arcsec and 8 km/sec in the neutral hydrogen line using the Westerbork telescope. These confirm Shostak's (1974) result that, in the central region of IC 10, the velocity gradient is opposite to that later measured by single-dish in the outer regions. The suggestion by Cohen (1979) that the velocity gradient reversal is due to IC 10 being nearly face-on and warped is consistent with the new data. (Auth.)

  17. Bio-medical CMOS ICs

    CERN Document Server

    Yoo, Hoi-Jun

    2011-01-01

    This book is based on a graduate course entitled, Ubiquitous Healthcare Circuits and Systems, that was given by one of the editors. It includes an introduction and overview to biomedical ICs and provides information on the current trends in research.

  18. Characterization of integrated circuit packaging materials

    CERN Document Server

    Moore, Thomas

    1993-01-01

    Chapters in this volume address important characteristics of IC packages. Analytical techniques appropriate for IC package characterization are demonstrated through examples of the measurement of critical performance parameters and the analysis of key technological problems of IC packages. Issues are discussed which affect a variety of package types, including plastic surface-mount packages, hermetic packages, and advanced designs such as flip-chip, chip-on-board and multi-chip models.

  19. Chip-to-Chip Half Duplex Spiking Data Communication over Power Supply Rails

    Science.gov (United States)

    Hashida, Takushi; Nagata, Makoto

    Chip-to-chip serial data communication is superposed on power supply over common Vdd/Vss connections through chip, package, and board traces. A power line transceiver demonstrates half duplex spiking communication at more than 100Mbps. A pair of transceivers consumes 1.35mA from 3.3V, at 130Mbps. On-chip power line LC low pass filter attenuates pseudo-differential communication spikes by 30dB, purifying power supply current for internal circuits. Bi-directional spiking communication was successfully examined in a 90-nm CMOS prototype setup of on-chip waveform capturing. A micro controller forwards clock pulses to and receives data streams from a comparator based waveform capturer formed on a different chip, through a single pair of power and ground traces. The bit error rate is small enough not to degrade waveform acquisition capability, maintaining the spurious free dynamic range of higher than 50dB.

  20. K/sub Ic/ and J/sub Ic/ of Westerly granite: effects of thickness and in-plane dimensions

    International Nuclear Information System (INIS)

    Schmidt, R.A.; Lutz, T.J.

    1978-01-01

    An investigation is described in which tensile properties, fracture toughness, and critical J integral are measured for Westerly granite, a rock that is widely used in rock mechanics studies. This was primarily a parameter sensitivity study in which the effects of specimen dimensions and testing techniques were assessed. It is hoped that this study will aid in establishing tentative standards and guidelines for fracture toughness testing of rock as well as indicate the feasibility of using a J integral fracture criterion for this material. ASTM standard specimen configurations of the compact and bend types were tested with compact specimens ranging in width from W = 25.4 mm to W = 406.4 mm (0.5T to 8T) and with thickness ranging from 13 mm to 100 mm. A series of 4T compact specimens were tested to assess the effects of thickness and fatigue precracking. Techniques are described that enable several values of K/sub Ic/, a complete J vs crack growth curve, and a J/sub Ic/ value to be obtained from each sample. Direct-pull tension tests on shaped specimens of Westerly granite are described which indicate a high degree of nonlinear, inelastic behavior. This fact raises questions about the use of LEFM, but the J/sub Ic/ data presented appear to validate the K/sub Ic/ measurements

  1. Haploinsufficiency of the E3 ubiquitin ligase C-terminus of heat shock cognate 70 interacting protein (CHIP produces specific behavioral impairments.

    Directory of Open Access Journals (Sweden)

    Bethann McLaughlin

    Full Text Available The multifunctional E3 ubiquitin ligase CHIP is an essential interacting partner of HSP70, which together promote the proteasomal degradation of client proteins. Acute CHIP overexpression provides neuroprotection against neurotoxic mitochondrial stress, glucocorticoids, and accumulation of toxic amyloid fragments, as well as genetic mutations in other E3 ligases, which have been shown to result in familial Parkinson's disease. These studies have created a great deal of interest in understanding CHIP activity, expression and modulation. While CHIP knockout mice have the potential to provide essential insights into the molecular control of cell fate and survival, the animals have been difficult to characterize in vivo due to severe phenotypic and behavioral dysfunction, which have thus far been poorly characterized. Therefore, in the present study we conducted a battery of neurobehavioral and physiological assays of adult CHIP heterozygotic (HET mutant mice to provide a better understanding of the functional consequence of CHIP deficiency. We found that CHIP HET mice had normal body and brain weight, body temperature, muscle tone and breathing patterns, but do have a significant elevation in baseline heart rate. Meanwhile basic behavioral screens of sensory, motor, emotional and cognitive functions were normative. We observed no alterations in performance in the elevated plus maze, light-dark preference and tail suspension assays, or two simple cognitive tasks: novel object recognition and spontaneous alternation in a Y maze. Significant deficits were found, however, when CHIP HET mice performed wire hang, inverted screen, wire maneuver, and open field tasks. Taken together, our data indicate a clear subset of behaviors that are altered at baseline in CHIP deficient animals, which will further guide whole animal studies of the effects of CHIP dysregulation on cardiac function, brain circuitry and function, and responsiveness to environmental and

  2. Current status of ITER I&C system as integration begins

    Energy Technology Data Exchange (ETDEWEB)

    Davis, William, E-mail: william.davis@iter.org [ITER Organisation, Route de Vinon-sur Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Wallander, Anders [ITER Organisation, Route de Vinon-sur Verdon, CS 90 046, 13067 St. Paul Lez Durance Cedex (France); Yonekawa, Izuru [Nippon Advanced Technology Ltd., 3129-45 Hibara Muramatsu, Tokai, Naka-gun, Ibaraki 319-1112 (Japan)

    2016-11-15

    Highlights: • The ITER I&C system is organisationally complicated and technically challenging. • Standard technologies for the ITER I&C systems have been selected. • Supply of non-standard technologies will cause serious issues. • Differing levels of design maturity of plant I&C systems is a serious challenge. • Systems are in the final stages of design and are being delivered to site. - Abstract: The ITER I&C system is organisationally complicated and technically challenging, and integrating its many sub-systems into a single coherent system is critical for the ITER project to meet its objectives. This paper explains the integration risks being faced now and anticipated in the near future. Standardisation initiatives by the ITER central team to mitigate these risks are described. The paper also presents the architecture of the ITER I&C system, the current status of design and manufacture key developments made in recent years, and the current and future activities of the central I&C teams. Finally, a short description is given of the plant I&C systems that will be delivered to ITER in the near future.

  3. A scalable single-chip multi-processor architecture with on-chip RTOS kernel

    NARCIS (Netherlands)

    Theelen, B.D.; Verschueren, A.C.; Reyes Suarez, V.V.; Stevens, M.P.J.; Nunez, A.

    2003-01-01

    Now that system-on-chip technology is emerging, single-chip multi-processors are becoming feasible. A key problem of designing such systems is the complexity of their on-chip interconnects and memory architecture. It is furthermore unclear at what level software should be integrated. An example of a

  4. El contenido de los mensajes icónicos: El discurso icónico como totalidad (2)

    OpenAIRE

    Dr. Raymond Colle

    1999-01-01

    En el capítulo anterior, hemos hablado de los códigos icónicos de modo general, por cuanto tienen algunas características comunes, en particular el uso de figuras como factores de los significantes. Sin embargo, como lo hemos señalado al final, no todos se construyen ni articulan de la misma manera. Tal como las lenguas son muchas y los códigos lingüísticos se rigen por diferentes reglas -aunque sobre la base de fonemas unidos secuencialmente-, los códigos icónicos son también variados y regi...

  5. Chip compacting press; Jido kirikuzu asshukuki

    Energy Technology Data Exchange (ETDEWEB)

    Oura, K. [Yuken Kogyo Co. Ltd., Kanagawa (Japan)

    1998-08-15

    The chips exhausted from various machine tools are massy, occupy much space and make working environment worse by staying added cutting oil to lower part. The chips are exhausted as a result of machining and have not constant quality. Even if used material is same the chips have various shapes and properties by kinds and machining methods of used machine tools, and are troublesome materials from a standpoint of their treatment. Pressing and solidification of the chips have frequently been tried. A chip compacting press introduced in this paper, a relatively cheap chip compacting press aimed for relatively small scale chip treatment, and has such characteristics and effects as follows. Chips are pressed and solidified by each raw material, so fractional management can be easily conducted. As casting metal chips and curled chips of iron and aluminum can be pressed to about 1/3 to 1/5 and about 1/40, respectively, space saving can be conducted. Chip compacting pressing upgrades its transporting efficiency to make possible to reduce its transporting cost. As chip solidification controls its oxidation and most cutting oil are removed, chips are easy to recycle. 2 figs., 1 tab.

  6. Numerical Analysis of Warpage Induced by Thermo-Compression Bonding Process of Cu Pillar Bump Flip Chip Package

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Oh Young; Jung, Hoon Sun; Lee, Jung Hoon; Choa, Sung-Hoon [Seoul Nat’l Univ. of Science and Technology, Seoul (Korea, Republic of)

    2017-06-15

    In flip chip technology, the conventional solder bump has been replaced with a copper (Cu) pillar bump owing to its higher input/output (I/O) density, finer pitch, and higher reliability. However, Cu pillar bump technology faces several issues, such as interconnect shorting and higher low-k stress due to stiffer Cu pillar structure when the conventional reflow process is used. Therefore, the thermal compression bonding (TCB) process has been adopted in the flip chip attachment process in order to reduce the package warpage and stress. In this study, we investigated the package warpage induced during the TCB process using a numerical analysis. The warpage of the TCB process was compared with that of the reflow process.

  7. On-chip electrochromic micro display for a disposable bio-sensor chip

    Science.gov (United States)

    Zhu, Yanjun; Tsukamoto, Takashiro; Tanaka, Shuji

    2017-12-01

    This paper reports an on-chip electrochromic micro display made of polyaniline (PANi) which can be easily made on a CMOS chip. Micro-patterned PANi thin films were selectively deposited on pre-patterned microelectrodes by using electrodeposition. The optimum conditions for deposition and electrochromism were investigated. An 8-pixel on-chip micro display was made on a Si chip. The color of each PANi film could be independently but simultaneously controlled, which means any 1-byte digital data could be displayed on the display. The PANi display had a response time as fast as about 100 ms, which means the transfer data rate was as fast as 80 bits per second.

  8. Profiles of the N II 6584 A line over the giant H II regions IC 1318b and c, NGC 7000 and IC 5070. 2

    Energy Technology Data Exchange (ETDEWEB)

    Canto, J; Johnson, P G; Meaburn, J; Mikhail, J S; Terrett, D L; White, N J [Manchester Univ. (UK). Dept of Astronomy

    1979-06-01

    Previously (Paper I) large-scale splitting of the (N II) line was discovered over an area of IC 1318b. The motions of the ionized material have now been mapped over a much larger region of this nebula and also IC 1318c. The splitting reaches a maximum value of 53 km/s over the faintest regions of IC 1318b and occurs over an area approximately > 20 pc across. However, few split (N II) lines were found over IC 1318c, but the motions of this whole ionized and neutral complex have been shown to be closely related. Wind-driven flows along neutral and ionized shells are proposed to explain the observations. Similar measurements have also been made on either side of the dark lane separating NGC 7000 from IC 5070.

  9. A New Test Device for Characterization of Mechanical Stress Caused by Packaging Processes

    International Nuclear Information System (INIS)

    Hirsch, Soeren; Doerner, Steffen; Hauptmann, Peter; Schmidt, Bertram

    2006-01-01

    This paper reports on a new method for estimation and minimization of mechanical stress on MEMS sensor and actuator structures due to packaging processes based on flip chip technology. For studying mechanical stress a test chip with silicon membranes was fabricated. A network of piezo-resistive solid state resistors created by diffusion was used to measure the surface tension pattern between adjacent membranes. Finite element method simulation was used to calculate the stress profile and to determine the optimum positions for placing the resistive network

  10. Interband cascade (IC) photovoltaic (PV) architecture for PV devices

    Science.gov (United States)

    Yang, Rui Q.; Tian, Zhaobing; Mishima, Tetsuya D.; Santos, Michael B.; Johnson, Matthew B.; Klem, John F.

    2015-10-20

    A photovoltaic (PV) device, comprising a PV interband cascade (IC) stage, wherein the IC PV stage comprises an absorption region with a band gap, the absorption region configured to absorb photons, an intraband transport region configured to act as a hole barrier, and an interband tunneling region configured to act as an electron barrier. An IC PV architecture for a photovoltaic device, the IC PV architecture comprising an absorption region, an intraband transport region coupled to the absorption region, and an interband tunneling region coupled to the intraband transport region and to the adjacent absorption region, wherein the absorption region, the intraband transport region, and the interband tunneling region are positioned such that electrons will flow from the absorption region to the intraband transport region to the interband tunneling region.

  11. Realtime 3D stress measurement in curing epoxy packaging

    DEFF Research Database (Denmark)

    Richter, Jacob; Hyldgård, A.; Birkelund, Karen

    2007-01-01

    This paper presents a novel method to characterize stress in microsystem packaging. A circular p-type piezoresistor is implemented on a (001) silicon chip. We use the circular stress sensor to determine the packaging induced stress in a polystyrene tube filled with epoxy. The epoxy curing process...

  12. Fluorimetric urease inhibition assay on a multilayer microfluidic chip with immunoaffinity immobilized enzyme reactors.

    Science.gov (United States)

    Zhang, Qin; Tang, Xiuwen; Hou, Fenghua; Yang, Jianping; Xie, Zhiyong; Cheng, Zhiyi

    2013-10-01

    We fabricated a three-layer polydimethylsiloxane (PDMS)-based microfluidic chip for realizing urease inhibition assay with sensitive fluorescence detection. Procedures such as sample prehandling, enzyme reaction, reagent mixing, fluorescence derivatization, and detection can be readily carried out. Urease reactors were prepared by adsorption of rabbit immunoglobulin G (IgG) and immunoreaction with urease-conjugated goat anti-rabbit IgG. Acetohydroxamic acid (AHA) as a competitive inhibitor of urease was tested on the chip. Microfluidically generated gradient concentrations of AHA with substrate (urea) were loaded into urease reactors. After incubation, the produced ammonia was transported out of reactors and then reacted with o-phthalaldehyde (OPA) to generate fluorescent products. Urease inhibition was indicated by a decrease in fluorescence signal detected by microplate reader. The IC50 value of AHA was determined and showed good agreement with that obtained in microplate. The presented device combines several steps of the analytical process with advantages of low reagent consumption, reduced analysis time, and ease of manipulation. This microfluidic approach can be extended to the screening of inhibitory compounds in drug discovery. Copyright © 2013 Elsevier Inc. All rights reserved.

  13. "Hook"-calibration of GeneChip-microarrays: Chip characteristics and expression measures

    Directory of Open Access Journals (Sweden)

    Krohn Knut

    2008-08-01

    Full Text Available Abstract Background Microarray experiments rely on several critical steps that may introduce biases and uncertainty in downstream analyses. These steps include mRNA sample extraction, amplification and labelling, hybridization, and scanning causing chip-specific systematic variations on the raw intensity level. Also the chosen array-type and the up-to-dateness of the genomic information probed on the chip affect the quality of the expression measures. In the accompanying publication we presented theory and algorithm of the so-called hook method which aims at correcting expression data for systematic biases using a series of new chip characteristics. Results In this publication we summarize the essential chip characteristics provided by this method, analyze special benchmark experiments to estimate transcript related expression measures and illustrate the potency of the method to detect and to quantify the quality of a particular hybridization. It is shown that our single-chip approach provides expression measures responding linearly on changes of the transcript concentration over three orders of magnitude. In addition, the method calculates a detection call judging the relation between the signal and the detection limit of the particular measurement. The performance of the method in the context of different chip generations and probe set assignments is illustrated. The hook method characterizes the RNA-quality in terms of the 3'/5'-amplification bias and the sample-specific calling rate. We show that the proper judgement of these effects requires the disentanglement of non-specific and specific hybridization which, otherwise, can lead to misinterpretations of expression changes. The consequences of modifying probe/target interactions by either changing the labelling protocol or by substituting RNA by DNA targets are demonstrated. Conclusion The single-chip based hook-method provides accurate expression estimates and chip-summary characteristics

  14. Considerations in applying on-line IC techniques to BWR's

    International Nuclear Information System (INIS)

    Kaleda, R.J.

    1992-01-01

    Ion-Chromatography (IC) has moved from its traditional role as a laboratory analytical tool to a real time, dynamic, on-line measurement device to follow ppb and sub-ppb concentrations of deleterious impurities in nuclear power plants. Electric Power Research Institute (EPRI), individual utilities, and industry all have played significant roles in effecting the transition. This paper highlights considerations and the evolution in current on-line Ion Chromatography systems. The first applications of on-line techniques were demonstrated by General Electric (GE) under EPRI sponsorship at Rancho Seco (1980), Calvert Cliffs, and McGuire nuclear units. The primary use was for diagnostic purposes. Today the on-line IC applications have been expanded to include process control and routine plant monitoring. Current on-line IC's are innovative in design, promote operational simplicity, are modular for simplified maintenance and repair, and use field-proven components which enhance reliability. Conductivity detection with electronic or chemical suppression and spectrometric detection techniques are intermixed in applications. Remote multi-point sample systems have addressed memory effects. Early applications measured ionic species in the part per billion range. Today reliable part per trillion measurements are common for on-line systems. Current systems are meeting the challenge of EPRI guideline requirements. Today's on-line IC's, with programmed sampling systems, monitor fluid streams throughout a power plant, supplying data that can be trended, stored and retrieved easily. The on-line IC has come of age. Many technical challenges were overcome to achieve today's IC

  15. Pixel detector readout chip

    CERN Multimedia

    1991-01-01

    Close-up of a pixel detector readout chip. The photograph shows an aera of 1 mm x 2 mm containing 12 separate readout channels. The entire chip contains 1000 readout channels (around 80 000 transistors) covering a sensitive area of 8 mm x 5 mm. The chip has been mounted on a silicon detector to detect high energy particles.

  16. Local stress analysis in devices by FIB

    NARCIS (Netherlands)

    Kregting, R.; Gielen, A.W.J.; Driel, W. van; Alkemade, P.; Miro, H.; Kamminga, J.-D.

    2010-01-01

    Intrinsic stresses in bondpads may lead to early failure of IC's. In order to determine the intrinsic stresses in semiconductor structures, a new procedure is set up. This procedure is a combined experimental/numerical approach which consists of the following steps: First, a conductive gold layer

  17. Optical pumping of deep traps in AlGaN/GaN-on-Si HEMTs using an on-chip Schottky-on-heterojunction light-emitting diode

    International Nuclear Information System (INIS)

    Li, Baikui; Tang, Xi; Chen, Kevin J.

    2015-01-01

    In this work, by using an on-chip integrated Schottky-on-heterojunction light-emitting diode (SoH-LED) which is seamlessly integrated with the AlGaN/GaN high electron mobility transistor (HEMT), we studied the effect of on-chip light illumination on the de-trapping processes of electrons from both surface and bulk traps. Surface trapping was generated by applying OFF-state drain bias stress, while bulk trapping was generated by applying positive substrate bias stress. The de-trapping processes of surface and/or bulk traps were monitored by measuring the recovery of dynamic on-resistance R on and/or threshold voltage V th of the HEMT. The results show that the recovery processes of both dynamic R on and threshold voltage V th of the HEMT can be accelerated by the on-chip SoH-LED light illumination, demonstrating the potentiality of on-chip hybrid opto-HEMTs to minimize the influences of traps during dynamic operation of AlGaN/GaN power HEMTs

  18. Preliminary I&C Design for LORELEI

    International Nuclear Information System (INIS)

    Korotkin, S.; Kaufman, Y.; Guttmann, E. B.; Levy, S.; Amidan, D.; Gdalyho, B.; Cahana, T.; Ellenbogen, A.; Arad, M.; Weiss, Y.; Sasson, A.; Ferry, L.; Bourrelly, F.; Cohen, Y.

    2014-01-01

    This document summarizes the preliminary I&C design for LORELEI experiment The preliminary design deals with considerations regarding appropriate safety and service instrumentation. The determined closed loop control rules for temperature and position will be implemented in the detailed design. The Computer Aided Operator Decisions System (CAODS) will be used for prediction of hot spot temperature and thickness of oxidation layer using Baker-Just correlation. The proposed hybrid simulation system comprising of both virtual and real hardware will be in-cooperated for LORELEI verification. It will perform both integration cold tests for a partial hardware loop and virtual tests for the final I&C design

  19. Preservation of forest wood chips

    Energy Technology Data Exchange (ETDEWEB)

    Kofman, P.D.; Thomsen, I.M.; Ohlsson, C.; Leer, E.; Ravn Schmidt, E.; Soerensen, M.; Knudsen, P.

    1999-01-01

    As part of the Danish Energy Research Programme on biomass utilisation for energy production (EFP), this project concerns problems connected to the handling and storing of wood chips. In this project, the possibility of preserving wood chips of the Norway Spruce (Picea Abies) is addressed, and the potential improvements by anaerobic storage are tested. Preservation of wood chips aims at reducing dry matter losses from extensive heating during storage and to reduce production of fungal spores. Fungal spores pose a health hazards to workers handling the chips. Further the producers of wood chips are interested in such a method since it would enable them to give a guarantee for the delivery of homogeneous wood chips also during the winter period. Three different types of wood chips were stored airtight and further one of these was stored in accordance with normal practise and use as reference. The results showed that airtight storage had a beneficial impact on the quality of the chips: no redistribution of moisture, low dry matter losses, unfavourable conditions for microbial activity of most fungi, and the promotion of yeasts instead of fungi with airborne spores. Likewise the firing tests showed that no combustion problems, and no increased risk to the environment or to the health of staff is caused by anaerobic storage of wood chips. In all, the tests of the anaerobic storage method of forest wood chips were a success and a large-scale test of the method will be carried out in 1999. (au)

  20. Adopting De Novo Programming Approach on IC Design Service Firms Resources Integration

    Directory of Open Access Journals (Sweden)

    James K. C. Chen

    2014-01-01

    Full Text Available The semiconductor industry has very important position in computer industry, ICT field, and new electronic technology developing. The IC design service is one of key factor of semiconductor industry development. There are more than 365 IC design service firms have been established around Hsinchu Science Park in Taiwan. Building an efficient planning model for IC design service firm resources integrating is very interest issue. This study aims to construct a planning model for IC design service firm implementation resources integration. This study uses the De Novo programming as an approach of criteria alternative to achieve optimal resource allocation on IC design firm. Results show the IC design service firm should conduct open innovation concept and utilizes design outsourcing obtains cost down and enhance IC design service business performance. This plan model of De Novo programming is not only for IC design service firm and also can apply to the other industrial implementation strategic alliance/integrating resource. This plan model is a universal model for the others industries field.

  1. The impact of CHIP premium increases on insurance outcomes among CHIP eligible children.

    Science.gov (United States)

    Nikolova, Silviya; Stearns, Sally

    2014-03-03

    Within the United States, public insurance premiums are used both to discourage private health policy holders from dropping coverage and to reduce state budget costs. Prior research suggests that the odds of having private coverage and being uninsured increase with increases in public insurance premiums. The aim of this paper is to test effects of Children's Health Insurance Program (CHIP) premium increases on public insurance, private insurance, and uninsurance rates. The fact that families just below and above a state-specific income cut-off are likely very similar in terms of observable and unobservable characteristics except the premium contribution provides a natural experiment for estimating the effect of premium increases. Using 2003 Medical Expenditure Panel Survey (MEPS) merged with CHIP premiums, we compare health insurance outcomes for CHIP eligible children as of January 2003 in states with a two-tier premium structure using a cross-sectional regression discontinuity methodology. We use difference-in-differences analysis to compare longitudinal insurance outcomes by December 2003. Higher CHIP premiums are associated with higher likelihood of private insurance. Disenrollment from CHIP in response to premium increases over time does not increase the uninsurance rate. When faced with higher CHIP premiums, private health insurance may be a preferable alternative for CHIP eligible families with higher incomes. Therefore, competition in the insurance exchanges being formed under the Affordable Care Act could enhance choice.

  2. Hard rock tunnel boring machine penetration test as an indicator of chipping process efficiency

    Directory of Open Access Journals (Sweden)

    M.C. Villeneuve

    2017-08-01

    Full Text Available The transition from grinding to chipping can be observed in tunnel boring machine (TBM penetration test data by plotting the penetration rate (distance/revolution against the net cutter thrust (force per cutter over the full range of penetration rates in the test. Correlating penetration test data to the geological and geomechanical characteristics of rock masses through which a penetration test is conducted provides the ability to reveal the efficiency of the chipping process in response to changing geological conditions. Penetration test data can also be used to identify stress-induced tunnel face instability. This research shows that the strength of the rock is an important parameter for controlling how much net cutter thrust is required to transition from grinding to chipping. It also shows that the geological characteristics of a rock will determine how efficient chipping occurs once it has begun. In particular, geological characteristics that lead to efficient fracture propagation, such as fabric and mica contents, will lead to efficient chipping. These findings will enable a better correlation between TBM performance and geological conditions for use in TBM design, as a basis for contractual payments where penetration rate dominates the excavation cycle and in further academic investigations into the TBM excavation process.

  3. PENGARUH IC TERHADAP KINERJA KEUANGAN PERUSAHAAN PERBANKAN PERIODE 2005-2007

    Directory of Open Access Journals (Sweden)

    Subkhan -

    2012-03-01

    Full Text Available Tujuan dari penelitian ini adalah untuk meneliti pengaruh intellectual capital (IC perusahaan pada kinerja keuangan mereka. Penelitian ini menggunakan Public Framework dan data dari 57 sektor perbankan Indonesia yang tercatat antara tahun 2005 dan 2007 pada Indonesian Stock Exchange. Penelitian ini menggunakan partial least square (PLS untuk menganalisis data. 3 elemen IC dan kinerja perusahaan dites dalam penelitian ini. Hasilnya memperlihatkan bahwa IC dan kinerja keuangan mempunyai pengaruh yang signifikan, VACA mempunyai pengaruh yang signifikan terhadap kinerja keuangan, VAHU mempuyai pengaruh yang signifikan  terhadap kinerja keuangan, dan STVA mempunyai pengaruh yang signifikan terhadap kinerja keuangan. Abstract The objective of this study is to investigate the influence of firm’s intellectual capital (IC on their financial performance. This paper uses Public Framework and data from 57 Indonesian banking sectors listed between 2005 and 2007 on the Indonesian Stock Exchange. This study uses partial least square (PLS for data analysis. Three elements of IC and company performances are tested by this study. The results show that IC and financial performance have significant influence, VACA has significant influence to financial performance, VAHU has significant influence to financial performance, and STVA has significant influence to financial performance.Keywords: intellectual capital; financial performance

  4. UW VLSI chip tester

    Science.gov (United States)

    McKenzie, Neil

    1989-12-01

    We present a design for a low-cost, functional VLSI chip tester. It is based on the Apple MacIntosh II personal computer. It tests chips that have up to 128 pins. All pin drivers of the tester are bidirectional; each pin is programmed independently as an input or an output. The tester can test both static and dynamic chips. Rudimentary speed testing is provided. Chips are tested by executing C programs written by the user. A software library is provided for program development. Tests run under both the Mac Operating System and A/UX. The design is implemented using Xilinx Logic Cell Arrays. Price/performance tradeoffs are discussed.

  5. The effect of mechanical stress on lateral-effect position-sensitive detector characteristics

    Energy Technology Data Exchange (ETDEWEB)

    Andersson, H.A. [Department of Information Technology and Media, Mid-Sweden University, SE-85170 Sundsvall (Sweden)]. E-mail: Henrik.Andersson@miun.se; Mattsson, C.G. [Department of Information Technology and Media, Mid-Sweden University, SE-85170 Sundsvall (Sweden); Thungstroem, G. [Department of Information Technology and Media, Mid-Sweden University, SE-85170 Sundsvall (Sweden); Lundgren, A. [SiTek Electro Optics, Ogaerdesvaegen 13A 433 30 Partille (Sweden); Nilsson, H.-E. [Department of Information Technology and Media, Mid-Sweden University, SE-85170 Sundsvall (Sweden)

    2006-07-01

    Position-sensitive detectors (PSDs) are widely used in noncontact measurement systems. In order to minimize the size of such systems, interest has increased in mounting the PSD chip directly onto printed circuit boards (PCBs). Stress may be induced in the PSD because of the large differences in thermal expansion coefficients, as well as the long-term geometrical stability of the chip packaging. Mechanical stress has previously been shown to have an effect on the performance of semiconductors. The accuracy, or linearity, of a lateral effect PSD is largely dependent on the homogeneity of the resistive layer. Variations of the resistivity over the active area of the PSD will result in an uneven distribution of photo-generated current, and hence an error in the readout position. In this work experiments were performed to investigate the influence of anisotropic mechanical stress in terms of nonlinearity. PSD chips of 60x3 mm active area were subjected, respectively, to different amounts of compressive and tensile stress to determine the influence on the linearity.

  6. Cache-aware network-on-chip for chip multiprocessors

    Science.gov (United States)

    Tatas, Konstantinos; Kyriacou, Costas; Dekoulis, George; Demetriou, Demetris; Avraam, Costas; Christou, Anastasia

    2009-05-01

    This paper presents the hardware prototype of a Network-on-Chip (NoC) for a chip multiprocessor that provides support for cache coherence, cache prefetching and cache-aware thread scheduling. A NoC with support to these cache related mechanisms can assist in improving systems performance by reducing the cache miss ratio. The presented multi-core system employs the Data-Driven Multithreading (DDM) model of execution. In DDM thread scheduling is done according to data availability, thus the system is aware of the threads to be executed in the near future. This characteristic of the DDM model allows for cache aware thread scheduling and cache prefetching. The NoC prototype is a crossbar switch with output buffering that can support a cache-aware 4-node chip multiprocessor. The prototype is built on the Xilinx ML506 board equipped with a Xilinx Virtex-5 FPGA.

  7. Experiment list: SRX122496 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available || chip antibody=Rel || treatment=LPS || time=120 min || chip antibody manufacturer 1=Santa Cruz || chip ant...ibody catalog number 1=sc-71 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc

  8. ICS logging solution for network-based attacks using Gumistix technology

    Science.gov (United States)

    Otis, Jeremy R.; Berman, Dustin; Butts, Jonathan; Lopez, Juan

    2013-05-01

    Industrial Control Systems (ICS) monitor and control operations associated with the national critical infrastructure (e.g., electric power grid, oil and gas pipelines and water treatment facilities). These systems rely on technologies and architectures that were designed for system reliability and availability. Security associated with ICS was never an inherent concern, primarily due to the protections afforded by network isolation. However, a trend in ICS operations is to migrate to commercial networks via TCP/IP in order to leverage commodity benefits and cost savings. As a result, system vulnerabilities are now exposed to the online community. Indeed, recent research has demonstrated that many exposed ICS devices are being discovered using readily available applications (e.g., ShodanHQ search engine and Google-esque queries). Due to the lack of security and logging capabilities for ICS, most knowledge about attacks are derived from real world incidents after an attack has already been carried out and the damage has been done. This research provides a method for introducing sensors into the ICS environment that collect information about network-based attacks. The sensors are developed using an inexpensive Gumstix platform that can be deployed and incorporated with production systems. Data obtained from the sensors provide insight into attack tactics (e.g., port scans, Nessus scans, Metasploit modules, and zero-day exploits) and characteristics (e.g., attack origin, frequency, and level of persistence). Findings enable security professionals to draw an accurate, real-time awareness of the threats against ICS devices and help shift the security posture from reactionary to preventative.

  9. Evaluation of Package Stress during Temperature Cycling using Metal Deformation Measurement and FEM Simulation

    International Nuclear Information System (INIS)

    Hoeglauer, J.; Bohm, C.; Otremba, R.; Maerz, J.; Nelle, P.; Stecher, M.; Alpern, P.

    2006-01-01

    Plastic encapsulated devices that are exposed to Temperature Cycling (TC) tests undergo an excessive mechanical stress due to different Coefficients of Thermal Expansion (CTE) of the various materials used in the system. Especially in the corners of the die, passivation cracks and shifted metal lines can be observed, which demonstrates an increasing mechanical stress from chip center to the corners of the die. This effect has been known for a long time. This paper presents a simple measurement technique to quantify the mechanical shear stress at the chip-Mold Compound (MC) interface by measuring the deformation of a periodical metal structure. Based on this deformation measurement, we evaluated the stress distribution within the package, and the influence of different parameters such as number of cycles and chip size. Furthermore, these experimental results were compared with FEM simulation, and showed good agreement but could not account in all cases for the total amount of observed shift

  10. Smart vision chips: An overview

    Science.gov (United States)

    Koch, Christof

    1994-01-01

    This viewgraph presentation presents four working analog VLSI vision chips: (1) time-derivative retina, (2) zero-crossing chip, (3) resistive fuse, and (4) figure-ground chip; work in progress on computing motion and neuromorphic systems; and conceptual and practical lessons learned.

  11. Experiment list: SRX122465 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 6 || chip antibody=Relb || treatment=LPS || time=120 min || chip antibody manufacturer 1=Bethyl || chip anti...body catalog number 1=A302-183A || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2

  12. The NutriChip project--translating technology into nutritional knowledge.

    Science.gov (United States)

    Vergères, Guy; Bogicevic, Biljana; Buri, Caroline; Carrara, Sandro; Chollet, Magali; Corbino-Giunta, Linda; Egger, Lotti; Gille, Doreen; Kopf-Bolanz, Katrin; Laederach, Kurt; Portmann, Reto; Ramadan, Qasem; Ramsden, Jeremy; Schwander, Flurina; Silacci, Paolo; Walther, Barbara; Gijs, Martin

    2012-09-01

    Advances in food transformation have dramatically increased the diversity of products on the market and, consequently, exposed consumers to a complex spectrum of bioactive nutrients whose potential risks and benefits have mostly not been confidently demonstrated. Therefore, tools are needed to efficiently screen products for selected physiological properties before they enter the market. NutriChip is an interdisciplinary modular project funded by the Swiss programme Nano-Tera, which groups scientists from several areas of research with the aim of developing analytical strategies that will enable functional screening of foods. The project focuses on postprandial inflammatory stress, which potentially contributes to the development of chronic inflammatory diseases. The first module of the NutriChip project is composed of three in vitro biochemical steps that mimic the digestion process, intestinal absorption, and subsequent modulation of immune cells by the bioavailable nutrients. The second module is a miniaturised form of the first module (gut-on-a-chip) that integrates a microfluidic-based cell co-culture system and super-resolution imaging technologies to provide a physiologically relevant fluid flow environment and allows sensitive real-time analysis of the products screened in vitro. The third module aims at validating the in vitro screening model by assessing the nutritional properties of selected food products in humans. Because of the immunomodulatory properties of milk as well as its amenability to technological transformation, dairy products have been selected as model foods. The NutriChip project reflects the opening of food and nutrition sciences to state-of-the-art technologies, a key step in the translation of transdisciplinary knowledge into nutritional advice.

  13. PELE-IC test problems

    International Nuclear Information System (INIS)

    Gong, E.Y.; Alexander, E.E.; McMaster, W.H.; Quinones, D.F.

    1979-01-01

    This report provides prospective users of the Lawrence Livermore Laboratory (LLL) fluid-structure interaction computer code, PELE-IC, a variety of test problems for verifying the code on CDC 7600 computer systems at facilities external to the LLL environment. The test problems have been successfully run on CDC 7600 computers at the LLL and Lawrence Berkeley Laboratory (LBL) computer centers

  14. Experiment list: SRX122555 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available chip antibody=Stat1 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Santa Cruz || chip anti...body catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-7

  15. On-chip digital power supply control for system-on-chip applications

    NARCIS (Netherlands)

    Meijer, M.; Pineda de Gyvez, J.; Otten, R.H.J.M.

    2005-01-01

    The authors presented an on-chip, fully-digital, power-supply control system. The scheme consists of two independent control loops that regulate power supply variations due to semiconductor process spread, temperature, and chip's workload. Smart power-switches working as linear voltage regulators

  16. Development of grouped icEEG for the study of cognitive processing

    Directory of Open Access Journals (Sweden)

    Cihan Mehmet Kadipasaoglu

    2015-07-01

    Full Text Available Invasive intracranial EEG (icEEG offers a unique opportunity to study human cognitive networks at an unmatched spatiotemporal resolution. To date, the contributions of icEEG have been limited to the individual-level analyses or cohorts whose data are not integrated in any way. Here we discuss how grouped approaches to icEEG overcome challenges related to sparse-sampling, correct for individual variations in response and provide statistically valid models of brain activity in a population. By the generation of whole-brain activity maps, grouped icEEG enables the study of intra and interregional dynamics between distributed cortical substrates exhibiting task-dependent activity. In this fashion, grouped icEEG analyses can provide significant advances in understanding the mechanisms by which cortical networks give rise to cognitive functions.

  17. Extreme low-power mixed signal IC design

    CERN Document Server

    Tajalli, Armin

    2010-01-01

    This book describes a completely novel class of techniques for designing ultra-low-power integrated circuits (ICs). In many applications such as battery operated systems and battery-less (energy-scavenging) systems, power dissipation is a critical parameter. As a result, there is a growing demand for reducing the power (energy) consumption in ICs to extremely low levels, not achievable by using classical ""subthreshold CMOS"" techniques. This book introduces a new family of ""subthreshold circuits"" called ""source-coupled circuits"". This family of circuits can be used for implementing digita

  18. Design Developing of IC- Model Using VHDL

    International Nuclear Information System (INIS)

    Inzar-Anas

    2005-01-01

    In present, the electronic design required to become simple, small and flexible. The physical dimension of IC and number of pin can be significantly reduced while the flexibility and compatibility of IC was not change. Implementation of VHDL(VHSIC Hardware Description Language) seem as a great progress in the design of digital circuit. By using this language designing of model can be more simple, flexible and efficient. This paper was purposed to introduce VHDL and its features. Sample in modeling to illustrate the advantage of VHDL will also be described. (author)

  19. Sensitivity Study on Availability of I&C Components Using Bayesian Network

    Directory of Open Access Journals (Sweden)

    Rahman Khalil Ur

    2013-01-01

    Full Text Available The objective of this study is to find out the impact of instrumentation and control (I&C components on the availability of I&C systems in terms of sensitivity analysis using Bayesian network. The analysis has been performed on I&C architecture of reactor protection system. The analysis results would be applied to develop I&C architecture which will meet the desire reliability features and save cost. RPS architecture unavailability P(x=0 and availability P(x=1 were estimated to 6.1276E-05 and 9.9994E-01 for failure (0 and perfect (1 states, respectively. The impact of I&C components on overall system risk has been studied in terms of risk achievement worth (RAW and risk reduction worth (RRW. It is found that circuit breaker failure (TCB, bi-stable processor (BP, sensor transmitter (TR, and pressure transmitter (PT have high impact on risk. The study concludes and recommends that circuit breaker bi-stable processor should be given more consideration while designing I&C architecture.

  20. Conflicting deductions from machining and other tests, concerning flow stress at high strain rates and temperatures

    International Nuclear Information System (INIS)

    Childs, T.H.C.

    1974-01-01

    This paper is concerned with the friction interaction between an En1A chip and a cemented tungsten--titanium carbide cutting tool during turning En1A on a lathe at speeds between 0.25 and 7.5 m s -1 and at feeds of 0.13 and 0.26 mm rev -1 . The mean friction stress has been measured and deductions made from chip shape measurements about the variation of the friction stress over the rake face. Three regimes of continuous chip formation were seen. In the first, the mean friction stress was independent of strain rate and temperature, in the second it depended on temperature and in the third, at the highest cutting speeds, it depended on temperature and feed. In the third regime, softening of the chip material caused by frictional heating limited the friction force, but the softening temperature depended on the heating rate of the chip material. Softening occurred at 700 0 C when the rate of heating from room temperature was 5.4 x 10 5 0 C s -1 and at 1160 0 C when the rate was 1.7 x 10 7 0 C s -1 . (U.S.)

  1. Prion seeding activities of mouse scrapie strains with divergent PrPSc protease sensitivities and amyloid plaque content using RT-QuIC and eQuIC.

    Directory of Open Access Journals (Sweden)

    Sarah Vascellari

    Full Text Available Different transmissible spongiform encephalopathy (TSE-associated forms of prion protein (e.g. PrP(Sc can vary markedly in ultrastructure and biochemical characteristics, but each is propagated in the host. PrP(Sc propagation involves conversion from its normal isoform, PrP(C, by a seeded or templated polymerization mechanism. Such a mechanism is also the basis of the RT-QuIC and eQuIC prion assays which use recombinant PrP (rPrP(Sen as a substrate. These ultrasensitive detection assays have been developed for TSE prions of several host species and sample tissues, but not for murine models which are central to TSE pathogenesis research. Here we have adapted RT-QuIC and eQuIC to various murine prions and evaluated how seeding activity depends on glycophosphatidylinositol (GPI anchoring and the abundance of amyloid plaques and protease-resistant PrP(Sc (PrP(Res. Scrapie brain dilutions up to 10(-8 and 10(-13 were detected by RT-QuIC and eQuIC, respectively. Comparisons of scrapie-affected wild-type mice and transgenic mice expressing GPI anchorless PrP showed that, although similar concentrations of seeding activity accumulated in brain, the heavily amyloid-laden anchorless mouse tissue seeded more rapid reactions. Next we compared seeding activities in the brains of mice with similar infectivity titers, but widely divergent PrP(Res levels. For this purpose we compared the 263K and 139A scrapie strains in transgenic mice expressing P101L PrP(C. Although the brains of 263K-affected mice had little immunoblot-detectable PrP(Res, RT-QuIC indicated that seeding activity was comparable to that associated with a high-PrP(Res strain, 139A. Thus, in this comparison, RT-QuIC seeding activity correlated more closely with infectivity than with PrP(Res levels. We also found that eQuIC, which incorporates a PrP(Sc immunoprecipitation step, detected seeding activity in plasma from wild-type and anchorless PrP transgenic mice inoculated with 22L, 79A and/or RML

  2. Supply chains of forest chip production in Finland

    Energy Technology Data Exchange (ETDEWEB)

    Kaerhae, Kalle (Metsaeteho Oy, Helsinki (Finland)), e-mail: kalle.karha@metsateho.fi

    2010-07-15

    The Metsaeteho study investigated how logging residue chips, stump wood chips, and chips from small sized thinning wood and large-sized (rotten) roundwood used by heating and power plants were produced in Finland in 2008. Almost all the major forest chip suppliers in Finland were involved in the study. The total volume of forest chips supplied in 2008 by these suppliers was 6.5 TWh. The study was implemented by conducting an e-mail questionnaire survey and telephone interviews. Research data was collected in March-May 2009. The majority of the logging residue chips and chips from small-sized thinning wood were produced using the roadside chipping supply chain in Finland in 2008. The chipping at plant supply chain was also significant in the production of logging residue chips. 70% of all stump wood chips consumed were comminuted at the plant and 29% at terminals. The role of the terminal chipping supply chain was also significant in the production of chips from logging residues and small-sized wood chips. When producing chips from large-sized (rotten) roundwood, nearly a half of chips were comminuted at plants and more than 40% at terminals

  3. Supply systems of forest chip production in Finland

    Energy Technology Data Exchange (ETDEWEB)

    Kaerhae, K. (Metsaeteho Oy, Helsinki (Finland)), e-mail: kalle.karha@metsateho.fi

    2010-07-01

    The Metsaeteho study investigated how logging residue chips, stump wood chips, and chips from small-diameter thinning wood and large-sized (rotten) roundwood used by heating and power plants were produced in Finland in 2009. Almost all the major forest chip suppliers in Finland were involved in the study. The total volume of forest chips supplied in 2009 by these suppliers was 8,4 TWh. The study was implemented by conducting an e-mail questionnaire survey and telephone interviews. Research data was collected from March-May, 2010. The majority of the logging residue chips and chips from small-diameter thinning wood were produced using the roadside chipping supply system in Finland in 2009. The chipping at plant supply system was also significant in the production of logging residue chips. Nearly 70 % of all stump wood chips consumed were comminuted at the plant and 28 % at terminals. The role of the terminal chipping supply system was also significant in the production of chips from logging residues and small-diameter wood chips. When producing chips from large-sized (rotten) roundwood, similarly roughly 70 % of chips were comminuted at plants and 23 % at terminals. (orig.)

  4. Supply chains of forest chip production in Finland

    Energy Technology Data Exchange (ETDEWEB)

    Kaerhae, K. (Metsaeteho Oy, Helsinki (Finland)), Email: kalle.karha@metsateho.fi

    2009-07-01

    The Metsaeteho study investigated how logging residue chips. stump wood chips, and chips from small-sized thinning wood and large-sized (rotten) roundwood used by heating and power plants were produced in Finland in 2008. Almost all the major forest chip suppliers in Finland were involved in the study. The total volume of forest chips supplied in 2008 by these suppliers was 6,5 TWh. The study was implemented by conducting an e-mail questionnaire survey and telephone interviews. Research data was collected in March-May 2009. The majority of the logging residue chips and chips from small-sized thinning wood were produced using the roadside chipping supply chain in Finland in 2008. The chipping at plant supply chain was also significant in the production of logging residue chips. 70% of all stump wood chips consumed were comminuted at the plant and 29% at terminals. The role of the terminal chipping supply chain was also significant in the production of chips from logging residues and small-sized wood chips. When producing chips from large-sized (rotten) roundwood, nearly a half of chips were comminuted at plants and more than 40 % at terminals. (orig.)

  5. Possibilities for mixed mode chip manufacturing in EUROPRACTICE

    Science.gov (United States)

    Das, C.

    1997-02-01

    EUROPRACTICE is an EC initiative under the ESPRIT programme which aims to stimulate the wider exploitation of state-of-the-art microelectronics technologies by European industry and to enhance European industrial competitiveness in the global market-place. Through EUROPRACTICE, the EC has created a range of Basic Services that offer users a cost-effective and flexible means of accessing three main microelectronics-based technologies: Application Specific Integrated Circuit (ASICs), Multi-Chip Modules (MCMs) and Microsystems. EUROPRACTICE Basic Services reduce the cost and risk for companies wishing to begin using these technologies. EUROPRACTICE offers a fully supported, low cost route for companies to design and fabricate ASICs for their individual applications. Low cost is achieved by consolidating designs from many users onto a single semiconductor wafer (MPW: Multi Project Wafer). The EUROPRACTICE IC Manufacturing Service (ICMS) offers a broad range of fabrication technologies including CMOS, BiCMOS and GaAs. The Service extends from enabling users to produce prototype ASICs for testing and evaluation, through to low-volume production runs.

  6. Single chip camera active pixel sensor

    Science.gov (United States)

    Shaw, Timothy (Inventor); Pain, Bedabrata (Inventor); Olson, Brita (Inventor); Nixon, Robert H. (Inventor); Fossum, Eric R. (Inventor); Panicacci, Roger A. (Inventor); Mansoorian, Barmak (Inventor)

    2003-01-01

    A totally digital single chip camera includes communications to operate most of its structure in serial communication mode. The digital single chip camera include a D/A converter for converting an input digital word into an analog reference signal. The chip includes all of the necessary circuitry for operating the chip using a single pin.

  7. Ultra-thin chip technology and applications

    CERN Document Server

    2010-01-01

    Ultra-thin chips are the "smart skin" of a conventional silicon chip. This book shows how very thin and flexible chips can be fabricated and used in many new applications in microelectronics, microsystems, biomedical and other fields. It provides a comprehensive reference to the fabrication technology, post processing, characterization and the applications of ultra-thin chips.

  8. An economic evaluation of a chlorhexidine chip for treating chronic periodontitis: the CHIP (chlorhexidine in periodontitis) study.

    Science.gov (United States)

    Henke, C J; Villa, K F; Aichelmann-Reidy, M E; Armitage, G C; Eber, R M; Genco, R J; Killoy, W J; Miller, D P; Page, R C; Polson, A M; Ryder, M I; Silva, S J; Somerman, M J; Van Dyke, T E; Wolff, L F; Evans, C J; Finkelman, R D

    2001-11-01

    The authors previously suggested that an adjunctive, controlled-release chlorhexidine, or CHX, chip may reduce periodontal surgical needs at little additional cost. This article presents an economic analysis of the CHX chip in general dental practice. In a one-year prospective clinical trial, 484 chronic periodontitis patients in 52 general practices across the United States were treated with either scaling and root planing, or SRP, plus any therapy prescribed by treating, unblinded dentists; or SRP plus other therapy as above but including the CHX chip. Economic data were collected from bills, case report forms and 12-month treatment recommendations from blinded periodontist evaluators. Total dental charges were higher for SRP + CHX chip patients vs. SRP patients when CHX chip costs were included (P = .027) but lower when CHX chip costs were excluded (P = .012). About one-half of the CHX chip acquisition cost was offset by savings in other charges. SRP + CHX chip patients were about 50 percent less likely to undergo surgical procedures than were SRP patients (P = .021). At the end of the trial, periodontist evaluators recommended similar additional procedures for both groups: SRP, about 46 percent; maintenance, about 37 percent; surgery, 56 percent for SRP alone and 63 percent for SRP + CHX chip. Adjunctive CHX chip use for general-practice patients with periodontitis increased costs but reduced surgeries over one year. At study's end, periodontists recommended similar additional surgical treatment for both groups. In general practice, routine use of the CHX chip suggests that costs will be partially offset by reduced surgery over at least one year.

  9. Optical pumping of deep traps in AlGaN/GaN-on-Si HEMTs using an on-chip Schottky-on-heterojunction light-emitting diode

    Energy Technology Data Exchange (ETDEWEB)

    Li, Baikui; Tang, Xi; Chen, Kevin J., E-mail: eekjchen@ust.hk [Department of Electronic and Computer Engineering, The Hong Kong University of Science and Technology, Clear Water Bay, Kowloon (Hong Kong)

    2015-03-02

    In this work, by using an on-chip integrated Schottky-on-heterojunction light-emitting diode (SoH-LED) which is seamlessly integrated with the AlGaN/GaN high electron mobility transistor (HEMT), we studied the effect of on-chip light illumination on the de-trapping processes of electrons from both surface and bulk traps. Surface trapping was generated by applying OFF-state drain bias stress, while bulk trapping was generated by applying positive substrate bias stress. The de-trapping processes of surface and/or bulk traps were monitored by measuring the recovery of dynamic on-resistance R{sub on} and/or threshold voltage V{sub th} of the HEMT. The results show that the recovery processes of both dynamic R{sub on} and threshold voltage V{sub th} of the HEMT can be accelerated by the on-chip SoH-LED light illumination, demonstrating the potentiality of on-chip hybrid opto-HEMTs to minimize the influences of traps during dynamic operation of AlGaN/GaN power HEMTs.

  10. Mismatch and noise in modern IC processes

    CERN Document Server

    Marshall, Andrew

    2009-01-01

    Component variability, mismatch, and various noise effects are major contributors to design limitations in most modern IC processes. Mismatch and Noise in Modern IC Processes examines these related effects and how they affect the building block circuits of modern integrated circuits, from the perspective of a circuit designer.Variability usually refers to a large scale variation that can occur on a wafer to wafer and lot to lot basis, and over long distances on a wafer. This phenomenon is well understood and the effects of variability are included in most integrated circuit design with the use

  11. 30 CFR 57.22209 - Auxiliary fans (I-C mines).

    Science.gov (United States)

    2010-07-01

    ... 30 Mineral Resources 1 2010-07-01 2010-07-01 false Auxiliary fans (I-C mines). 57.22209 Section 57... Standards for Methane in Metal and Nonmetal Mines Ventilation § 57.22209 Auxiliary fans (I-C mines). Electric auxiliary fans shall be approved by MSHA under the applicable requirements of 30 CFR part 18...

  12. Identification of signals that facilitate isoform specific nucleolar localization of myosin IC

    Energy Technology Data Exchange (ETDEWEB)

    Schwab, Ryan S.; Ihnatovych, Ivanna; Yunus, Sharifah Z.S.A.; Domaradzki, Tera [Department of Physiology and Biophysics, University at Buffalo—State University of New York, Buffalo, NY (United States); Hofmann, Wilma A., E-mail: whofmann@buffalo.edu [Department of Physiology and Biophysics, University at Buffalo—State University of New York, Buffalo, NY (United States)

    2013-05-01

    Myosin IC is a single headed member of the myosin superfamily that localizes to the cytoplasm and the nucleus, where it is involved in transcription by RNA polymerases I and II, intranuclear transport, and nuclear export. In mammalian cells, three isoforms of myosin IC are expressed that differ only in the addition of short isoform-specific N-terminal peptides. Despite the high sequence homology, the isoforms show differences in cellular distribution, in localization to nuclear substructures, and in their interaction with nuclear proteins through yet unknown mechanisms. In this study, we used EGFP-fusion constructs that express truncated or mutated versions of myosin IC isoforms to detect regions that are involved in isoform-specific localization. We identified two nucleolar localization signals (NoLS). One NoLS is located in the myosin IC isoform B specific N-terminal peptide, the second NoLS is located upstream of the neck region within the head domain. We demonstrate that both NoLS are functional and necessary for nucleolar localization of specifically myosin IC isoform B. Our data provide a first mechanistic explanation for the observed functional differences between the myosin IC isoforms and are an important step toward our understanding of the underlying mechanisms that regulate the various and distinct functions of myosin IC isoforms. - Highlights: ► Two NoLS have been identified in the myosin IC isoform B sequence. ► Both NoLS are necessary for myosin IC isoform B specific nucleolar localization. ► First mechanistic explanation of functional differences between the isoforms.

  13. Photonic network-on-chip design

    CERN Document Server

    Bergman, Keren; Biberman, Aleksandr; Chan, Johnnie; Hendry, Gilbert

    2013-01-01

    This book provides a comprehensive synthesis of the theory and practice of photonic devices for networks-on-chip. It outlines the issues in designing photonic network-on-chip architectures for future many-core high performance chip multiprocessors. The discussion is built from the bottom up: starting with the design and implementation of key photonic devices and building blocks, reviewing networking and network-on-chip theory and existing research, and finishing with describing various architectures, their characteristics, and the impact they will have on a computing system. After acquainting

  14. Experiment list: SRX214086 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available entiated || cell line=KH2 || chip antibody 1=none || chip antibody manufacturer 1=none || chip antibody 2=none || chip antibody manuf...acturer 2=none http://dbarchive.biosciencedbc.jp/kyushu-

  15. Optical lattice on an atom chip

    DEFF Research Database (Denmark)

    Gallego, D.; Hofferberth, S.; Schumm, Thorsten

    2009-01-01

    Optical dipole traps and atom chips are two very powerful tools for the quantum manipulation of neutral atoms. We demonstrate that both methods can be combined by creating an optical lattice potential on an atom chip. A red-detuned laser beam is retroreflected using the atom chip surface as a high......-quality mirror, generating a vertical array of purely optical oblate traps. We transfer thermal atoms from the chip into the lattice and observe cooling into the two-dimensional regime. Using a chip-generated Bose-Einstein condensate, we demonstrate coherent Bloch oscillations in the lattice....

  16. The FE-I4 Pixel Readout Chip and the IBL Module

    Energy Technology Data Exchange (ETDEWEB)

    Barbero, Marlon; Arutinov, David; Backhaus, Malte; Fang, Xiao-Chao; Gonella, Laura; Hemperek, Tomasz; Karagounis, Michael; Hans, Kruger; Kruth, Andre; Wermes, Norbert; /Bonn U.; Breugnon, Patrick; Fougeron, Denis; Gensolen, Fabrice; Menouni, Mohsine; Rozanov, Alexander; /Marseille, CPPM; Beccherle, Roberto; Darbo, Giovanni; /INFN, Genoa; Caminada, Lea; Dube, Sourabh; Fleury, Julien; Gnani, Dario; /LBL, Berkeley /NIKHEF, Amsterdam /Gottingen U. /SLAC

    2012-05-01

    FE-I4 is the new ATLAS pixel readout chip for the upgraded ATLAS pixel detector. Designed in a CMOS 130 nm feature size process, the IC is able to withstand higher radiation levels compared to the present generation of ATLAS pixel Front-End FE-I3, and can also cope with higher hit rate. It is thus suitable for intermediate radii pixel detector layers in the High Luminosity LHC environment, but also for the inserted layer at 3.3 cm known as the 'Insertable B-Layer' project (IBL), at a shorter timescale. In this paper, an introduction to the FE-I4 will be given, focusing on test results from the first full size FE-I4A prototype which has been available since fall 2010. The IBL project will be introduced, with particular emphasis on the FE-I4-based module concept.

  17. Study on Mine Emergency Mechanism based on TARP and ICS

    Science.gov (United States)

    Xi, Jian; Wu, Zongzhi

    2018-01-01

    By analyzing the experiences and practices of mine emergency in China and abroad, especially the United States and Australia, normative principle, risk management principle and adaptability principle of constructing mine emergency mechanism based on Trigger Action Response Plans (TARP) and Incident Command System (ICS) are summarized. Classification method, framework, flow and subject of TARP and ICS which are suitable for the actual situation of domestic mine emergency are proposed. The system dynamics model of TARP and ICS is established. The parameters such as evacuation ratio, response rate, per capita emergency capability and entry rate of rescuers are set up. By simulating the operation process of TARP and ICS, the impact of these parameters on the emergency process are analyzed, which could provide a reference and basis for building emergency capacity, formulating emergency plans and setting up action plans in the emergency process.

  18. Experiment list: SRX214071 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available Undifferentiated || treatment=Overexpress Sox2-V5 tagged || cell line=KH2 || chip antibody 1=none || chip antibody manufacture...r 1=none || chip antibody 2=V5 || chip antibody manufacturer 2=

  19. Prometheus Reactor I&C Software Development Methodology, for Action

    Energy Technology Data Exchange (ETDEWEB)

    T. Hamilton

    2005-07-30

    The purpose of this letter is to submit the Reactor Instrumentation and Control (I&C) software life cycle, development methodology, and programming language selections and rationale for project Prometheus to NR for approval. This letter also provides the draft Reactor I&C Software Development Process Manual and Reactor Module Software Development Plan to NR for information.

  20. Experiment list: SRX214075 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available age=Undifferentiated || treatment=Overexpress Sox17EK-V5 tagged || cell line=KH2 || chip antibody 1=none || chip antibody manufacture...r 1=none || chip antibody 2=V5 || chip antibody manufacture

  1. Experiment list: SRX214074 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ge=Undifferentiated || treatment=Overexpress Sox17EK-V5 tagged || cell line=KH2 || chip antibody 1=none || chip antibody manufacture...r 1=none || chip antibody 2=V5 || chip antibody manufacture

  2. Experiment list: SRX214072 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available e=Undifferentiated || treatment=Overexpress Sox2KE-V5 tagged || cell line=KH2 || chip antibody 1=none || chip antibody manufacture...r 1=none || chip antibody 2=V5 || chip antibody manufacture

  3. Permanent relief from intermittent cold stress-induced fibromyalgia-like abnormal pain by repeated intrathecal administration of antidepressants

    Directory of Open Access Journals (Sweden)

    Mukae Takehiro

    2011-09-01

    Full Text Available Abstract Background Fibromyalgia (FM is characterized by chronic widespread pain, which is often refractory to conventional painkillers. Numerous clinical studies have demonstrated that antidepressants are effective in treating FM pain. We previously established a mouse model of FM-like pain, induced by intermittent cold stress (ICS. Results In this study, we find that ICS exposure causes a transient increase in plasma corticosterone concentration, but not in anxiety or depression-like behaviors. A single intrathecal injection of an antidepressant, such as milnacipran, amitriptyline, mianserin or paroxetine, had an acute analgesic effect on ICS-induced thermal hyperalgesia at post-stress day 1 in a dose-dependent manner. In addition, repeated daily antidepressant treatments during post-stress days 1-5 gradually reversed the reduction in thermal pain threshold, and this recovery was maintained for at least 7 days after the final treatment. In addition, relief from mechanical allodynia, induced by ICS exposure, was also observed at day 9 after the cessation of antidepressant treatment. In contrast, the intravenous administration of these antidepressants at conventional doses failed to provide relief. Conclusions These results suggest that the repetitive intrathecal administration of antidepressants permanently cures ICS-induced FM pain in mice.

  4. Experiment list: SRX214067 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available fferentiated || cell line=F9 || chip antibody 1=Pou5f1/Oct4 || chip antibody manufacture...r 1=Santa Cruz || chip antibody 2=none || chip antibody manufacturer 2=none http://dbarchive.bioscien

  5. Wax-bonding 3D microfluidic chips

    KAUST Repository

    Gong, Xiuqing; Yi, Xin; Xiao, Kang; Li, Shunbo; Kodzius, Rimantas; Qin, Jianhua; Wen, Weijia

    2013-01-01

    We report a simple, low-cost and detachable microfluidic chip incorporating easily accessible paper, glass slides or other polymer films as the chip materials along with adhesive wax as the recycling bonding material. We use a laser to cut through the paper or film to form patterns and then sandwich the paper and film between glass sheets or polymer membranes . The hot-melt adhesive wax can realize bridge bonding between various materials, for example, paper, polymethylmethacrylate (PMMA) film, glass sheets, or metal plate. The bonding process is reversible and the wax is reusable through a melting and cooling process. With this process, a three-dimensional (3D) microfluidic chip is achievable by vacuating and venting the chip in a hot-water bath. To study the biocompatibility and applicability of the wax-based microfluidic chip, we tested the PCR compatibility with the chip materials first. Then we applied the wax-paper based microfluidic chip to HeLa cell electroporation (EP ). Subsequently, a prototype of a 5-layer 3D chip was fabricated by multilayer wax bonding. To check the sealing ability and the durability of the chip, green fluorescence protein (GFP) recombinant Escherichia coli (E. coli) bacteria were cultured, with which the chemotaxis of E. coli was studied in order to determine the influence of antibiotic ciprofloxacin concentration on the E. coli migration.

  6. Wax-bonding 3D microfluidic chips

    KAUST Repository

    Gong, Xiuqing

    2013-10-10

    We report a simple, low-cost and detachable microfluidic chip incorporating easily accessible paper, glass slides or other polymer films as the chip materials along with adhesive wax as the recycling bonding material. We use a laser to cut through the paper or film to form patterns and then sandwich the paper and film between glass sheets or polymer membranes . The hot-melt adhesive wax can realize bridge bonding between various materials, for example, paper, polymethylmethacrylate (PMMA) film, glass sheets, or metal plate. The bonding process is reversible and the wax is reusable through a melting and cooling process. With this process, a three-dimensional (3D) microfluidic chip is achievable by vacuating and venting the chip in a hot-water bath. To study the biocompatibility and applicability of the wax-based microfluidic chip, we tested the PCR compatibility with the chip materials first. Then we applied the wax-paper based microfluidic chip to HeLa cell electroporation (EP ). Subsequently, a prototype of a 5-layer 3D chip was fabricated by multilayer wax bonding. To check the sealing ability and the durability of the chip, green fluorescence protein (GFP) recombinant Escherichia coli (E. coli) bacteria were cultured, with which the chemotaxis of E. coli was studied in order to determine the influence of antibiotic ciprofloxacin concentration on the E. coli migration.

  7. Experiment list: SRX122523 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Irf2 || treatment=LPS || time=60 min || chip antibody manufacturer 1=Abcam || chip antibody catalog ...number 1=ab65048 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-498 http://

  8. Experiment list: SRX122414 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Junb || treatment=LPS || time=30 min || chip antibody manufacturer 1=Abcam || chip antibody catalog ...number 1=ab28838 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-46 http://d

  9. Experiment list: SRX214077 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available erentiated || treatment=Overexpress Sox17_V5 tagged || cell line=KH2 || chip antibody 1=Sox17 || chip antibody manufacture...r 1=R&D || chip antibody 2=V5 || chip antibody manufacturer 2=Invit

  10. Experiment list: SRX122485 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Atf3 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Santa Cruz || chip antibody ...catalog number 1=sc-188 || chip antibody manufacturer 2=Abcam || chip antibody catalog number 2=ab70005-100

  11. Experiment list: SRX122521 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Irf2 || treatment=LPS || time=30 min || chip antibody manufacturer 1=Abcam || chip antibody catalog ...number 1=ab65048 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-498 http://

  12. Experiment list: SRX122417 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Junb || treatment=LPS || time=60 min || chip antibody manufacturer 1=Abcam || chip antibody catalog ...number 1=ab28838 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-46 http://d

  13. Experiment list: SRX122520 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Irf2 || treatment=LPS || time=30 min || chip antibody manufacturer 1=Abcam || chip antibody catalog ...number 1=ab65048 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-498 http://

  14. Experiment list: SRX122413 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Junb || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody catalo...g number 1=ab28838 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-46 http:/

  15. Experiment list: SRX122412 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Junb || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody catalo...g number 1=ab28838 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-46 http:/

  16. Experiment list: SRX122406 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Irf1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Abcam || chip antibody catalog... number 1=ab52520 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-640 http:/

  17. Experiment list: SRX122415 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Junb || treatment=LPS || time=30 min || chip antibody manufacturer 1=Abcam || chip antibody catalog ...number 1=ab28838 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-46 http://d

  18. Experiment list: SRX122416 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Junb || treatment=LPS || time=60 min || chip antibody manufacturer 1=Abcam || chip antibody catalog ...number 1=ab28838 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-46 http://d

  19. Experiment list: SRX122565 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Stat2 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Abcam || chip antibody catalog... number 1=ab53149 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-839 http:/

  20. Experiment list: SRX122510 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Egr1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Abcam || chip antibody catalog... number 1=ab54966-100 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-110 ht

  1. Experiment list: SRX122519 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Irf2 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody catalo...g number 1=ab65048 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-498 http:

  2. Experiment list: SRX122472 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Runx1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Abcam || chip antibody catalo...g number 1=ab61753 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-8564 http

  3. Experiment list: SRX122473 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ip antibody=Runx1 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody ca...talog number 1=ab61753 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-8564

  4. Experiment list: SRX122497 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Rel || treatment=LPS || time=30 min || chip antibody manufacturer 1=Santa Cruz || chip antibody cat...alog number 1=sc-71 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-70 http:

  5. Experiment list: SRX122410 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Junb || treatment=LPS || time=0 min || chip antibody manufacturer 1=Abcam || chip antibody catalog n...umber 1=ab28838 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-46 http://db

  6. Experiment list: SRX186172 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 1=YY1 || chip antibody manufacturer 1=Abcam || chip antibody 2=YY1 || chip antibody manufacturer 2=Santa Cru...ip-Seq; Mus musculus; ChIP-Seq source_name=Rag1 -/- pro-B cells || chip antibody

  7. Experiment list: SRX122493 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Atf4 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody catal...og number 1=ab28830-100 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-200

  8. Experiment list: SRX122571 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Stat2 || treatment=LPS || time=30 min || chip antibody manufacturer 1=Abcam || chip antibody catal...og number 1=ab53149 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-839 http

  9. Experiment list: SRX122411 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Junb || treatment=LPS || time=0 min || chip antibody manufacturer 1=Abcam || chip antibody catalog n...umber 1=ab28838 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-46 http://db

  10. Experiment list: SRX122498 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Rel || treatment=LPS || time=60 min || chip antibody manufacturer 1=Santa Cruz || chip antibody cat...alog number 1=sc-71 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-70 http:

  11. Experiment list: SRX122516 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Irf2 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody catalo...g number 1=ab65048 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-498 http:

  12. Experiment list: SRX122495 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Rel || treatment=LPS || time=0 min || chip antibody manufacturer 1=Santa Cruz || chip antibody catal...og number 1=sc-71 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-70 http://

  13. Experiment list: SRX122563 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Stat1 || treatment=LPS || time=60 min || chip antibody manufacturer 1=Santa Cruz || chip antibody ...catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A h

  14. Experiment list: SRX122564 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Stat1 || treatment=LPS || time=60 min || chip antibody manufacturer 1=Santa Cruz || chip antibody ...catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A h

  15. Experiment list: SRX122488 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Atf3 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Santa Cruz || chip antibody c...atalog number 1=sc-188 || chip antibody manufacturer 2=Abcam || chip antibody catalog number 2=ab70005-100 h

  16. Experiment list: SRX122491 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Atf3 || treatment=LPS || time=60 min || chip antibody manufacturer 1=Santa Cruz || chip antibody cat...alog number 1=sc-188 || chip antibody manufacturer 2=Abcam || chip antibody catalog number 2=ab70005-100 htt

  17. Experiment list: SRX122548 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ip antibody=Stat1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Santa Cruz || chip antibody... catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A

  18. Experiment list: SRX122468 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Rela || treatment=LPS || time=0 min || chip antibody manufacturer 1=Bethyl || chip antibody catalo...g number 1=A301-824A || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-372 htt

  19. Experiment list: SRX122561 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Stat1 || treatment=LPS || time=30 min || chip antibody manufacturer 1=Santa Cruz || chip antibody ...catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A h

  20. Experiment list: SRX122409 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ip antibody=Irf1 || treatment=LPS || time=60 min || chip antibody manufacturer 1=Abcam || chip antibody cata...log number 1=ab52520 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-640 htt

  1. Experiment list: SRX122487 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Atf3 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Santa Cruz || chip antibody c...atalog number 1=sc-188 || chip antibody manufacturer 2=Abcam || chip antibody catalog number 2=ab70005-100 h

  2. Experiment list: SRX122552 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ip antibody=Stat1 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Santa Cruz || chip antibo...dy catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753

  3. Experiment list: SRX122408 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available p antibody=Irf1 || treatment=LPS || time=30 min || chip antibody manufacturer 1=Abcam || chip antibody catal...og number 1=ab52520 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-640 http

  4. Experiment list: SRX122513 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available p antibody=Egr1 || treatment=LPS || time=60 min || chip antibody manufacturer 1=Abcam || chip antibody catal...og number 1=ab54966-100 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-110

  5. Experiment list: SRX122567 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available p antibody=Stat2 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody cat...alog number 1=ab53149 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-839 ht

  6. Experiment list: SRX122490 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Atf3 || treatment=LPS || time=30 min || chip antibody manufacturer 1=Santa Cruz || chip antibody cat...alog number 1=sc-188 || chip antibody manufacturer 2=Abcam || chip antibody catalog number 2=ab70005-100 htt

  7. Experiment list: SRX122558 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available hip antibody=Stat1 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Santa Cruz || chip antib...ody catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-75

  8. Experiment list: SRX122494 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available hip antibody=Atf4 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody ca...talog number 1=ab28830-100 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-2

  9. Experiment list: SRX122557 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available hip antibody=Stat1 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Santa Cruz || chip antib...ody catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-75

  10. Experiment list: SRX122492 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Atf3 || treatment=LPS || time=60 min || chip antibody manufacturer 1=Santa Cruz || chip antibody cat...alog number 1=sc-188 || chip antibody manufacturer 2=Abcam || chip antibody catalog number 2=ab70005-100 htt

  11. Experiment list: SRX122549 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ip antibody=Stat1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Santa Cruz || chip antibody... catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A

  12. Experiment list: SRX122484 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ntibody=Atf3 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Santa Cruz || chip antibody cata...log number 1=sc-188 || chip antibody manufacturer 2=Abcam || chip antibody catalog number 2=ab70005-100 http

  13. Experiment list: SRX122514 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available tibody=Irf2 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Abcam || chip antibody catalog nu...mber 1=ab65048 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-498 http://db

  14. Experiment list: SRX122570 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available p antibody=Stat2 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody cat...alog number 1=ab53149 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-839 ht

  15. Experiment list: SRX122569 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ip antibody=Stat2 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody ca...talog number 1=ab53149 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-839 h

  16. Experiment list: SRX122511 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ip antibody=Egr1 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Abcam || chip antibody cat...alog number 1=ab54966-100 || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-11

  17. Experiment list: SRX122471 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ip antibody=Rela || treatment=LPS || time=60 min || chip antibody manufacturer 1=Bethyl || chip antibody cat...alog number 1=A301-824A || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-372

  18. Experiment list: SRX122554 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ip antibody=Stat1 || treatment=LPS || time=120 min || chip antibody manufacturer 1=Santa Cruz || chip antibo...dy catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753

  19. Experiment list: SRX122551 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Stat1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Santa Cruz || chip antibody ca...talog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A htt

  20. Experiment list: SRX122546 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available p antibody=Stat1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Santa Cruz || chip antibody ...catalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A h

  1. Experiment list: SRX122547 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Stat1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Santa Cruz || chip antibody c...atalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A ht

  2. Experiment list: SRX214084 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available turer 1=Santa Cruz || chip antibody 2=V5 || chip antibody manufacture...ge=Undifferentiated || treatment=Overexpress Sox17-V5 tagged || cell line=KH2 || chip antibody 1=Pou5f1/Oct4 || chip antibody manufac

  3. Experiment list: SRX122544 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Stat1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Santa Cruz || chip antibody c...atalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A ht

  4. Experiment list: SRX214082 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available facturer 1=Santa Cruz || chip antibody 2=V5 || chip antibody manufacture...age=Undifferentiated || treatment=Overexpress Sox17EK-V5 tagged || cell line=KH2 || chip antibody 1=Pou5f1/Oct4 || chip antibody manu

  5. Experiment list: SRX122466 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available p antibody=Relb || treatment=LPS || time=30 min || chip antibody manufacturer 1=Bethyl || chip antibody cata...log number 1=A302-183A || chip antibody manufacturer 2=Santa Cruz || chip antibody catalog number 2=sc-226 h

  6. Experiment list: SRX122545 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available antibody=Stat1 || treatment=LPS || time=0 min || chip antibody manufacturer 1=Santa Cruz || chip antibody c...atalog number 1=sc-346 || chip antibody manufacturer 2=Bethyl || chip antibody catalog number 2=A302-753A ht

  7. Experiment list: SRX214080 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available cturer 1=Santa Cruz || chip antibody 2=V5 || chip antibody manufacture...ge=Undifferentiated || treatment=Overexpress Sox2KE-V5 tagged || cell line=KH2 || chip antibody 1=Pou5f1/Oct4 || chip antibody manufa

  8. Experiment list: SRX214081 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available cturer 1=Santa Cruz || chip antibody 2=V5 || chip antibody manufacture...ge=Undifferentiated || treatment=Overexpress Sox2KE-V5 tagged || cell line=KH2 || chip antibody 1=Pou5f1/Oct4 || chip antibody manufa

  9. Simulation of SEU transients in CMOS ICs

    International Nuclear Information System (INIS)

    Kaul, N.; Bhuva, B.L.; Kerns, S.E.

    1991-01-01

    This paper reports that available analytical models of the number of single-event-induced errors (SEU) in combinational logic systems are not easily applicable to real integrated circuits (ICs). An efficient computer simulation algorithm set, SITA, predicts the vulnerability of data stored in and processed by complex combinational logic circuits to SEU. SITA is described in detail to allow researchers to incorporate it into their error analysis packages. Required simulation algorithms are based on approximate closed-form equations modeling individual device behavior in CMOS logic units. Device-level simulation is used to estimate the probability that ion-device interactions produce erroneous signals capable of propagating to a latch (or n output node), and logic-level simulation to predict the spread of such erroneous, latched information through the IC. Simulation results are compared to those from SPICE for several circuit and logic configurations. SITA results are comparable to this established circuit-level code, and SITA can analyze circuits with state-of-the-art device densities (which SPICE cannot). At all IC complexity levels, SITAS offers several factors of 10 savings in simulation time over SPICE

  10. The Search for Wolf-Rayet Stars in IC10

    Science.gov (United States)

    Tehrani, Katie; Crowther, Paul; Archer, Isabelle

    2017-11-01

    We present a deep imaging and spectroscopic survey of the Local Group starburst galaxy IC10 using Gemini North/GMOS to unveil the global Wolf-Rayet population. It has previously been suggested that for IC10 to follow the WC/WN versus metallicity dependence seen in other Local Group galaxies, a large WN population must remain undiscovered. Our search revealed 3 new WN stars, and 5 candidates awaiting confirmation, providing little evidence to support this claim. We also compute an updated nebular derived metallicity of log(O/H)+12=8.40 +/- 0.04 for the galaxy using the direct method. Inspection of IC10 WR average line luminosities show these stars are more similar to their LMC, rather than SMC counterparts.

  11. Experiment list: SRX214068 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available inoic acid || cell line=F9 || chip antibody 1=Pou5f1/Oct4 || chip antibody manufacturer 1=Santa Cruz || chip... antibody 2=none || chip antibody manufacturer 2=none http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/eachDat

  12. ALICE chip processor

    CERN Multimedia

    Maximilien Brice

    2003-01-01

    This tiny chip provides data processing for the time projection chamber on ALICE. Known as the ALICE TPC Read Out (ALTRO), this device was designed to minimize the size and power consumption of the TPC front end electronics. This single chip contains 16 low-power analogue-to-digital converters with six million transistors of digital processing and 8 kbits of data storage.

  13. Production test engineering in FE-I4 system-on-chip to boost the reliability and high-quality demands in IBL applications

    International Nuclear Information System (INIS)

    Zivkovic, V A; Porret, D

    2013-01-01

    The article addresses production test development effort of the ATLAS FE-I4 integrated circuit. This particular production test targets manufacturing faults in the ICs and has been taken as a supplementary approach, besides standard functional test, to further decrease the risk of potential application failures. The Design-for-Test structures inside the digital part of the chip, together with the specially devised top-level simulations enabled straightforward test development and debug in the production test environment. The production test itself has been commissioned to the external test company, with the supervision of the FE-I4 team at the test floor.

  14. Application of IC Card License for Road Transportation in Commercial Vehicles Supervision and Service

    Directory of Open Access Journals (Sweden)

    Li Weiwei

    2016-01-01

    Full Text Available IC card electronic license for road transport includes the IC card commercial vehicle’s certificate and IC card practitioner’s qualification certificate. In China, the IC card electronic license for road transport is the electronic ID card which must be carried by each commercial vehicles and practitioners. This paper briefly introduces the basic situation, data format and security keys architecture of IC card electronic license for road transportation of China. In order to strengthen the supervision and service of commercial vehicles, this paper puts forward the overall application framework of IC card electronic license for road transport. The application examples of IC card license in the supervision of passenger station, dangerous goods transport management, governance overload and logistics park and port area management are discussed. The practical application results show that the application of IC card electronic license for road transport is an important technical means to improve the supervision ability and service quality of the road transportation industry.

  15. Qualification method for a 1 MGy-tolerant front-end chip designed in 65 nm CMOS for the read-out of remotely operated sensors and actuators during maintenance in ITER

    Energy Technology Data Exchange (ETDEWEB)

    Verbeeck, Jens, E-mail: jens.verbeeck@esat.kuleuven.be [KU Leuven (KUL), Div. LRD-MAGyICS, Kasteelpark Arenberg 10, 3001 Heverlee (Belgium); Cao, Ying [KU Leuven (KUL), Div. LRD-MAGyICS, Kasteelpark Arenberg 10, 3001 Heverlee (Belgium); Van Uffelen, Marco; Casellas, Laura Mont; Damiani, Carlo; Morales, Emilio Ruiz; Santana, Roberto Ranz [Fusion for Energy (F4E), c/Josep, no. 2, Torres Diagonal Litoral, Ed. B3, 08019 Barcelona (Spain); Meek, Richard; Haist, Bernhard [Oxford Technologies Ltd. (OTL), 7 Nuffield Way, Abingdon OX14 1RL (United Kingdom); Hamilton, David [ITER Organisation (IO), Route de Vinon-sur-Verdon, CS 90 046, 13067 St. Paul les Durance Cedex (France); Steyaert, Michiel [KU Leuven, ESAT-MICAS, Kasteelpark Arenberg 10, 3001 Heverlee (Belgium); Leroux, Paul [KU Leuven, ESAT-MICAS, Kasteelpark Arenberg 10, 3001 Heverlee (Belgium); KU Leuven, ESAT, Advanced Integrated Sensing Lab (AdvISe), Kleinhoefstraat 4, 2440 Geel (Belgium)

    2015-10-15

    This paper describes the radiation qualification procedure for a 1 MGy-tolerant Application Specific Integrated Circuit (ASIC) developed in 65 nm CMOS technology. The chip is intended for the read-out of electrical signals of sensors and actuators during maintenance in ITER. First the general working principle of the ASIC is shown. The developed IC allows to read-out, condition and digitize multiple low bandwidth (<10 kHz) sensors. In addition the IC is able to multiplex the digitized sensor signals. To comply with ITER-relevant constraints an adapted radiation qualification procedure has been proposed. The radiation-qualification procedure describes the test criteria and test conditions of the developed ASICs, which are also compared with COTS alternatives, to meet the stringent qualification procedures for electronics exposed to radiation in ITER.

  16. ICECAP: an integrated, general-purpose, automation-assisted IC50/EC50 assay platform.

    Science.gov (United States)

    Li, Ming; Chou, Judy; King, Kristopher W; Jing, Jing; Wei, Dong; Yang, Liyu

    2015-02-01

    IC50 and EC50 values are commonly used to evaluate drug potency. Mass spectrometry (MS)-centric bioanalytical and biomarker labs are now conducting IC50/EC50 assays, which, if done manually, are tedious and error-prone. Existing bioanalytical sample preparation automation systems cannot meet IC50/EC50 assay throughput demand. A general-purpose, automation-assisted IC50/EC50 assay platform was developed to automate the calculations of spiking solutions and the matrix solutions preparation scheme, the actual spiking and matrix solutions preparations, as well as the flexible sample extraction procedures after incubation. In addition, the platform also automates the data extraction, nonlinear regression curve fitting, computation of IC50/EC50 values, graphing, and reporting. The automation-assisted IC50/EC50 assay platform can process the whole class of assays of varying assay conditions. In each run, the system can handle up to 32 compounds and up to 10 concentration levels per compound, and it greatly improves IC50/EC50 assay experimental productivity and data processing efficiency. © 2014 Society for Laboratory Automation and Screening.

  17. The Coronary Health Improvement Projects Impact on Lowering Eating, Sleep, Stress, and Depressive Disorders

    Science.gov (United States)

    Merrill, Ray M.; Aldana, Stephen G.; Greenlaw, Roger L.; Diehl, Hans A.

    2008-01-01

    Background: The Coronary Health Improvement Project (CHIP) is designed to lower cardiovascular risk factors among a group of generally healthy individuals through health education. Purpose: This study will evaluate the efficacy of the CHIP intervention at improving eating, sleep, stress, and depressive disorders. Methods: A health education…

  18. Robust design and thermal fatigue life prediction of anisotropic conductive film flip chip package

    International Nuclear Information System (INIS)

    Nam, Hyun Wook

    2004-01-01

    The use of flip-chip technology has many advantages over other approaches for high-density electronic packaging. ACF(Anisotropic Conductive Film) is one of the major flip-chip technologies, which has short chip-to-chip interconnection length, high productivity, and miniaturization of package. In this study, thermal fatigue life of ACF bonding flip-chip package has been predicted. Elastic and thermal properties of ACF were measured by using DMA and TMA. Temperature dependent nonlinear bi-thermal analysis was conducted and the result was compared with Moire interferometer experiment. Calculated displacement field was well matched with experimental result. Thermal fatigue analysis was also conducted. The maximum shear strain occurs at the outmost located bump. Shear stress-strain curve was obtained to calculate fatigue life. Fatigue model for electronic adhesives was used to predict thermal fatigue life of ACF bonding flip-chip packaging. DOE (Design Of Experiment) technique was used to find important design factors. The results show that PCB CTE (Coefficient of Thermal Expansion) and elastic modulus of ACF material are important material parameters. And as important design parameters, chip width, bump pitch and bump width were chose. 2 nd DOE was conducted to obtain RSM equation for the choose 3 design parameter. The coefficient of determination (R 2 ) for the calculated RSM equation is 0.99934. Optimum design is conducted using the RSM equation. MMFD (Modified Method for Feasible Direction) algorithm is used to optimum design. The optimum value for chip width, bump pitch and bump width were 7.87mm, 430μm, and 78μm, respectively. Approximately, 1400 cycles have been expected under optimum conditions. Reliability analysis was conducted to find out guideline for control range of design parameter. Sigma value was calculated with changing standard deviation of design variable. To acquire 6 sigma level thermal fatigue reliability, the Std. Deviation of design parameter

  19. Pelly Crossing wood chip boiler

    Energy Technology Data Exchange (ETDEWEB)

    1985-03-11

    The Pelly wood chip project has demonstrated that wood chips are a successful fuel for space and domestic water heating in a northern climate. Pelly Crossing was chosen as a demonstration site for the following reasons: its extreme temperatures, an abundant local supply of resource material, the high cost of fuel oil heating and a lack of local employment. The major obstacle to the smooth operation of the boiler system was the poor quality of the chip supply. The production of poor quality chips has been caused by inadequate operation and maintenance of the chipper. Dull knives and faulty anvil adjustments produced chips and splinters far in excess of the one centimetre size specified for the system's design. Unanticipated complications have caused costs of the system to be higher than expected by approximately $15,000. The actual cost of the project was approximately $165,000. The first year of the system's operation was expected to accrue $11,600 in heating cost savings. This estimate was impossible to confirm given the system's irregular operation and incremental costs. Consistent operation of the system for a period of at least one year plus the installation of monitoring devices will allow the cost effectiveness to be calculated. The wood chip system's impact on the environment was estimated to be minimal. Wood chip burning was considered cleaner and safer than cordwood burning. 9 refs., 6 figs., 6 tabs.

  20. 30 CFR 57.22203 - Main fan operation (I-C mines).

    Science.gov (United States)

    2010-07-01

    ... 30 Mineral Resources 1 2010-07-01 2010-07-01 false Main fan operation (I-C mines). 57.22203... Standards for Methane in Metal and Nonmetal Mines Ventilation § 57.22203 Main fan operation (I-C mines). Main fans shall be operated continuously while ore production is in progress. ...

  1. Simultaneous detection of three lily viruses using Triplex IC-RT-PCR.

    Science.gov (United States)

    Zhang, Yubao; Wang, Yajun; Xie, Zhongkui; Yang, Guo; Guo, Zhihong; Wang, Le

    2017-11-01

    Viruses commonly infecting lily (Lilium spp.) include: Lily symptomless virus (LSV), Cucumber mosaic virus (CMV) and Lily mottle virus (LMoV). These viruses usually co-infect lilies causing severe economic losses in terms of quantity and quality of flower and bulb production around the world. Reliable and precise detection systems need to be developed for virus identification. We describe the development of a triplex immunocapture (IC) reverse transcription (RT) polymerase chain reaction (PCR) assay for the simultaneous detection of LSV, CMV and LMoV. The triplex IC-RT-PCR was compared with a quadruplex RT-PCR assay. Relative to the quadruplex RT-PCR, the specificity of the triplex IC-RT-PCR system for LSV, CMV and LMoV was 100% for field samples. The sensitivity of the triplex IC-RT-PCR system was 99.4%, 81.4% and 98.7% for LSV, CMV and LMoV, respectively. Agreement (κ) between the results obtained from the two tests was 0.968, 0.844 and 0.984 for LSV, CMV and LMoV, respectively. This is the first report of the simultaneous detection of LSV, CMV and LMoV in a triplex IC-RT-PCR assay. In particular we believe this convenient and reliable triplex IC-RT-PCR method could be used routinely for large-scale field surveys or crop health monitoring of lily. Copyright © 2017. Published by Elsevier B.V.

  2. Noise suppression and crosstalk analysis of on-chip magnetic film-type noise suppressor

    Science.gov (United States)

    Ma, Jingyan; Muroga, Sho; Endo, Yasushi; Hashi, Shuichiro; Naoe, Masayuki; Yokoyama, Hiroo; Hayashi, Yoshiaki; Ishiyama, Kazushi

    2018-05-01

    This paper discusses near field, conduction and crosstalk noise suppression of magnetic films with uniaxial anisotropy on transmission lines for a film-type noise suppressor in the GHz frequency range. The electromagnetic noise suppressions of magnetic films with different permeability and resistivity were measured and simulated with simple microstrip lines. The experimental and simulated results of Co-Zr-Nb and CoPd-CaF2 films agreed with each other. The results indicate that the higher permeability leads to a better near field shielding, and in the frequency range of 2-7 GHz, a higher conduction noise suppression. It also suggests that the higher resistivity results in a better crosstalk suppression in the frequency range below 2 GHz. These results can support the design guidelines of the magnetic film-type noise suppressor used in the next generation IC chip.

  3. Generic test platform for representative tests of safety I/C systems - 15546

    International Nuclear Information System (INIS)

    Fourestie, B.; Kuck, H.; Richter, J.; Rieche, S.; Waitz, M.

    2015-01-01

    In compliance with the IEC 61513 safety Instrumentation and Control (I/C) systems must be successfully validated in their final configuration prior to installation on site and commissioning. However the contingent need for modifications during system validation activities or subsequently during the commissioning phase may entail long and costly re-engineering of the I/C systems. With the view to ease these possible modifications, a Generic Test Platform has been developed by AREVA which allows combining a real I/C system subpart with an emulation server. This platform provides a faithful representation of the I/C System allowing crediting the validation test results carried out on this platform. (authors)

  4. A Single-Chip CMOS Pulse Oximeter with On-Chip Lock-In Detection

    Directory of Open Access Journals (Sweden)

    Diwei He

    2015-07-01

    Full Text Available Pulse oximetry is a noninvasive and continuous method for monitoring the blood oxygen saturation level. This paper presents the design and testing of a single-chip pulse oximeter fabricated in a 0.35 µm CMOS process. The chip includes photodiode, transimpedance amplifier, analogue band-pass filters, analogue-to-digital converters, digital signal processor and LED timing control. The experimentally measured AC and DC characteristics of individual circuits including the DC output voltage of the transimpedance amplifier, transimpedance gain of the transimpedance amplifier, and the central frequency and bandwidth of the analogue band-pass filters, show a good match (within 1% with the circuit simulations. With modulated light source and integrated lock-in detection the sensor effectively suppresses the interference from ambient light and 1/f noise. In a breath hold and release experiment the single chip sensor demonstrates consistent and comparable performance to commercial pulse oximetry devices with a mean of 1.2% difference. The single-chip sensor enables a compact and robust design solution that offers a route towards wearable devices for health monitoring.

  5. A Single-Chip CMOS Pulse Oximeter with On-Chip Lock-In Detection.

    Science.gov (United States)

    He, Diwei; Morgan, Stephen P; Trachanis, Dimitrios; van Hese, Jan; Drogoudis, Dimitris; Fummi, Franco; Stefanni, Francesco; Guarnieri, Valerio; Hayes-Gill, Barrie R

    2015-07-14

    Pulse oximetry is a noninvasive and continuous method for monitoring the blood oxygen saturation level. This paper presents the design and testing of a single-chip pulse oximeter fabricated in a 0.35 µm CMOS process. The chip includes photodiode, transimpedance amplifier, analogue band-pass filters, analogue-to-digital converters, digital signal processor and LED timing control. The experimentally measured AC and DC characteristics of individual circuits including the DC output voltage of the transimpedance amplifier, transimpedance gain of the transimpedance amplifier, and the central frequency and bandwidth of the analogue band-pass filters, show a good match (within 1%) with the circuit simulations. With modulated light source and integrated lock-in detection the sensor effectively suppresses the interference from ambient light and 1/f noise. In a breath hold and release experiment the single chip sensor demonstrates consistent and comparable performance to commercial pulse oximetry devices with a mean of 1.2% difference. The single-chip sensor enables a compact and robust design solution that offers a route towards wearable devices for health monitoring.

  6. AGB stars as tracers to IC 1613 evolution.

    Science.gov (United States)

    Hashemi, S. A.; Javadi, A.; van Loon, J. Th.

    We are going to apply AGB stars to find star formation history for IC 1613 galaxy; this a new and simple method that works well for nearby galaxies. IC 1613 is a Local Group dwarf irregular galaxy that is located at distance of 750 kpc, a gas rich and isolated dwarf galaxy that has a low foreground extinction. We use the long period variable stars (LPVs) that represent the very final stage of evolution of stars with low and intermediate mass at the AGB phase and are very luminous and cool so that they emit maximum brightness in near-infrared bands. Thus near-infrared photometry with using stellar evolutionary models help us to convert brightness to birth mass and age and from this drive star formation history of the galaxy. We will use the luminosity distribution of the LPVs to reconstruct the star formation history-a method we have successfully applied in other Local Group galaxies. Our analysis shows that the IC 1613 has had a nearly constant star formation rate, without any dominant star formation episode.

  7. Lab-on a-Chip

    Science.gov (United States)

    1999-01-01

    Labs on chips are manufactured in many shapes and sizes and can be used for numerous applications, from medical tests to water quality monitoring to detecting the signatures of life on other planets. The eight holes on this chip are actually ports that can be filled with fluids or chemicals. Tiny valves control the chemical processes by mixing fluids that move in the tiny channels that look like lines, connecting the ports. Scientists at NASA's Marshall Space Flight Center (MSFC) in Huntsville, Alabama designed this chip to grow biological crystals on the International Space Station (ISS). Through this research, they discovered that this technology is ideally suited for solving the challenges of the Vision for Space Exploration. For example, thousands of chips the size of dimes could be loaded on a Martian rover looking for biosignatures of past or present life. Other types of chips could be placed in handheld devices used to monitor microbes in water or to quickly conduct medical tests on astronauts. The portable, handheld Lab-on-a Chip Application Development Portable Test System (LOCAD-PTS) made its debut flight aboard Discovery during the STS-116 mission launched December 9, 2006. The system allowed crew members to monitor their environment for problematic contaminants such as yeast, mold, and even E.coli, and salmonella. Once LOCAD-PTS reached the ISS, the Marshall team continued to manage the experiment, monitoring the study from a console in the Payload Operations Center at MSFC. The results of these studies will help NASA researchers refine the technology for future Moon and Mars missions. (NASA/MSFC/D.Stoffer)

  8. Sequential Indentation Tests to Investigate the Influence of Confining Stress on Rock Breakage by Tunnel Boring Machine Cutter in a Biaxial State

    Science.gov (United States)

    Liu, Jie; Cao, Ping; Han, Dongya

    2016-04-01

    The influence of confining stress on rock breakage by a tunnel boring machine cutter was investigated by conducting sequential indentation tests in a biaxial state. Combined with morphology measurements of breaking grooves and an analysis of surface and internal crack propagation between nicks, the effects of maximum confining stress and minimum stress on indentation efficiency, crack propagation and chip formation were investigated. Indentation tests and morphology measurements show that increasing a maximum confining stress will result in increased consumed energy in indentations, enlarged groove volumes and promoted indentation efficiency when the corresponding minimum confining stress is fixed. The energy consumed in indentations will increase with increase in minimum confining stress, however, because of the decreased groove volumes as the minimum confining stress increases, the efficiency will decrease. Observations of surface crack propagation show that more intensive fractures will be induced as the maximum confining stress increases, whereas the opposite occurs for an increase of minimum confining stress. An observation of the middle section, cracks and chips shows that as the maximum confining stress increases, chips tend to form in deeper parts when the minimum confining stress is fixed, whereas they tend to formed in shallower parts as the minimum confining stress increases when the maximum confining stress is fixed.

  9. Prediction of fracture toughness K/sub Ic/ of steel from Charpy impact test results

    Energy Technology Data Exchange (ETDEWEB)

    Iwadate, Tadao; Tanaka, Yasuhiko; Takemata, Hiroyuki; Terashima, Shuhei

    1986-08-01

    This paper presents a method to predict the fracture toughness K/sub Ic/ and/or K/sub Id/ of steels using their Charpy impact test results and tensile properties. The fracture toughness, Charpy impact and tensile properties of 2 1/4 Cr-1Mo, ASTM A508 Cl.1, A508 Cl.2 A508 Cl.3 and A533 Gr.B Cl.1 steels were measured and analysed on the basis of the excess temperature (test temperature minus FATT) and Rolfe-Novak correlation. The relationship between K/sub Ic//K/sub Ic-us/ and the excess temperature, where K/sub Ic-us/ is the upper-shelf fracture toughness K/sub Ic/ predicted by Rolfe-Novak correlation, discloses that the K/sub Ic/ transition curves of several steels are representable by only one trend curve of K/sub Ic//K/sub Ic-us/ or K/sub Id//K/sub Id-us/ versus excess temperature relation. This curve is denoted as a ''master curve''. By using this curve, the fracture toughness of steel can be predicted using Charpy impact and tensile test results. By taking account of the scattering of both the fracture toughness and Charpy impact test results, the confidence limits of the master curve were also determined. Another approach to develop more general procedure of predicting the fracture toughness K/sub Ic/ is also discussed.

  10. Gossipo-3 A prototype of a Front-End Pixel Chip for Read-Out of Micro-Pattern Gas Detectors

    CERN Document Server

    Brezina, Christpoh; van der Graaf, Haryy; Gromov, Vladimir; Kluit, Ruud; Kruth, Andre; Zappon, Francesco

    2009-01-01

    In a joint effort of Nikhef (Amsterdam) and the University of Bonn, the Gossipo-3 integrated circuit (IC) has been developed. This circuit is a prototype of a chip dedicated for read-out of various types of position sensitive Micro-Pattern Gas detectors (MPGD). The Gossipo-3 is defined as a set of building blocks to be used in a future highly granulated (60 μm) chip. The pixel circuit can operate in two modes. In Time mode every readout pixel measures the hit arrival time and the charge deposit. For this purpose it has been equipped with a high resolution TDC (1.7 ns) covering dynamic range up to 102 μs. Charge collected by the pixel will be measured using Time-over- Threshold method in the range from 400 e- to 28000 e- with accuracy of 200 e- (standard deviation). In Counting mode every pixel operates as a 24-bit counter, counting the number of incoming hits. The circuit is also optimized to operate at low power consumption (100 mW/cm2) that is required to avoid the need for massive power transport and coo...

  11. Industry-Oriented Laboratory Development for Mixed-Signal IC Test Education

    Science.gov (United States)

    Hu, J.; Haffner, M.; Yoder, S.; Scott, M.; Reehal, G.; Ismail, M.

    2010-01-01

    The semiconductor industry is lacking qualified integrated circuit (IC) test engineers to serve in the field of mixed-signal electronics. The absence of mixed-signal IC test education at the collegiate level is cited as one of the main sources for this problem. In response to this situation, the Department of Electrical and Computer Engineering at…

  12. 30 CFR 57.22241 - Advance face boreholes (I-C mines).

    Science.gov (United States)

    2010-07-01

    ...) Boreholes shall be drilled in such a manner to insure that the advancing face will not accidently break into... 30 Mineral Resources 1 2010-07-01 2010-07-01 false Advance face boreholes (I-C mines). 57.22241... Standards for Methane in Metal and Nonmetal Mines Ventilation § 57.22241 Advance face boreholes (I-C mines...

  13. PDC IC WELD FAILURE EVALUATION AND RESOLUTION

    Energy Technology Data Exchange (ETDEWEB)

    Korinko, P.; Howard, S.; Maxwell, D.; Fiscus, J.

    2012-04-16

    During final preparations for start of the PDCF Inner Can (IC) qualification effort, welding was performed on an automated weld system known as the PICN. During the initial weld, using a pedigree canister and plug, a weld defect was observed. The defect resulted in a hole in the sidewall of the canister, and it was observed that the plug sidewall had not been consumed. This was a new type of failure not seen during development and production of legacy Bagless Transfer Cans (FB-Line/Hanford). Therefore, a team was assembled to determine the root cause and to determine if the process could be improved. After several brain storming sessions (MS and T, R and D Engineering, PDC Project), an evaluation matrix was established to direct this effort. The matrix identified numerous activities that could be taken and then prioritized those activities. This effort was limited by both time and resources (the number of canisters and plugs available for testing was limited). A discovery process was initiated to evaluate the Vendor's IC fabrication process relative to legacy processes. There were no significant findings, however, some information regarding forging/anneal processes could not be obtained. Evaluations were conducted to compare mechanical properties of the PDC canisters relative to the legacy canisters. Some differences were identified, but mechanical properties were determined to be consistent with legacy materials. A number of process changes were also evaluated. A heat treatment procedure was established that could reduce the magnetic characteristics to levels similar to the legacy materials. An in-situ arc annealing process was developed that resulted in improved weld characteristics for test articles. Also several tack welds configurations were addressed, it was found that increasing the number of tack welds (and changing the sequence) resulted in decreased can to plug gaps and a more stable weld for test articles. Incorporating all of the process

  14. PENGARUH MEKANISME CORPORATE GOVERNANCE TERHADAP PENGUNGKAPAN INTELLECTUAL CAPITAL: PADA PERUSAHAAN IC INTENSIVE

    Directory of Open Access Journals (Sweden)

    Dista Amalia Arifah

    2012-12-01

    Full Text Available Intangible asset proxied by Intellectual Capital has important role to drive companies values creation. Although many companies have applied corporate governance mechanism in order to have IC disclosure recognition, most of them do not focus on Intellectual Capital disclosure yet. The aim of this study is to analyze the influence of corporate governance mechanisms consisting of size of the board commissioners, the independence level of independent commissioner, the activities of independent commissioners, and audit committee on the intellectual capital disclosures of the companies listed in BEI in 2009 using intensive ICs category with the adding of kontrol variables. This study will provide an illustration on how the mechanisms of corporate governance practices and IC disclosure become a value creation source for the company. There are a total of 176 companies categorized as IC intensive. Using a purposive sampling method, 45 companies were selected as samples. The 2009 annual reports of the companies are used as secondary data source of this research. Furthermore, to get ICs disclosure data content analysis technique was used both for quantity and quality terms. The results indicate that audit committee is the only corporate governance mechanism that significantly affects the level of IC disclosures.

  15. Dead-time free pixel readout architecture for ATLAS front-end IC

    CERN Document Server

    Einsweiler, Kevin F; Kleinfelder, S A; Luo, L; Marchesini, R; Milgrome, O; Pengg, F X

    1999-01-01

    A low power sparse scan readout architecture has been developed for the ATLAS pixel front-end IC. The architecture supports a dual discriminator and extracts the time over threshold (TOT) information along with a 2-D spatial address $9 of the hits associating them with a unique 7-bit beam crossing number. The IC implements level-1 trigger filtering along with event building (grouping together all hits in a beam crossing) in the end of column (EOC) buffer. The $9 events are transmitted over a 40 MHz serial data link with the protocol supporting buffer overflow handling by appending error flags to events. This mixed-mode full custom IC is implemented in 0.8 mu HP process to meet the $9 requirements for the pixel readout in the ATLAS inner detector. The circuits have been tested and the IC provides dead-time-less ambiguity free readout at 40 MHz data rate.

  16. Optimal selection of TLD chips

    International Nuclear Information System (INIS)

    Phung, P.; Nicoll, J.J.; Edmonds, P.; Paris, M.; Thompson, C.

    1996-01-01

    Large sets of TLD chips are often used to measure beam dose characteristics in radiotherapy. A sorting method is presented to allow optimal selection of chips from a chosen set. This method considers the variation

  17. 2nd International Conference on Rheology and Modeling of Materials (IC-RMM2)

    International Nuclear Information System (INIS)

    2017-01-01

    Understanding the rheological properties of materials and their rheological behaviors during their manufacturing processes and in their applications in many cases can help to increase the efficiency and competitiveness not only of the finished goods and products but the organizations and societies also. The more scientific supported and prepared organizations develop more competitive products with better thermal, mechanical, physical, chemical and biological properties and the leading companies apply more competitive equipment and technology processes. The aims of the 2 nd International Conference on Rheology and Modeling of Materials (ic-rmm2) and the parallel organized symposiums of the 1 st International Symposium on Powder Injection Molding (is-pim1) and the 1 st International Symposium on Rheology and Fracture of Solids (is-rfs1) are the followings: Promote new methods and results of scientific research in the fields of modeling and measurements of rheological properties and behavior of materials under processing and applications; Change information between the theoretical and applied sciences as well as technical and technological implantations. Promote the communication and collaboration between the scientists, researchers and engineers of different disciplines, different nations, countries and continents. The international conference ic-rmm2 and symposiums of is-pim1 and is-rfs1 provide a platform among the leading international scientists, researchers, PhD students and engineers for discussing recent achievements in measurement, modeling and application of rheology in materials technology and materials science of liquids, melts, solids, crystals and amorphous structures. Among thr major fields of interest are the influence of materials structures, mechanical stresses, temperatures, deformation speeds and shear rates on rheological and physical properties, phase transformation of foams, foods, polymers, plastics and other competitive materials like ceramics

  18. 2nd International Conference on Rheology and Modeling of Materials (IC-RMM2)

    Science.gov (United States)

    2017-01-01

    Understanding the rheological properties of materials and their rheological behaviors during their manufacturing processes and in their applications in many cases can help to increase the efficiency and competitiveness not only of the finished goods and products but the organizations and societies also. The more scientific supported and prepared organizations develop more competitive products with better thermal, mechanical, physical, chemical and biological properties and the leading companies apply more competitive equipment and technology processes. The aims of the 2nd International Conference on Rheology and Modeling of Materials (ic-rmm2) and the parallel organized symposiums of the 1st International Symposium on Powder Injection Molding (is-pim1) and the 1st International Symposium on Rheology and Fracture of Solids (is-rfs1) are the followings: Promote new methods and results of scientific research in the fields of modeling and measurements of rheological properties and behavior of materials under processing and applications; Change information between the theoretical and applied sciences as well as technical and technological implantations. Promote the communication and collaboration between the scientists, researchers and engineers of different disciplines, different nations, countries and continents. The international conference ic-rmm2 and symposiums of is-pim1 and is-rfs1 provide a platform among the leading international scientists, researchers, PhD students and engineers for discussing recent achievements in measurement, modeling and application of rheology in materials technology and materials science of liquids, melts, solids, crystals and amorphous structures. Among thr major fields of interest are the influence of materials structures, mechanical stresses, temperatures, deformation speeds and shear rates on rheological and physical properties, phase transformation of foams, foods, polymers, plastics and other competitive materials like ceramics

  19. Experiment list: SRX110782 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available e3 (ab6002, abcam), Pol II (CTD4H8, Millipore) || chip antibody 1 manufacturer=ab...cam || chip antibody 2=Pol II (CTD4H8, Millipore) || chip antibody 2 manufacturer=Millipore http://dbarchive

  20. Avaliação da aceitação de "chips" de mandioca Acceptance evaluation of cassava chips

    Directory of Open Access Journals (Sweden)

    Regina Kitagawa Grizotto

    2003-12-01

    Full Text Available Pré-tratamentos como o cozimento, a fermentação natural e a secagem parcial foram aplicados em raízes de mandioca, visando a obtenção de "chips" comestíveis. A avaliação sensorial foi feita com base na aceitação e aparência dos "chips" das variedades IAC Mantiqueira e IAC 576.70. Trinta consumidores potenciais do produto foram selecionados em função da disponibilidade e interesse em participar dos testes. Foi utilizada escala hedônica de 7 pontos, onde os provadores avaliaram as amostras delineadas em blocos casualizados. Os resultados obtidos mostraram que os "chips" controle e pré-cozidos foram aceitos sensorialmente, apresentado médias de 5,1 (gostei ligeiramente para IAC Mantiqueira e 6,0 (gostei moderadamente para IAC 576.70. Os "chips" pré-fermentados de ambas variedades foram rejeitados. Os termos de agrado mais comentados pelos provadores foram "sabor de mandioca", "crocância" e "textura". Os termos de desagrado mais citados incluem "textura dura", "falta sabor de mandioca" e "gosto de óleo". Os provadores consideraram adequada a aparência dos "chips" de ambas variedades, sendo ligeiramente preferida a aparência dos "chips" da IAC 576.70, com exceção dos "chips" cozidos por 8 minutos e os fermentados, rejeitados pelos consumidores. A cor amarela da polpa pode ter influenciado a aceitação da variedade IAC 576.70. A composição centesimal e o teor de fibras na mandioca in natura e, o teor de lipídeos em "chips" de mandioca, também foram apresentados.Pre-treatments such as cooking, natural fermentation and partial drying were applied to cassava roots, aimed at obtaining edible cassava chips. The sensory evaluation was based on the acceptance and appearance of the chips, using the varieties IAC Mantiqueira and IAC 576.70. Thirty potential consumers of the product were selected based on their availability and interest. A 7-point hedonic scale was used, all the judges evaluating all the samples using a randomised

  1. Establishment of an intermittent cold stress model using Tupaia belangeri and evaluation of compound C737 targeting neuron-restrictive silencer factor

    Science.gov (United States)

    Hai-Ying, Chi; Nagano, Kiori; Ezzikouri, Sayeh; Yamaguchi, Chiho; Kayesh, Mohammad Enamul Hoque; Rebbani, Khadija; Kitab, Bouchra; Nakano, Hirohumi; Kouji, Hiroyuki; Kohara, Michinori; Tsukiyama-Kohara, Kyoko

    2016-01-01

    Previous studies have shown that intermittent cold stress (ICS) induces depression-like behaviors in mammals. Tupaia belangeri (the tree shrew) is the only experimental animal other than the chimpanzee that has been shown to be susceptible to infection by hepatitis B and C viruses. Moreover, full genome sequence analysis has revealed strong homology between host proteins in Tupaia and in humans and other primates. Tupaia neuromodulator receptor proteins are also known to have a high degree of homology with their corresponding primate proteins. Based on these similarities, we hypothesized that induction of ICS in Tupaia would provide a useful animal model of stress responses. We exposed young adult Tupaia to ICS and observed decreases in body temperature and body weight in both female and male Tupaia, suggesting that Tupaia are an appropriate animal model for ICS studies. We further examined the efficacy of a new small-molecule compound, C737, against the effects of ICS. C737 mimics the helical structure of neuron-restrictive silencer factor (NRSF/REST), which regulates a wide range of target genes involved in neuronal function and pain modulation. Treatment with C737 significantly reduced stress-induced weight loss in female Tupaia; these effects were stronger than those elicited by the antidepressant agomelatine. These results suggest that Tupaia represents a useful non-rodent ICS model. Our data also provide new insights into the function of NRSF/REST in stress-induced depression and other disorders with epigenetic influences or those with high prevalence in women. PMID:27041457

  2. Fully Automated On-Chip Imaging Flow Cytometry System with Disposable Contamination-Free Plastic Re-Cultivation Chip

    Directory of Open Access Journals (Sweden)

    Tomoyuki Kaneko

    2011-06-01

    Full Text Available We have developed a novel imaging cytometry system using a poly(methyl methacrylate (PMMA based microfluidic chip. The system was contamination-free, because sample suspensions contacted only with a flammable PMMA chip and no other component of the system. The transparency and low-fluorescence of PMMA was suitable for microscopic imaging of cells flowing through microchannels on the chip. Sample particles flowing through microchannels on the chip were discriminated by an image-recognition unit with a high-speed camera in real time at the rate of 200 event/s, e.g., microparticles 2.5 μm and 3.0 μm in diameter were differentiated with an error rate of less than 2%. Desired cells were separated automatically from other cells by electrophoretic or dielectrophoretic force one by one with a separation efficiency of 90%. Cells in suspension with fluorescent dye were separated using the same kind of microfluidic chip. Sample of 5 μL with 1 × 106 particle/mL was processed within 40 min. Separated cells could be cultured on the microfluidic chip without contamination. The whole operation of sample handling was automated using 3D micropipetting system. These results showed that the novel imaging flow cytometry system is practically applicable for biological research and clinical diagnostics.

  3. A Portable Parallel Implementation of the U.S. Navy Layered Ocean Model

    Science.gov (United States)

    1995-01-01

    Wallcraft, PhD (I.C. 1981) Planning Systems Inc. & P. R. Moore, PhD (Camb. 1971) IC Dept. Math. DR Moore 1° Encontro de Metodos Numericos...Kendall Square, Hypercube, D R Moore 1 ° Encontro de Metodos Numericos para Equacöes de Derivadas Parciais A. J. Wallcraft IC Mathematics...chips: Chips Machine DEC Alpha CrayT3D/E SUN Sparc Fujitsu AP1000 Intel 860 Paragon D R Moore 1° Encontro de Metodos Numericos para Equacöes

  4. The integrated circuit IC EMP transient state disturbance effect experiment method investigates

    International Nuclear Information System (INIS)

    Li Xiaowei

    2004-01-01

    Transient state disturbance characteristic study on the integrated circuit, IC, need from its coupling path outset. Through cable (aerial) coupling, EMP converts to an pulse current voltage and results in the impact to the integrated circuit I/O orifice passing the cable. Aiming at the armament system construction feature, EMP effect to the integrated circuit, IC inside the system is analyzed. The integrated circuit, IC EMP effect experiment current injection method is investigated and a few experiments method is given. (authors)

  5. Use of advanced commercial ICs (COTS) for space application

    International Nuclear Information System (INIS)

    Strobel, D.J.; Czajkowski, D.R.; Layton, P.; Shanken, S.

    1999-01-01

    A product line of space-qualified radiation-tolerant ICs based on a high-volume commercial-off-the-shelf (COTS) silicon has been developed. The basic results from over 300 lots of COTS silicon, assembled and screened to Class B and Class S requirements will be presented. Intelligent use of commercial ICs engineered to improve radiation performance, is effective in introducing advanced technology to new satellite systems. Space Electronics has introduced over 125 space-qualified microelectronics standard products, that are used on over 90 space projects. (authors)

  6. Moving from irrelevant intellectual capital (IC) reporting to value-relevant IC disclosures: key learning points from the Danish experience

    DEFF Research Database (Denmark)

    Schaper, Stefan; Nielsen, Christian; Roslender, Robin

    2017-01-01

    , largely informed by an accounting perspective, towards IC-related disclosures. Design/methodology/approach – The paper draws on data obtained from 21 semi-structured interviews with respondents in 16 companies. The respondents were contacted following a genealogical exercise carried out on the 102...... with a recognised reporting vehicle such as the annual report, were also encountered. Research limitations/implications – The implications of this study are that timely, value-relevant IC disclosures and compliant reporting, primarily for accountability purposes, have the potential to coexist. In addition...... to the usual limitations of a semi-structured interview research design, respondents’ difficulties in clearly recalling events during the project after some 10-12 years is a further potential limitation. Additionally, the use of internet-based communication channels for disclosure purposes was in its infancy...

  7. Chip-package nano-structured copper and nickel interconnections with metallic and polymeric bonding interfaces

    Science.gov (United States)

    Aggarwal, Ankur

    developed to address the IC packaging requirements beyond the ITRS projections and to introduce innovative design and fabrication concepts that will further advance the performance of the chip, the package, and the system board. The nano-structured interconnect technology simultaneously packages all the ICs intact in wafer form with quantum jump in the number of interconnections with the lowest electrical parasitics. The intrinsic properties of nano materials also enable several orders of magnitude higher interconnect densities with the best mechanical properties for the highest reliability and yet provide higher current and heat transfer densities. Nano-structured interconnects provides the ability to assemble the packaged parts on the system board without the use of underfill materials and to enable advanced analog/digital testing, reliability testing, and burn-in at wafer level. This thesis investigates the electrical and mechanical performance of nanostructured interconnections through modeling and test vehicle fabrication. The analytical models evaluate the performance improvements over solder and compliant interconnections. Test vehicles with nano-interconnections were fabricated using low cost electro-deposition techniques and assembled with various bonding interfaces. Interconnections were fabricated at 200 micron pitch to compare with the existing solder joints and at 50 micron pitch to demonstrate fabrication processes at fine pitches. Experimental and modeling results show that the proposed nano-interconnections could enhance the reliability and potentially meet all the system performance requirements for the emerging micro/nano-systems.

  8. Numerical simulation of CTE mismatch and thermal-structural stresses in the design of interconnects

    Science.gov (United States)

    Peter, Geoffrey John M.

    With the ever-increasing chip complexity, interconnects have to be designed to meet the new challenges. Advances in optical lithography have made chip feature sizes available today at 70 nm dimensions. With advances in Extreme Ultraviolet Lithography, X-ray Lithography, and Ion Projection Lithography it is expected that the line width will further decrease to 20 nm or less. With the decrease in feature size, the number of active devices on the chip increases. With higher levels of circuit integration, the challenge is to dissipate the increased heat flux from the chip surface area. Thermal management considerations include coefficient of thermal expansion (CTE) matching to prevent failure between the chip and the board. This in turn calls for improved system performance and reliability of the electronic structural systems. Experience has shown that in most electronic systems, failures are mostly due to CTE mismatch between the chip, board, and the solder joint (solder interconnect). The resulting high thermal-structural stress and strain due to CTE mismatch produces cracks in the solder joints with eventual failure of the electronic component. In order to reduce the thermal stress between the chip, board, and the solder joint, this dissertation examines the effect of inserting wire bundle (wire interconnect) between the chip and the board. The flexibility of the wires or fibers would reduce the stress at the rigid joints. Numerical simulations of two, and three-dimensional models of the solder and wire interconnects are examined. The numerical simulation is linear in nature and is based on linear isotropic material properties. The effect of different wire material properties is examined. The effect of varying the wire diameter is studied by changing the wire diameter. A major cause of electronic equipment failure is due to fatigue failure caused by thermal cycling, and vibrations. A two-dimensional modal and harmonic analysis was simulated for the wire interconnect

  9. Chip-to-chip SnO2 nanowire network sensors for room temperature H2 detection

    Science.gov (United States)

    Köck, A.; Brunet, E.; Mutinati, G. C.; Maier, T.; Steinhauer, S.

    2012-06-01

    The employment of nanowires is a very powerful strategy to improve gas sensor performance. We demonstrate a gas sensor device, which is based on silicon chip-to-chip synthesis of ultralong tin oxide (SnO2) nanowires. The sensor device employs an interconnected SnO2 nanowire network configuration, which exhibits a huge surface-to-volume ratio and provides full access of the target gas to the nanowires. The chip-to-chip SnO2 nanowire device is able to detect a H2 concentration of only 20 ppm in synthetic air with ~ 60% relative humidity at room temperature. At an operating temperature of 300°C a concentration of 50 ppm H2 results in a sensitivity of 5%. At this elevated temperature the sensor shows a linear response in a concentration range between 10 ppm and 100 ppm H2. The SnO2-nanowire fabrication procedure based on spray pyrolysis and subsequent annealing is performed at atmospheric pressure, requires no vacuum and allows upscale of the substrate to a wafer size. 3D-integration with CMOS chips is proposed as viable way for practical realization of smart nanowire based gas sensor devices for the consumer market.

  10. ChIP on SNP-chip for genome-wide analysis of human histone H4 hyperacetylation

    Directory of Open Access Journals (Sweden)

    Porter Christopher J

    2007-09-01

    Full Text Available Abstract Background SNP microarrays are designed to genotype Single Nucleotide Polymorphisms (SNPs. These microarrays report hybridization of DNA fragments and therefore can be used for the purpose of detecting genomic fragments. Results Here, we demonstrate that a SNP microarray can be effectively used in this way to perform chromatin immunoprecipitation (ChIP on chip as an alternative to tiling microarrays. We illustrate this novel application by mapping whole genome histone H4 hyperacetylation in human myoblasts and myotubes. We detect clusters of hyperacetylated histone H4, often spanning across up to 300 kilobases of genomic sequence. Using complementary genome-wide analyses of gene expression by DNA microarray we demonstrate that these clusters of hyperacetylated histone H4 tend to be associated with expressed genes. Conclusion The use of a SNP array for a ChIP-on-chip application (ChIP on SNP-chip will be of great value to laboratories whose interest is the determination of general rules regarding the relationship of specific chromatin modifications to transcriptional status throughout the genome and to examine the asymmetric modification of chromatin at heterozygous loci.

  11. Advancing Software Development for a Multiprocessor System-on-Chip

    Directory of Open Access Journals (Sweden)

    Stephen Bique

    2007-06-01

    Full Text Available A low-level language is the right tool to develop applications for some embedded systems. Notwithstanding, a high-level language provides a proper environment to develop the programming tools. The target device is a system-on-chip consisting of an array of processors with only local communication. Applications include typical streaming applications for digital signal processing. We describe the hardware model and stress the advantages of a flexible device. We introduce IDEA, a graphical integrated development environment for an array. A proper foundation for software development is a UML and standard programming abstractions in object-oriented languages.

  12. Simulating the Effect of Modulated Tool-Path Chip Breaking On Surface Texture and Chip Length

    Energy Technology Data Exchange (ETDEWEB)

    Smith, K.S.; McFarland, J.T.; Tursky, D. A.; Assaid, T. S.; Barkman, W. E.; Babelay, Jr., E. F.

    2010-04-30

    One method for creating broken chips in turning processes involves oscillating the cutting tool in the feed direction utilizing the CNC machine axes. The University of North Carolina at Charlotte and the Y-12 National Security Complex have developed and are refining a method to reliably control surface finish and chip length based on a particular machine's dynamic performance. Using computer simulations it is possible to combine the motion of the machine axes with the geometry of the cutting tool to predict the surface characteristics and map the surface texture for a wide range of oscillation parameters. These data allow the selection of oscillation parameters to simultaneously ensure broken chips and acceptable surface characteristics. This paper describes the machine dynamic testing and characterization activities as well as the computational method used for evaluating and predicting chip length and surface texture.

  13. SEU immune ICs for project Galileo

    International Nuclear Information System (INIS)

    Giddings, A.E.; Hewlett, F.W.; Treece, R.K.; Nichols, D.K.; Smith, L.S.; Zoutendyk, J.A.

    1985-01-01

    Tests showed that bipolar chips in the attitude control computer of the Galileo spacecraft would likely cause catastrophic mission failure due to single particle upset. This paper describes the design and testing of CMOS replacements which are speed compatible with the bipolar parts and are immune to upset by 165-MeV krypton ions

  14. Hardware protection through obfuscation

    CERN Document Server

    Bhunia, Swarup; Tehranipoor, Mark

    2017-01-01

    This book introduces readers to various threats faced during design and fabrication by today’s integrated circuits (ICs) and systems. The authors discuss key issues, including illegal manufacturing of ICs or “IC Overproduction,” insertion of malicious circuits, referred as “Hardware Trojans”, which cause in-field chip/system malfunction, and reverse engineering and piracy of hardware intellectual property (IP). The authors provide a timely discussion of these threats, along with techniques for IC protection based on hardware obfuscation, which makes reverse-engineering an IC design infeasible for adversaries and untrusted parties with any reasonable amount of resources. This exhaustive study includes a review of the hardware obfuscation methods developed at each level of abstraction (RTL, gate, and layout) for conventional IC manufacturing, new forms of obfuscation for emerging integration strategies (split manufacturing, 2.5D ICs, and 3D ICs), and on-chip infrastructure needed for secure exchange o...

  15. Purification, crystallization and preliminary X-ray analysis of aminoglycoside-2′′-phosphotransferase-Ic [APH(2′′)-Ic] from Enterococcus gallinarum

    International Nuclear Information System (INIS)

    Byrnes, Laura J.; Badarau, Adriana; Vakulenko, Sergei B.; Smith, Clyde A.

    2008-01-01

    APH(2′′)-Ic is an enzyme that is responsible for high-level gentamicin resistance in E. gallinarum isolates. Crystals of the wild-type enzyme and three mutants have been prepared and a complete X-ray diffraction data set was collected to 2.15 Å resolution from an F108L crystal. Bacterial resistance to aminoglycoside antibiotics is primarily the result of deactivation of the drugs. Three families of enzymes are responsible for this activity, with one such family being the aminoglycoside phosphotransferases (APHs). The gene encoding one of these enzymes, aminoglycoside-2′′-phosphotransferase-Ic [APH(2′′)-Ic] from Enterococcus gallinarum, has been cloned and the wild-type protein (comprising 308 amino-acid residues) and three mutants that showed elevated minimum inhibitory concentrations towards gentamicin (F108L, H258L and a double mutant F108L/H258L) were expressed in Escherichia coli and subsequently purified. All APH(2′′)-Ic variants were crystallized in the presence of 14–20%(w/v) PEG 4000, 0.25 M MgCl 2 , 0.1 M Tris–HCl pH 8.5 and 1 mM Mg 2 GTP. The crystals belong to the monoclinic space group C2, with one molecule in the asymmetric unit. The approximate unit-cell parameters are a = 82.4, b = 54.2, c = 77.0 Å, β = 108.8°. X-ray diffraction data were collected to approximately 2.15 Å resolution from an F108L crystal at beamline BL9-2 at SSRL, Stanford, California, USA

  16. Transient SEU characterization of analog IC's for ESA's satellite

    International Nuclear Information System (INIS)

    Harboe-Soerensen, R.; Van Dooren, J.; Guerre, F.X.; Constans, H.; Berger, G.; Hajdas, W.

    1999-01-01

    Data analysis of four self switch-off power supply events in the SOHO satellite pointed strongly in the direction of being Cosmic Ray or Proton induced. Further analysis of the relevant power supply schematics identified a number of analog IC's capable of causing or contributing to such events. This paper concentrates on the testing aspects of these analog IC's and presents the results of a Single Event Effects (SEEs) test program. Ground testing, simulating the flight conditions, were carried out at both heavy ion and proton accelerators. (authors)

  17. Power-aware transceiver design for half-duplex bidirectional chip-to-chip optical interconnects

    International Nuclear Information System (INIS)

    Sangirov Jamshid; Ukaegbu Ikechi Augustine; Lee Tae-Woo; Park Hyo-Hoon; Sangirov Gulomjon

    2013-01-01

    A power-aware transceiver for half-duplex bidirectional chip-to-chip optical interconnects has been designed and fabricated in a 0.13 μm complementary metal–oxide–semiconductor (CMOS) technology. The transceiver can detect the presence and absence of received signals and saves 55% power in Rx enabled mode and 45% in Tx enabled mode. The chip occupies an area of 1.034 mm 2 and achieves a 3-dB bandwidth of 6 GHz and 7 GHz in Tx and Rx modes, respectively. The disabled outputs for the Tx and Rx modes are isolated with 180 dB and 139 dB, respectively, from the enabled outputs. Clear eye diagrams are obtained at 4.25 Gbps for both the Tx and Rx modes. (semiconductor integrated circuits)

  18. Classification of fMRI independent components using IC-fingerprints and support vector machine classifiers.

    Science.gov (United States)

    De Martino, Federico; Gentile, Francesco; Esposito, Fabrizio; Balsi, Marco; Di Salle, Francesco; Goebel, Rainer; Formisano, Elia

    2007-01-01

    We present a general method for the classification of independent components (ICs) extracted from functional MRI (fMRI) data sets. The method consists of two steps. In the first step, each fMRI-IC is associated with an IC-fingerprint, i.e., a representation of the component in a multidimensional space of parameters. These parameters are post hoc estimates of global properties of the ICs and are largely independent of a specific experimental design and stimulus timing. In the second step a machine learning algorithm automatically separates the IC-fingerprints into six general classes after preliminary training performed on a small subset of expert-labeled components. We illustrate this approach in a multisubject fMRI study employing visual structure-from-motion stimuli encoding faces and control random shapes. We show that: (1) IC-fingerprints are a valuable tool for the inspection, characterization and selection of fMRI-ICs and (2) automatic classifications of fMRI-ICs in new subjects present a high correspondence with those obtained by expert visual inspection of the components. Importantly, our classification procedure highlights several neurophysiologically interesting processes. The most intriguing of which is reflected, with high intra- and inter-subject reproducibility, in one IC exhibiting a transiently task-related activation in the 'face' region of the primary sensorimotor cortex. This suggests that in addition to or as part of the mirror system, somatotopic regions of the sensorimotor cortex are involved in disambiguating the perception of a moving body part. Finally, we show that the same classification algorithm can be successfully applied, without re-training, to fMRI collected using acquisition parameters, stimulation modality and timing considerably different from those used for training.

  19. Studying Radiation Tolerant ICs for LHC

    CERN Multimedia

    Faccio, F; Snoeys, W; Campbell, M; Casas-cubillos, J; Gomes, P

    2002-01-01

    %title\\\\ \\\\In the recent years, intensive work has been carried out on the development of custom ICs for the readout electronics for LHC experiments. As far as radiation hardness is concerned, attention has been focussed on high total dose applications, mainly for the tracker systems. The dose foreseen in this inner region is estimated to be higher than 1~Mrad/year. In the framework of R&D projects (RD-9 and RD-20) and in the ATLAS and CMS experiments, the study of different radiation hard processes has been pursued and good contacts with the manufacturers have been established. The results of these studies have been discussed during the Microelectronics User Group (MUG) rad-hard meetings, and now some HEP groups are working to develop radiation hard ICs for the LHC experiments on some of the available rad-hard processes.\\\\ \\\\In addition, a lot of the standard commercial electronic components and ASICs which are planned to be installed near the LHC machine and in the detectors will receive total doses in ...

  20. Atomic structure of machined semiconducting chips: An x-ray absorption spectroscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Paesler, M.; Sayers, D.

    1988-12-01

    X-ray absorption spectroscopy (XAS) has been used to examine the atomic structure of chips of germanium that were produced by single point diamond machining. It is demonstrated that although the local (nearest neighbor) atomic structure is experimentally quite similar to that of single crystal specimens information from more distant atoms indicates the presence of considerable stress. An outline of the technique is given and the strength of XAS in studying the machining process is demonstrated.

  1. A Single-Chip CMOS Pulse Oximeter with On-Chip Lock-In Detection

    OpenAIRE

    Diwei He; Stephen P. Morgan; Dimitrios Trachanis; Jan van Hese; Dimitris Drogoudis; Franco Fummi; Francesco Stefanni; Valerio Guarnieri; Barrie R. Hayes-Gill

    2015-01-01

    Pulse oximetry is a noninvasive and continuous method for monitoring the blood oxygen saturation level. This paper presents the design and testing of a single-chip pulse oximeter fabricated in a 0.35 ?m CMOS process. The chip includes photodiode, transimpedance amplifier, analogue band-pass filters, analogue-to-digital converters, digital signal processor and LED timing control. The experimentally measured AC and DC characteristics of individual circuits including the DC output voltage of the...

  2. Experiment list: SRX485203 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 346544: Rhino ChIP from control germline knock-down ovaries, replicate 2; Drosophila melanogaster; ChIP-Seq ...source_name=Rhino ChIP from control germline knock-down ovaries || developmental stage=4-6 days old adult ||... Sex=female || tissue=ovary || germline knock-down=control || chip antibody=custo

  3. Experiment list: SRX485202 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 346543: Rhino ChIP from control germline knock-down ovaries, replicate 1; Drosophila melanogaster; ChIP-Seq ...source_name=Rhino ChIP from control germline knock-down ovaries || developmental stage=4-6 days old adult ||... Sex=female || tissue=ovary || germline knock-down=control || chip antibody=custo

  4. Experiment list: SRX485210 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 6551: Deadlock ChIP from deadlock germline knock-down ovaries; Drosophila melanogaster; ChIP-Seq source_name...=Deadlock ChIP from deadlock germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=fe...male || tissue=ovary || germline knock-down=deadlock || chip antibody=custom-made

  5. Experiment list: SRX485211 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 346552: Cutoff ChIP from control germline knock-down ovaries; Drosophila melanogaster; ChIP-Seq source_name=...Cutoff ChIP from control germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=female... || tissue=ovary || germline knock-down=control || chip antibody=custom-made rabb

  6. On-chip antenna: Practical design and characterization considerations

    KAUST Repository

    Shamim, Atif; Salama, Khaled N.; Sedky, S.; Soliman, E. A.

    2012-01-01

    This paper highlights the challenges of an emergent field, namely, on-chip antenna design. Consistent with the RF System-on-Chip (SoC) concept, co-design strategy for circuits and on-chip antennas is described. A number of design and layout issues, arising from the highly integrated nature of this kind of systems, are discussed. The characterization difficulties related to on-chip antennas radiation properties are also highlighted. Finally, a novel on-wafer test fixture is proposed to measure the gain and radiation pattern of the on-chip antennas in the anechoic chamber.

  7. On-chip antenna: Practical design and characterization considerations

    KAUST Repository

    Shamim, Atif

    2012-07-28

    This paper highlights the challenges of an emergent field, namely, on-chip antenna design. Consistent with the RF System-on-Chip (SoC) concept, co-design strategy for circuits and on-chip antennas is described. A number of design and layout issues, arising from the highly integrated nature of this kind of systems, are discussed. The characterization difficulties related to on-chip antennas radiation properties are also highlighted. Finally, a novel on-wafer test fixture is proposed to measure the gain and radiation pattern of the on-chip antennas in the anechoic chamber.

  8. Mathematical and Simulation Modelling of Moisture Diffusion Mechanism during Plastic IC Packages Disassembly

    OpenAIRE

    Peng Mou; Dong Xiang; Guanghong Duan

    2013-01-01

    Reuse of plastic IC packages disassembled from printed circuit boards (PCBs) has significant environmental benefits and economic value. The interface delamination caused by moisture diffusion is the main failure mode of IC packages during the disassembling process, which greatly reduces the reusability and reliability of disassembled IC packages. Exploring moisture diffusion mechanism is a prerequisite to optimize prebaking processes before disassembling that is an effective way to avoid the ...

  9. SUPER-LUMINOUS TYPE Ic SUPERNOVAE: CATCHING A MAGNETAR BY THE TAIL

    International Nuclear Information System (INIS)

    Inserra, C.; Smartt, S. J.; Jerkstrand, A.; Fraser, M.; Wright, D.; Smith, K.; Chen, T.-W.; Kotak, R.; Nicholl, M.; Valenti, S.; Pastorello, A.; Benetti, S.; Bresolin, F.; Kudritzki, R. P.; Burgett, W. S.; Chambers, K. C.; Flewelling, H.; Botticella, M. T.; Ergon, M.; Fynbo, J. P. U.

    2013-01-01

    We report extensive observational data for five of the lowest redshift Super-Luminous Type Ic Supernovae (SL-SNe Ic) discovered to date, namely, PTF10hgi, SN2011ke, PTF11rks, SN2011kf, and SN2012il. Photometric imaging of the transients at +50 to +230 days after peak combined with host galaxy subtraction reveals a luminous tail phase for four of these SL-SNe. A high-resolution, optical, and near-infrared spectrum from xshooter provides detection of a broad He I λ10830 emission line in the spectrum (+50 days) of SN2012il, revealing that at least some SL-SNe Ic are not completely helium-free. At first sight, the tail luminosity decline rates that we measure are consistent with the radioactive decay of 56 Co, and would require 1-4 M ☉ of 56 Ni to produce the luminosity. These 56 Ni masses cannot be made consistent with the short diffusion times at peak, and indeed are insufficient to power the peak luminosity. We instead favor energy deposition by newborn magnetars as the power source for these objects. A semi-analytical diffusion model with energy input from the spin-down of a magnetar reproduces the extensive light curve data well. The model predictions of ejecta velocities and temperatures which are required are in reasonable agreement with those determined from our observations. We derive magnetar energies of 0.4 ∼ 51 erg) ∼ ej (M ☉ ) ∼< 8.6. The sample of five SL-SNe Ic presented here, combined with SN 2010gx—the best sampled SL-SNe Ic so far—points toward an explosion driven by a magnetar as a viable explanation for all SL-SNe Ic.

  10. A micromachined surface stress sensor with electronic readout

    NARCIS (Netherlands)

    Carlen, Edwin; Weinberg, M.S.; Zapata, A.M.; Borenstein, J.T.

    2008-01-01

    A micromachined surface stress sensor has been fabricated and integrated off chip with a low-noise, differential capacitance, electronic readout circuit. The differential capacitance signal is modulated with a high frequency carrier signal, and the output signal is synchronously demodulated and

  11. Multi-channel integrated circuits for the detection and measurement of ionizing radiation

    International Nuclear Information System (INIS)

    Engel, G.L.; Duggireddi, N.; Vangapally, V.; Elson, J.M.; Sobotka, L.G.; Charity, R.J.

    2011-01-01

    The Integrated Circuits (IC) Design Research Laboratory at Southern Illinois University Edwardsville (SIUE) has collaborated with the Nuclear Reactions Group at Washington University (WU) to develop a family of multi-channel integrated circuits. To date, the collaboration has successfully produced two micro-chips. The first was an analog shaped and peak sensing chip with on-board constant-fraction discriminators and sparsified readout. This chip is known as Heavy-Ion Nuclear Physics-16 Channel (HINP16C). The second chip, christened PSD8C, was designed to logically complement (in terms of detector types) the HINP16C chip. Pulse Shape Discrimination-8 Channel (PSD8C), featuring three settable charge integration windows per channel, performs pulse shape discrimination (PSD). This paper summarizes the design, capabilities, and features of the HINP16C and PSD8C ICs. It proceeds to discuss the modifications, made to the ICs and their associated systems, which have attempted to improve ease of use, increase performance, and extend capabilities. The paper concludes with a brief discussion of what may be the next chip (employing a multi-sampling scheme) to be added to our CMOS ASIC 'tool box' for radiation detection instrumentation.

  12. Experiment list: SRX485205 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 46546: Rhino ChIP from deadlock germline knock-down ovaries; Drosophila melanogaster; ChIP-Seq source_name=R...hino ChIP from deadlock germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=female ...|| tissue=ovary || germline knock-down=deadlock || chip antibody=custom-made rabb

  13. Experiment list: SRX485212 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 346553: Cutoff ChIP from cutoff germline knock-down ovaries; Drosophila melanogaster; ChIP-Seq source_name=C...utoff ChIP from cutoff germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=female |...| tissue=ovary || germline knock-down=cutoff || chip antibody=custom-made rabbit

  14. Experiment list: SRX485206 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 346547: Rhino ChIP from cutoff germline knock-down ovaries; Drosophila melanogaster; ChIP-Seq source_name=Rh...ino ChIP from cutoff germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=female || ...tissue=ovary || germline knock-down=cutoff || chip antibody=custom-made rabbit po

  15. Experiment list: SRX485209 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 346550: Deadlock ChIP from control germline knock-down ovaries; Drosophila melanogaster; ChIP-Seq source_nam...e=Deadlock ChIP from control germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=fe...male || tissue=ovary || germline knock-down=control || chip antibody=custom-made

  16. The use of forest chips in Finland

    International Nuclear Information System (INIS)

    Hakkila, P.

    2001-01-01

    International commitments require the industrial world to restrict their greenhouse gas emissions. In Finland, where the annual timber cut per capita is more than ten times the average cut in the other EU countries, the primary means to reduce CO 2 emissions is to replace fossil fuels with forest biomass. The annual consumption of wood-based energy corresponds to 6 million tonnes of oil equivalent (toe) or almost 20% of the total primary energy consumption. The goal is to rise the annual production of wood-based energy to 7.8 million toe by 2010. Substantial part of the targeted increase could be obtained by forest chips produced of unmerchantable small-diameter trees and logging residues. The goal for 2010 is to use 5 million solid m 3 of forest chips, which equals to 0.9 million toe. The use of forest chips is increasing. About 474 000 solid m 3 of forest chips were used as fuel in 1999. At the moment, the growth is rapid especially in cogeneration plants producing both heat and electricity. The growth is based primarily on chips obtained from logging residues. The price of forest chips decreased considerably during the 1990s but the price range remained wide. Chips made of logging residues are cheaper than those made of small trees. The average price of forest chips at the plant, VAT excluded, is about 53 FIM per MWh. In Sweden, the average price is more than 40% higher

  17. Serial cerebral hemodynamic change after extracranial-intracranial (EC-IC) bypass surgery: evaluated by acetazolamide stress brain perfusion SPECT(acz-SPECT)

    Energy Technology Data Exchange (ETDEWEB)

    Hong, Il Ki; Kim, Jae Seung; Ahn, Jae Sung; Im, Ki Chun; Kim, Euy Nyong; Mun, Dae Hyeog [Asan Medical Center, Seoul (Korea, Republic of)

    2005-07-01

    We evaluated serial cerebral hemodynamic changes after EC-IC bypass surgery in symptomatic pts with atherosclerotic occlusion of internal carotid (lCA) or mid-cerebral artery (MCA) using Acz-SPECT. 25 symptomatic pts (M/F 19/6, 53{+-}10 y) with ICA and MCA occlusion (16 uni - and 9 bilateral) prospectively underwent Acz-SPECT using Tc-99m ECD before and 1 week after EC-IC bypass surgery. Of these, 16 underwent additional f/u Acz-SPECT 5 mo later. Cerebral perfusion and perfusion reserve of MCA territory were evaluated visually and SPECT findings were classified into 4 groups: N/N; R/N; N/R; and R/R (perfusion/perfusion reserve: N = normal, R = reduced). For semiquantitative analysis, all SPECT images were normalized to MNI template and mean counts of MCA territory and cerebellum were obtained by AAL. Cerebral perfusion index (PI =C{sub region}/C{sub cere}) and perfusion reserve index (RI = (PI{sub Acz} - PI{sub basal}) /Pl{sub basal}) were calculated. Preop SPECT findings of ipsilateral MCA in 25 pts were R/N (4%), N/R (12%), and R/R (84% ). Early postop SPECT showed improvement of perfusion (26%) and/or reserve (68%) in ipsilateral MCA. Of 16 pts with 5mo f/u SPECT, 6 (38%) showed further improvement of perfusion or reserve. However, 4 (25%) showed aggravation of perfusion and one of these underwent revision surgery. Preop PI (1.1{+-}0.1) and RI (0.11{+-}0.07) of ipsilateral MCA were significantly lower than those of contralateral hemispheres (p<0.05). After surgery, PIs of bilateral MCA did not change at early postop period but improved in ipsilateral MCA at 5mo. Rls of ipsilateral MCA increased significantly (68%) at early postop period (P<0.001) and then did not changed. Cerebral perfusion and perfusion reserve changed with different manner during 5 mo after bypass surgery and perfusion reserve changed more dramatically than perfusion. Acz-SPECT is a feasible method for evaluating cerebral hemodynamic change after EC-IC bypass surgery.

  18. Experiment list: SRX485220 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 53 GSM1346561: RNA Polymerase II ChIP from rhino germline knock-down ovaries; Drosophila melanogaster; ChIP-...Seq source_name=RNA Polymerase II ChIP from rhino germline knock-down ovaries || developmental stage=4-6 day...s old adult || Sex=female || tissue=ovary || germline knock-down=rhino || chip an

  19. Experiment list: SRX485204 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 346545: Rhino ChIP from rhino germline knock-down ovaries; Drosophila melanogaster; ChIP-Seq source_name=Rhi...no ChIP from rhino germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=female || ti...ssue=ovary || germline knock-down=rhino || chip antibody=custom-made rabbit polyc

  20. Experiment list: SRX485208 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 346549: Rhino ChIP from piwi germline knock-down ovaries, replicate 2; Drosophila melanogaster; ChIP-Seq sou...rce_name=Rhino ChIP from piwi germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=f...emale || tissue=ovary || germline knock-down=piwi || chip antibody=custom-made ra

  1. METAL CHIP HEATING PROCESS INVESTIGATION (Part I

    Directory of Open Access Journals (Sweden)

    O. M. Dyakonov

    2007-01-01

    Full Text Available The main calculation methods for heat- and mass transfer in porous heterogeneous medium have been considered. The paper gives an evaluation of the possibility to apply them for calculation of metal chip heating process. It has been shown that a description of transfer processes in a chip has its own specific character that is attributed to difference between thermal and physical properties of chip material and lubricant-coolant components on chip surfaces. It has been determined that the known expressions for effective heat transfer coefficients can be used as basic ones while approaching mutually penetrating continuums. A mathematical description of heat- and mass transfer in chip medium can be considered as a basis of mathematical modeling, numerical solution and parameter optimization of the mentioned processes.

  2. Challenges in IC design for hearing aids

    DEFF Research Database (Denmark)

    Jørgensen, Ivan Harald Holger

    2012-01-01

    Designing modern hearing aids is a formidable challenge. The size of hearing aids is constantly decreasing, making them virtually invisible today. Still, as in all other modern electronics, more and more features are added to these devices driven by the development in modern IC technology....... The demands for performance and features at very low supply voltage and power consumption constantly prove a challenge to the physical design of hearing aids and not at least the design of the ICs for these. As a result of this all large hearing aid manufacturers use fully customized ASICs in their products...... to produce a competitive advantage. This presentation will give a brief insight into the hearing aid market and industry, a brief view of the historic development of hearing aids and an introduction to how a modern hearing is constructed showing the amplifier as the key component in the modern hearing aid...

  3. Wood chip delivery and research project at Mikkeli region

    International Nuclear Information System (INIS)

    Saksa, T.; Auvinen, P.

    1995-01-01

    In 1994, a large-scale energywood production chain was started as a co-operation project by the Mikkeli city forest office and local forestry societies. Over 60 000 m 3 (about 46 000 MWh of energy) of forest processed chips were delivered to Pursiala heat and power plant in Mikkeli. About 60 % of these chips was whole tree chips from improvement cuttings of young forest stands and the rest was logging waste chips from regeneration cutting areas. The average total delivery costs of forest processed chips after reduction of energywood and other subsidies were approximately 51 FIM/m 3 (68 FIM/MWh) for the whole tree chips and 40 FIM/m 3 (53 FIM/MWh) for logging waste chips. The delivery costs of wood chips could compete with those of fuel peat only in the most favourable cases. The resources of forest processed chips were studied on the basis of forestry plans. According to the study, there is enough raw material for permanent, large-scale delivery of forest processed chips (up to 250 000 m 3 /a) in the forests located at a distance of under 40 road kilometers from the Pursiala heat and power plant. The following project stages will involve further development of the wood chip delivery chain logistics, as well as improvement of logging and chipping equipment and methods in energywood and logging waste production. Also the effects of wood energy production on the economy and environment of the whole Mikkeli region will be studied. (author)

  4. Simulation of design dependent failure exposure levels for CMOS ICs

    International Nuclear Information System (INIS)

    Kaul, N.; Bhuva, B.L.; Rangavajjhala, V.; van der Molen, H.; Kerns, S.E.

    1990-01-01

    The total dose exposure of CMOS ICs introduces bias-dependent parameter shifts in individual devices. The bias dependency of individual parameter shifts of devices cause different designs to behave differently under identical testing conditions. This paper studies the effect of design and bias on the radiation tolerance of ICs and presents an automated design tool that produces different designs for a logic function, and presents important parameters of each design to circuit designer for trade off analysis

  5. A combined thermodynamic cycle based on methanol dissociation for IC (internal combustion) engine exhaust heat recovery

    International Nuclear Information System (INIS)

    Fu, Jianqin; Liu, Jingping; Xu, Zhengxin; Ren, Chengqin; Deng, Banglin

    2013-01-01

    In this paper, a novel approach for exhaust heat recovery was proposed to improve IC (internal combustion) engine fuel efficiency and also to achieve the goal for direct usage of methanol as IC engine fuel. An open organic Rankine cycle system using methanol as working medium is coupled to IC engine exhaust pipe for exhaust heat recovery. In the bottom cycle, the working medium first undergoes dissociation and expansion processes, and is then directed back to IC engine as fuel. As the external bottom cycle and the IC engine main cycle are combined together, this scheme forms a combined thermodynamic cycle. Then, this concept was applied to a turbocharged engine, and the corresponding simulation models were built for both of the external bottom cycle and the IC engine main cycle. On this basis, the energy saving potential of this combined cycle was estimated by parametric analyses. Compared to the methanol vapor engine, IC engine in-cylinder efficiency has an increase of 1.4–2.1 percentage points under full load conditions, while the external bottom cycle can increase the fuel efficiency by 3.9–5.2 percentage points at the working pressure of 30 bar. The maximum improvement to the IC engine global fuel efficiency reaches 6.8 percentage points. - Highlights: • A combined thermodynamic cycle using methanol as working medium for IC engine exhaust heat recovery is proposed. • The external bottom cycle of exhaust heat recovery and IC engine working cycle are combined together. • IC engine fuel efficiency could be improved from both in-cylinder working cycle and external bottom cycle. • The maximum improvement to the IC engine global fuel efficiency reaches 6.8 percentage points at full load

  6. Experiment list: SRX485222 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 4me2 ChIP from control germline knock-down ovaries, replicate 2; Drosophila melanogaster; ChIP-Seq source_na...me=H3K4me2 ChIP from control germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=fe...male || tissue=ovary || germline knock-down=control || chip antibody=Anti-dimethy

  7. Experiment list: SRX485221 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available K4me2 ChIP from control germline knock-down ovaries, replicate 1; Drosophila melanogaster; ChIP-Seq source_n...ame=H3K4me2 ChIP from control germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=f...emale || tissue=ovary || germline knock-down=control || chip antibody=Anti-dimeth

  8. IC3 Internet and Computing Core Certification Global Standard 4 study guide

    CERN Document Server

    Rusen, Ciprian Adrian

    2015-01-01

    Hands-on IC3 prep, with expert instruction and loads of tools IC3: Internet and Computing Core Certification Global Standard 4 Study Guide is the ideal all-in-one resource for those preparing to take the exam for the internationally-recognized IT computing fundamentals credential. Designed to help candidates pinpoint weak areas while there's still time to brush up, this book provides one hundred percent coverage of the exam objectives for all three modules of the IC3-GS4 exam. Readers will find clear, concise information, hands-on examples, and self-paced exercises that demonstrate how to per

  9. PTF 12gzk—A rapidly declining, high-velocity type Ic radio supernova

    Energy Technology Data Exchange (ETDEWEB)

    Horesh, Assaf; Kulkarni, Shrinivas R. [Cahill Center for Astrophysics, California Institute of Technology, Pasadena, CA 91125 (United States); Corsi, Alessandra [Department of Physics, The George Washington University, 725 21st Street, NW, Washington, DC 20052 (United States); Frail, Dale A. [National Radio Astronomy Observatory, P.O. Box 0, Socorro, NM 87801 (United States); Cenko, S. Bradley [Department of Astronomy, University of California, Berkeley, CA 94720-3411 (United States); Ben-Ami, Sagi; Gal-Yam, Avishay; Yaron, Ofer; Arcavi, Iair; Ofek, Eran O. [Department of Particle Physics and Astrophysics, The Weizmann Institute of Science, Rehovot 76100 (Israel); Kasliwal, Mansi M. [Carnegie Institution for Science, Department of Terrestrial Magnetism, 5241 Broad Branch Road, Washington, DC 20008 (United States)

    2013-11-20

    Only a few cases of Type Ic supernovae (SNe) with high-velocity ejecta (≥0.2 c) have been discovered and studied. Here, we present our analysis of radio and X-ray observations of the Type Ic SN PTF 12gzk. The radio emission declined less than 10 days after explosion, suggesting SN ejecta expanding at high velocity (∼0.3 c). The radio data also indicate that the density of the circumstellar material (CSM) around the supernova is lower by a factor of ∼10 than the CSM around normal Type Ic SNe. PTF 12gzk may therefore be an intermediate event between a 'normal' SN Ic and a gamma-ray-burst-SN-like event. Our observations of this rapidly declining radio SN at a distance of 58 Mpc demonstrates the potential to detect many additional radio SNe, given the new capabilities of the Very Large Array (improved sensitivity and dynamic scheduling), which are currently missed, leading to a biased view of radio SNe Ic. Early optical discovery followed by rapid radio observations would provide a full description of the ejecta velocity distribution and CSM densities around stripped massive star explosions as well as strong clues about the nature of their progenitor stars.

  10. PTF 12gzk—A rapidly declining, high-velocity type Ic radio supernova

    International Nuclear Information System (INIS)

    Horesh, Assaf; Kulkarni, Shrinivas R.; Corsi, Alessandra; Frail, Dale A.; Cenko, S. Bradley; Ben-Ami, Sagi; Gal-Yam, Avishay; Yaron, Ofer; Arcavi, Iair; Ofek, Eran O.; Kasliwal, Mansi M.

    2013-01-01

    Only a few cases of Type Ic supernovae (SNe) with high-velocity ejecta (≥0.2 c) have been discovered and studied. Here, we present our analysis of radio and X-ray observations of the Type Ic SN PTF 12gzk. The radio emission declined less than 10 days after explosion, suggesting SN ejecta expanding at high velocity (∼0.3 c). The radio data also indicate that the density of the circumstellar material (CSM) around the supernova is lower by a factor of ∼10 than the CSM around normal Type Ic SNe. PTF 12gzk may therefore be an intermediate event between a 'normal' SN Ic and a gamma-ray-burst-SN-like event. Our observations of this rapidly declining radio SN at a distance of 58 Mpc demonstrates the potential to detect many additional radio SNe, given the new capabilities of the Very Large Array (improved sensitivity and dynamic scheduling), which are currently missed, leading to a biased view of radio SNe Ic. Early optical discovery followed by rapid radio observations would provide a full description of the ejecta velocity distribution and CSM densities around stripped massive star explosions as well as strong clues about the nature of their progenitor stars.

  11. The effect of stress on men's food selection.

    Science.gov (United States)

    Zellner, Debra A; Saito, Shin; Gonzalez, Johanie

    2007-11-01

    This study investigates the effect of stress on food choice among men. Two groups of men were given either solvable (no-stress) or unsolvable (stress) anagrams to solve. Four bowls of snack foods-two healthy (peanuts and grapes) and two unhealthy (potato chips and M&M chocolate candies)-were available and subjects were invited to snack on them. Men in the no-stress group ate significantly more of the unhealthy foods than did men in the stress group. This finding is quite different from that found with women [Zellner et al. (2006). Food selection changes under stress. Physiology & Behavior, 87, 789-793]. Women tended to eat more grapes when not stressed than when stressed and more M&Ms when stressed than when not stressed. Thus, the effect of stress level on food choice is different for men and women.

  12. CMOS Analog IC Design: Fundamentals

    OpenAIRE

    Bruun, Erik

    2018-01-01

    This book is intended for use as the main textbook for an introductory course in CMOS analog integrated circuit design. It is aimed at electronics engineering students who have followed basic courses in mathematics, physics, circuit theory, electronics and signal processing. It takes the students directly from a basic level to a level where they can start working on simple analog IC design projects or continue their studies using more advanced textbooks in the field. A distinct feature of thi...

  13. Rework of flip chip bonded radiation pixel detectors

    International Nuclear Information System (INIS)

    Vaehaenen, S.; Heikkinen, H.; Pohjonen, H.; Salonen, J.; Savolainen-Pulli, S.

    2008-01-01

    In this paper, some practical aspects of reworking flip chip hybridized pixel detectors are discussed. As flip chip technology has been advancing in terms of placement accuracy and reliability, large-area hybrid pixel detectors have been developed. The area requirements are usually fulfilled by placing several readout chips (ROCs) on single sensor chip. However, as the number of ROCs increases, the probability of failure in the hybridization process and the ROC operation also increases. Because high accuracy flip chip bonding takes time, a significant part of the price of a pixel detector comes from the flip chip assembly process itself. As large-area detector substrates are expensive, and many flip chip placements are required, the price of an assembled detector can become very high. In a typical case, there is just one bad ROC (out of several) on a faulty detector to be replaced. Considering the high price of pixel detectors and the fact that reworking faulty ROCs does not take much longer than the original placement, it is worthwhile to investigate the feasibility of a rework process

  14. Rework of flip chip bonded radiation pixel detectors

    Energy Technology Data Exchange (ETDEWEB)

    Vaehaenen, S. [VTT MEMS and Micropackaging, Espoo 02150 (Finland)], E-mail: sami.vahanen@vtt.fi; Heikkinen, H.; Pohjonen, H.; Salonen, J.; Savolainen-Pulli, S. [VTT MEMS and Micropackaging, Espoo 02150 (Finland)

    2008-06-11

    In this paper, some practical aspects of reworking flip chip hybridized pixel detectors are discussed. As flip chip technology has been advancing in terms of placement accuracy and reliability, large-area hybrid pixel detectors have been developed. The area requirements are usually fulfilled by placing several readout chips (ROCs) on single sensor chip. However, as the number of ROCs increases, the probability of failure in the hybridization process and the ROC operation also increases. Because high accuracy flip chip bonding takes time, a significant part of the price of a pixel detector comes from the flip chip assembly process itself. As large-area detector substrates are expensive, and many flip chip placements are required, the price of an assembled detector can become very high. In a typical case, there is just one bad ROC (out of several) on a faulty detector to be replaced. Considering the high price of pixel detectors and the fact that reworking faulty ROCs does not take much longer than the original placement, it is worthwhile to investigate the feasibility of a rework process.

  15. Multimedia-Based Chip Design Education.

    Science.gov (United States)

    Catalkaya, Tamer; Golze, Ulrich

    This paper focuses on multimedia computer-based training programs on chip design. Their development must be fast and economical, in order to be affordable by technical university institutions. The self-produced teaching program Illusion, which demonstrates a monitor controller as an example of a small but complete chip design, was implemented to…

  16. EVIDENCE FOR AN INTERACTION IN THE NEAREST STARBURSTING DWARF IRREGULAR GALAXY IC 10

    International Nuclear Information System (INIS)

    Nidever, David L.; Slater, Colin T.; Bell, Eric F.; Ashley, Trisha; Simpson, Caroline E.; Ott, Jürgen; Johnson, Megan; Stanimirović, Snežana; Putman, Mary; Majewski, Steven R.; Jütte, Eva; Oosterloo, Tom A.; Burton, W. Butler

    2013-01-01

    Using deep 21 cm H I data from the Green Bank Telescope we have detected an ≳18.3 kpc long gaseous extension associated with the starbursting dwarf galaxy IC 10. The newly found feature stretches 1.°3 to the northwest and has a large radial velocity gradient reaching to ∼65 km s –1 lower than the IC 10 systemic velocity. A region of higher column density at the end of the extension that possesses a coherent velocity gradient (∼10 km s –1 across ∼26') transverse to the extension suggests rotation and may be a satellite galaxy of IC 10. The H I mass of IC 10 is 9.5 × 10 7 (d/805 kpc) 2 M ☉ and the mass of the new extension is 7.1 × 10 5 (d/805 kpc) 2 M ☉ . An IC 10-M31 orbit using known radial velocity and proper motion values for IC 10 show that the H I extension is inconsistent with the trailing portion of the orbit so that an M31-tidal or ram pressure origin seems unlikely. We argue that the most plausible explanation for the new feature is that it is the result of a recent interaction (and possible late merger) with another dwarf galaxy. This interaction could not only have triggered the origin of the recent starburst in IC 10, but could also explain the existence of previously found counter-rotating H I gas in the periphery of the IC 10 which was interpreted as originating from primordial gas infall

  17. Experiment list: SRX485218 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available K9me3 ChIP from piwi germline knock-down ovaries, replicate 2; Drosophila melanogaster; ChIP-Seq source_name...=H3K9me3 ChIP from piwi germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=female ...|| tissue=ovary || germline knock-down=piwi || chip antibody=Histone H3K9me3 anti

  18. Experiment list: SRX485213 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available K9me3 ChIP from control germline knock-down ovaries, replicate 1; Drosophila melanogaster; ChIP-Seq source_n...ame=H3K9me3 ChIP from control germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=f...emale || tissue=ovary || germline knock-down=control || chip antibody=Histone H3K

  19. Experiment list: SRX485214 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available K9me3 ChIP from control germline knock-down ovaries, replicate 2; Drosophila melanogaster; ChIP-Seq source_n...ame=H3K9me3 ChIP from control germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=f...emale || tissue=ovary || germline knock-down=control || chip antibody=Histone H3K

  20. 75 FR 16149 - Medicaid and CHIP Programs; Meeting of the CHIP Working Group-April 26, 2010

    Science.gov (United States)

    2010-03-31

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES Centers for Medicare & Medicaid Services [CMS-2312-N] DEPARTMENT OF LABOR Employee Benefits Security Administration Medicaid and CHIP Programs; Meeting of the CHIP Working Group-- April 26, 2010 AGENCIES: Centers for Medicare & Medicaid Services (CMS), Department of...

  1. Applied rolling and sensitivity of Bi(2223)/Ag tapes on Ic degradation by mechanical stress

    International Nuclear Information System (INIS)

    Kovac, P.; Bukva, P.; Husek, I.; Richens, P.E.; Jones, H.

    1999-01-01

    An experimental study of multicore Bi(2223)/Ag tapes, roll-sintered by different methods and subjected to bending and tension stresses has been performed. The tapes, of various technological histories, were bent and tensioned and subsequently the transport current was measured at each stressed state. Comparison of I c degradation curves shows that applied rolling may influence the sensitivity of Bi-2223 filaments against the mechanical stress. The existence of transverse microcracks caused by intermediate rolling leads to a higher sensitivity of the tape to bending. A lowering of critical current degradation was observed for two-axially rolled tapes having a higher filament density and better homogeneity prior to sintering treatment. (author)

  2. A Solder Based Self Assembly Project in an Introductory IC Fabrication Course

    Science.gov (United States)

    Rao, Madhav; Lusth, John C.; Burkett, Susan L.

    2015-01-01

    Integrated circuit (IC) fabrication principles is an elective course in a senior undergraduate and early graduate student's curriculum. Over the years, the semiconductor industry relies heavily on students with developed expertise in the area of fabrication techniques, learned in an IC fabrication theory and laboratory course. The theory course…

  3. Electronic States of IC60BA and PC71BM

    International Nuclear Information System (INIS)

    Sheng Chun-Qi; Wang Peng; Shen Ying; Li Wen-Jie; Li Hong-Nian; Zhang Wen-Hua; Zhu Jun-Fa; Lai Guo-Qiao

    2013-01-01

    We investigate the electronic states of IC 60 BA and PC 71 BM using first-principles calculations and photoelectron spectroscopy (PES) measurements. The energy level structures for all possible isomers are reported and compared with those of C 60 , C 70 and PC 61 BM. The attachment of the side chains can raise the LUMO energies and decrease the HOMO-LUMO gaps, and thus helps to increase the power-conversion efficiency of bulk heterojunction solar cells. In the PES studies, we prepared IC 60 BA and PC 71 BM films on Si:H(111) substrates to construct adsorbate/substrate interfaces describable with the integer charge-transfer (ICT) model. Successful measurements then revealed that one of the most important material properties for an electron acceptor, the energy of the negative integer charge-transfer state (E ICT− ), is 4.31 eV below the vacuum level for PC 71 BM. The E ICT− of IC 60 BA is smaller than 4.14 eV

  4. Modelling, Synthesis, and Configuration of Networks-on-Chips

    DEFF Research Database (Denmark)

    Stuart, Matthias Bo

    This thesis presents three contributions in two different areas of network-on-chip and system-on-chip research: Application modelling and identifying and solving different optimization problems related to two specific network-on-chip architectures. The contribution related to application modelling...... is an analytical method for deriving the worst-case traffic pattern caused by an application and the cache-coherence protocol in a cache-coherent shared-memory system. The contributions related to network-on-chip optimization problems consist of two parts: The development and evaluation of six heuristics...... for solving the network synthesis problem in the MANGO network-on-chip, and the identification and formalization of the ReNoC configuration problem together with three heuristics for solving it....

  5. Research of Dielectric Breakdown Micro fluidic Sampling Chip

    International Nuclear Information System (INIS)

    Jiang, F.; Lei, Y.; Yu, J.

    2013-01-01

    Micro fluidic chip is mainly driven electrically by external electrode and array electrode, but there are certain disadvantages in both of ways, which affect the promotion and application of micro fluidic technology. This paper discusses a scheme that uses the conductive solution in a microchannel made by PDMS, replacing electrodes and the way of dielectric breakdown to achieve microfluidic chip driver. It could reduce the driving voltage and simplify the chip production process. To prove the feasibility of this method, we produced a micro fluidic chip used in PDMS material with the lithography technology and experimented it. The results showed that using the dielectric breakdown to achieve microfluidic chip driver is feasible, and it has certain application prospect.

  6. Experiment list: SRX485216 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 3K9me3 ChIP from rhino germline knock-down ovaries, replicate 2; Drosophila melanogaster; ChIP-Seq source_na...me=H3K9me3 ChIP from rhino germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=fema...le || tissue=ovary || germline knock-down=rhino || chip antibody=Histone H3K9me3

  7. Experiment list: SRX485215 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available K9me3 ChIP from rhino germline knock-down ovaries, replicate 1; Drosophila melanogaster; ChIP-Seq source_nam...e=H3K9me3 ChIP from rhino germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=femal...e || tissue=ovary || germline knock-down=rhino || chip antibody=Histone H3K9me3 a

  8. Experiment list: SRX485217 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 3K9me3 ChIP from piwi germline knock-down ovaries, replicate 1; Drosophila melanogaster; ChIP-Seq source_nam...e=H3K9me3 ChIP from piwi germline knock-down ovaries || developmental stage=4-6 days old adult || Sex=female... || tissue=ovary || germline knock-down=piwi || chip antibody=Histone H3K9me3 ant

  9. Consciência icônica: o sentimento material do significado

    Directory of Open Access Journals (Sweden)

    Jeffrey C. Alexander

    Full Text Available Resumo Neste ensaio seminal, Jeffrey Alexander apresenta algumas das bases de sua virada icônica. O giro analítico proposto se fundamenta em uma compreensão da materialidade como portadora de conteúdo estético e moral, o que é sintetizado na noção de consciência icônica: o processo em que um observador vincula a superfície estética de uma materialidade a uma determinada estrutura moral de valores sociais.

  10. ReseqChip: Automated integration of multiple local context probe data from the MitoChip array in mitochondrial DNA sequence assembly

    Directory of Open Access Journals (Sweden)

    Spang Rainer

    2009-12-01

    Full Text Available Abstract Background The Affymetrix MitoChip v2.0 is an oligonucleotide tiling array for the resequencing of the human mitochondrial (mt genome. For each of 16,569 nucleotide positions of the mt genome it holds two sets of four 25-mer probes each that match the heavy and the light strand of a reference mt genome and vary only at their central position to interrogate all four possible alleles. In addition, the MitoChip v2.0 carries alternative local context probes to account for known mtDNA variants. These probes have been neglected in most studies due to the lack of software for their automated analysis. Results We provide ReseqChip, a free software that automates the process of resequencing mtDNA using multiple local context probes on the MitoChip v2.0. ReseqChip significantly improves base call rate and sequence accuracy. ReseqChip is available at http://code.open-bio.org/svnweb/index.cgi/bioperl/browse/bioperl-live/trunk/Bio/Microarray/Tools/. Conclusions ReseqChip allows for the automated consolidation of base calls from alternative local mt genome context probes. It thereby improves the accuracy of resequencing, while reducing the number of non-called bases.

  11. APPLICATTON OF SCTENTIF'IC PRINCIPLES IN MERINO SHEEP ...

    African Journals Online (AJOL)

    THE PRACTICAI- APPLICATTON OF SCTENTIF'IC PRINCIPLES IN MERINO SHEEP BREEDING. C.A. van der ..... There is, however, no practical evidence in this ... 1910" Comparison of three Australian merino strains for wool and body traits.

  12. Interface thermal characteristics of flip chip packages - A numerical study

    International Nuclear Information System (INIS)

    Kandasamy, Ravi; Mujumdar, A.S.

    2009-01-01

    Flip chip ball grid array (FC-BGA) packages are commonly used for high inputs/outputs (I/O) ICs; they have been proven to provide good solutions for a variety of applications to maximize thermal and electrical performance. A fundamental limitation to such devices is the thermal resistance at the top of the package, which is characterized θ JC parameter. The die-to-lid interface thermal resistance is identified as a critical issue for the thermal management of electronic packages. This paper focuses on the effect of the interface material property changes on the interface thermal resistance. The effect of package's junction to case (Theta-JC or θ JC ) thermal performance is investigated for bare die, flat lid and cup lid packages using a validated thermal model. Thermal performance of a cup or flat lid attached and bare die packages were investigated for different interface materials. Improved Theta-JC performance was observed for the large die as compared to the smaller die. Several parametric studies were carried out to understand the effects of interface bond line thickness (BLT), different die sizes, the average void size during assembly and thermal conductivity of interface materials on package thermal resistance

  13. EVN observations of the OH megamaser galaxies Mrk 231 and IC 694

    NARCIS (Netherlands)

    Klockner, HR; Baan, WA; Migenes,; Reid, MJ

    2002-01-01

    We present EVN observations of hydroxyl (OH) main-line emission in two megamaser sources Mrk 231 and IC 694. The observations indicate that the broad maser emission lines originate within the nuclear regions. A single 1667 MHz main-line feature is seen at the nucleus of IC 694. In Mrk 231 both

  14. An anisotropic thermal-stress model for through-silicon via

    Science.gov (United States)

    Liu, Song; Shan, Guangbao

    2018-02-01

    A two-dimensional thermal-stress model of through-silicon via (TSV) is proposed considering the anisotropic elastic property of the silicon substrate. By using the complex variable approach, the distribution of thermal-stress in the substrate can be characterized more accurately. TCAD 3-D simulations are used to verify the model accuracy and well agree with analytical results (model can be integrated into stress-driven design flow for 3-D IC , leading to the more accurate timing analysis considering the thermal-stress effect. Project supported by the Aerospace Advanced Manufacturing Technology Research Joint Fund (No. U1537208).

  15. IC 3475: A stripped dwarf galaxy in the Virgo cluster

    International Nuclear Information System (INIS)

    Vigroux, L.; Thuan, T.X.; Vader, J.P.; Lachieze-Rey, M.

    1986-01-01

    We have obtained B and R CCD and H I observations of the Virgo dwarf galaxy IC 3475. The galaxy is remarkable for its very large diameter (approx.10 kpc for a Virgo distance modulus of 31) and is comparable in size to the large dwarfs discussed by Sandage and Binggeli. Its light profile is best fitted by an exponential law, characteristic of a dwarf Magellanic irregular galaxy. It possesses a central bar with many knots and inclusions concentrated toward the center of the galaxy. These knots and inclusions have the same color (B-Rapprox.1.5) as the rest of the galaxy and are best explained as intermediate-age (1--7 x 10 9 yr) star clusters such as those found in the Magellanic Clouds. Despite possessing the photometric structure of a dwarf Magellanic irregular galaxy, IC 3475 contains less than 5.3 x 10 6 M/sub sun/ of neutral hydrogen. Its hydrogen mass to blue light ratio is less than 0.01, approx.60 times less than the mean value observed for dwarf Magellanic irregulars. It is most likely that IC 3475, which is located near the core of the Virgo cluster, is a stripped dwarf galaxy. The very large size of the galaxy (its diameter is approx.1.8 times larger than that of ''normal'' dwarfs) appears to rule out evolution of IC 3475 from a normal dwarf irregular or to a normal dwarf elliptical

  16. One-chip Integrated Module of MEMS Shock Sensor and Sensing Amplifier LSI using Pseudo-SOC Technology

    Science.gov (United States)

    Iida, Atsuko; Onozuka, Yutaka; Nishigaki, Michihiko; Yamada, Hiroshi; Funaki, Hideyuki; Itaya, Kazuhiko

    We have been developing the pseudo-SOC technology for one-chip module integration of heterogeneous devices that realizes high electrical performance and high density of devices embodying the advantages of both SOC technology and SIP technology. Especially, this technology is available for MEMS-LSI integration. We developed a 0.2mm-thickness one-chip module integrating a MEMS shock sensor and a sensing amplifier LSI by applying this technology. The MEMS shock sensor and the sensing amplifier LSI were connected by high-rigidity epoxy resin optimized the material constants to reduce the stress and the warpage resulting from resin shrinkage due to curing. Then the planar insulating layer and the redistributed conducting layer were formed on it for the global layer. The MEMS shock sensor was preformed to be modularized with a glass cap. Electrical contacts were achieved by bonding of Au bumps on the MEMS fixed electrodes and via holes filled with Ag paste of the glass cap. Functional performance was confirmed by obtaining signal corresponding to the reference signal of the pick-up sensor. Furthermore, stress analysis was performed using the FEM model simulation considering the resin shrinkage.

  17. The defective nature of ice Ic and its implications for atmospheric science

    Science.gov (United States)

    Kuhs, W. F.; Hansen, T. C.

    2009-04-01

    The possible atmospheric implication of ice Ic (cubic ice) has already been suggested some time ago in the context of snow crystal formation [1]. New findings from air-borne measurements in cirrus clouds and contrails have put ice Ic into the focus of interest to understand the so-called "supersaturation puzzle" [2,3,4,5]. Our recent microstructural work on ice Ic [6,7] appears to be highly relevant in this context. We have found that ice Ic is characterized by a complex stacking fault pattern, which changes as a function of temperature as well as time. Indeed, from our own [8] and other group's work [9] one knows that (in contrast to earlier believe) ice Ic can form up to temperatures at least as high as 240K - thus in the relevant range for cirrus clouds. We have good preliminary evidence that the "cubicity" (which can be related to stacking fault probabilities) as well as the particle size of ice Ic are the relevant parameters for this correlation. The "cubicity" of stacking faulty ice Ic (established by diffraction) correlates nicely with the increased supersaturation at decreasing temperatures observed in cirrus clouds and contrails, a fact, which may be considered as further evidence for the presence of ice Ic. Moreover, the stacking faults lead to kinks in the outer shapes of the minute ice Ic crystals as seen by cryo scanning electron microscopy (cryo-SEM); these defective sites are likely to play some role in heterogeneous reactions in the atmosphere. The cryo-SEM work suggests that stacking-faulty ice Ic has many more active centres for such reactions than the usually considered thermodynamically stable form, ice Ih. [1] T Kobayashi & T Kuroda (1987) Snow Crystals. In: Morphology of Crystals (ed. I Sunagawa), Terra Scientific Publishing, Tokyo, pp.649-743. [2] DM Murphy (2003) Dehydration in cold clouds is enhanced by a transition from from cubic to hexagonal ice. Geophys.Res.Lett.,30, 2230, doi:10.1029/2003GL018566. [3] RS Gao & 19 other authors (2004

  18. 75 FR 30046 - Medicaid and CHIP Programs; Meeting of the CHIP Working Group-June 14, 2010

    Science.gov (United States)

    2010-05-28

    ..., Employee Benefits Security Administration, DOL at (202) 693-8335. News media representatives must contact... eligible for benefits under titles XIX or XXI of the Social Security Act (the Act) to enable them to enroll...] DEPARTMENT OF LABOR Employee Benefits Security Administration Medicaid and CHIP Programs; Meeting of the CHIP...

  19. Evaluation of accelerated test parameters for CMOS IC total dose hardness prediction

    International Nuclear Information System (INIS)

    Sogoyan, A.V.; Nikiforov, A.Y.; Chumakov, A.I.

    1999-01-01

    The approach to accelerated test parameters evaluation is presented in order to predict CMOS IC total dose behavior in variable dose-rate environment. The technique is based on the analytical model of MOSFET parameters total dose degradation. The simple way to estimate model parameter is proposed using IC's input-output MOSFET radiation test results. (authors)

  20. Instrument for measuring moisture in wood chips

    Energy Technology Data Exchange (ETDEWEB)

    Werme, L

    1980-06-01

    A method to determine the moisture content in wood chips, in batch and on-line, has been investigated. The method can be used for frozen and non frozen chips. Samples of wood chips are thawn and dryed with microwaves. During the drying the sample is weighed continously and the rate of drying is measured. The sample is dried t 10 percent moisture content. The result is extrapolated to the drying rate zero. The acccuracy at the method is 1.6 to 1.7 percent for both frozen and non frozen chips. The accuracy of the method is considered acceptable, but sofisticated sampling equipment is necessary. This makes the method too complex to make the instrument marketable.

  1. EMERGING I&C TECHNOLOGIES UNDER THE SHIFTING REGULATORY ENVIRONMENT IN SOUTH KOREA

    Directory of Open Access Journals (Sweden)

    Gyunyoung eHeo

    2015-04-01

    Full Text Available The role of Probabilistic Safety Assessment (PSA has been supplementary and Risk-Informed Applications (RIAs based on the insight from PSA has also been utilized limitedly in the licensing process for Nuclear Power Plants (NPPs in South Korea. However, as the technical significance of PSA is getting increased, PSA has become a mandatory part of Safety Analysis Reports and Periodic Safety Review. It is worthwhile to highlight the role of emerging Instrumentation and Control (I&C technologies including human-machine interface (HMI in developing more credible and realistic PSA models. Particularly, it is expected that the information technology (i.e. software embedded in digital I&C can adjust over- and under conservatism in analyzing risk. In this study, authors proposed the cases which would be able to significantly reduce risk if advanced I&C supported by information technologies is applied. In regard, the several enabling techniques and their effects are proposed. In order to improve the commercial competitiveness of NPPs, the need of collaboration and synergetic outcome of I&C, HMI and PSA should be emphasized.

  2. Emerging I&C Technologies Under the Shifting Regulatory Environment in South Korea

    Energy Technology Data Exchange (ETDEWEB)

    Heo, Gyunyoung [Department of Nuclear Engineering, Kyung Hee University, Youngin-si (Korea, Republic of); Seong, Poong Hyun; Kang, Hyun Gook, E-mail: hyungook@kaist.ac.kr [Department of Nuclear and Quantum Engineering, Korea Advanced Institute of Science and Technology, Daejeon (Korea, Republic of)

    2015-04-29

    The role of probabilistic safety assessment (PSA) has been supplementary and risk-informed applications based on the insight from PSA have also been utilized limitedly in the licensing process for nuclear power plants (NPPs) in South Korea. However, as the technical significance of PSA is getting increased, PSA has become a mandatory part of Safety Analysis Reports and Periodic Safety Review. It is worthwhile to highlight the role of emerging instrumentation and control (I&C) technologies including human–machine interface (HMI) in developing more credible and realistic PSA models. Particularly, it is expected that the information technology (i.e., software) embedded in digital I&C can adjust over- and under conservatism in analyzing risk. In this study, authors proposed the cases which would be able to significantly reduce risk if advanced I&C supported by information technologies is applied. In regard, the several enabling techniques and their effects are proposed. In order to improve the commercial competitiveness of NPPs, the need of collaboration and synergetic outcome of I&C, HMI, and PSA should be emphasized.

  3. On-chip power delivery and management

    CERN Document Server

    Vaisband, Inna P; Popovich, Mikhail; Mezhiba, Andrey V; Köse, Selçuk; Friedman, Eby G

    2016-01-01

    This book describes methods for distributing power in high speed, high complexity integrated circuits with power levels exceeding many tens of watts and power supplies below a volt. It provides a broad and cohesive treatment of power delivery and management systems and related design problems, including both circuit network models and design techniques for on-chip decoupling capacitors, providing insight and intuition into the behavior and design of on-chip power distribution systems. Organized into subareas to provide a more intuitive flow to the reader, this fourth edition adds more than a hundred pages of new content, including inductance models for interdigitated structures, design strategies for multi-layer power grids, advanced methods for efficient power grid design and analysis, and methodologies for simultaneously placing on-chip multiple power supplies and decoupling capacitors. The emphasis of this additional material is on managing the complexity of on-chip power distribution networks.

  4. Characterizing Rat PNS Electrophysiological Response to Electrical Stimulation Using in vitro Chip-Based Human Investigational Platform (iCHIP)

    Energy Technology Data Exchange (ETDEWEB)

    Khani, Joshua [Georgetown Univ., Washington, DC (United States); Prescod, Lindsay [Georgetown Univ., Washington, DC (United States); Enright, Heather [Georgetown Univ., Washington, DC (United States); Felix, Sarah [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Osburn, Joanne [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Wheeler, Elizabeth [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States); Kulp, Kris [Lawrence Livermore National Lab. (LLNL), Livermore, CA (United States)

    2015-08-18

    Ex vivo systems and organ-on-a-chip technology offer an unprecedented approach to modeling the inner workings of the human body. The ultimate goal of LLNL’s in vitro Chip-based Human Investigational Platform (iCHIP) is to integrate multiple organ tissue cultures using microfluidic channels, multi-electrode arrays (MEA), and other biosensors in order to effectively simulate and study the responses and interactions of the major organs to chemical and physical stimulation. In this study, we focused on the peripheral nervous system (PNS) component of the iCHIP system. Specifically we sought to expound on prior research investigating the electrophysiological response of rat dorsal root ganglion cells (rDRGs) to chemical exposures, such as capsaicin. Our aim was to establish a protocol for electrical stimulation using the iCHIP device that would reliably elicit a characteristic response in rDRGs. By varying the parameters for both the stimulation properties – amplitude, phase width, phase shape, and stimulation/ return configuration – and the culture conditions – day in vitro and neural cell types - we were able to make several key observations and uncover a potential convention with a minimal number of devices tested. Future work will seek to establish a standard protocol for human DRGs in the iCHIP which will afford a portable, rapid method for determining the effects of toxins and novel therapeutics on the PNS.

  5. In situ TEM/SEM electronic/mechanical characterization of nano material with MEMS chip

    International Nuclear Information System (INIS)

    Wang Yuelin; Li Tie; Zhang Xiao; Zeng Hongjiang; Jin Qinhua

    2014-01-01

    Our investigation of in situ observations on electronic and mechanical properties of nano materials using a scanning electron microscope (SEM) and a transmission electron microscope (TEM) with the help of traditional micro-electro-mechanical system (MEMS) technology has been reviewed. Thanks to the stability, continuity and controllability of the loading force from the electrostatic actuator and the sensitivity of the sensor beam, a MEMS tensile testing chip for accurate tensile testing in the nano scale is obtained. Based on the MEMS chips, the scale effect of Young's modulus in silicon has been studied and confirmed directly in a tensile experiment using a transmission electron microscope. Employing the nanomanipulation technology and FIB technology, Cu and SiC nanowires have been integrated into the tensile testing device and their mechanical, electronic properties under different stress have been achieved, simultaneously. All these will aid in better understanding the nano effects and contribute to the designation and application in nano devices. (invited papers)

  6. STAR FORMATION ASSOCIATED WITH THE SUPERNOVA REMNANT IC443

    International Nuclear Information System (INIS)

    Xu Jinlong; Wang Junjie; Miller, Martin

    2011-01-01

    We have performed submillimeter and millimeter observations in CO lines toward supernova remnant (SNR) IC443. The CO molecular shell coincides well with the partial shell of the SNR detected in radio continuum observations. Broad emission lines and three 1720 MHz OH masers were detected in the CO molecular shell. The present observations have provided further evidence in support of the interaction between the SNR and the adjoining molecular clouds (MCs). The total mass of the MCs is 9.26 x 10 3 M sun . The integrated CO line intensity ratio (R I CO(3-2) /I CO(2-1) ) for the whole MC is between 0.79 and 3.40. The average value is 1.58, which is much higher than previous measurements of individual Galactic MCs. Higher line ratios imply that shocks have driven into the MCs. We conclude that high R I CO(3-2) /I CO(2-1) is identified as a good signature of the SNR-MC interacting system. Based on the IRAS Point Source Catalog and the Two Micron All Sky Survey near-infrared database, 12 protostellar object and 1666 young stellar object (YSO) candidates (including 154 classical T Tauri stars and 419 Herbig Ae/Be stars) are selected. In the interacting regions, the significant enhancement of the number of protostellar objects and YSOs indicates the presence of some recently formed stars. After comparing the characteristic timescales of star formation with the age of IC443, we conclude that the protostellar objects and YSO candidates are not triggered by IC443. For the age of the stellar winds shell, we have performed our calculation on the basis of a stellar wind shell expansion model. The results and analysis suggest that the formation of these stars may be triggered by the stellar winds of the IC443 progenitor.

  7. Stress and coping in parents of children with Prader-Willi syndrome: Assessment of the impact of a structured plan of care.

    Science.gov (United States)

    Tvrdik, Tatiana; Mason, Debbie; Dent, Karin M; Thornton, Lisa; Hornton, Sidney N; Viskochil, David H; Stevenson, David A

    2015-05-01

    Hyperphagia, developmental delays, and maladaptive behaviors are common in Prader-Willi syndrome (PWS) likely resulting in heightened parental stress. Objectives were to evaluate stress, describe usefulness of coping behaviors, and assess the impact of a structured Plan of Care (PC) on parents with children with PWS. Parents answered Perceived Stress Scale (PSS-14), Coping Health Inventory for Parents (CHIP), and narrative/demographic surveys. The PC was introduced to a cohort of parents after completion of the PSS-14 and CHIP and re-administered 4-6 month after the introduction of the PC. Higher parental stress (n = 57) was observed compared to the general population, and associated with parent's age, number of children living at home, and child's age and residential setting. "Maintaining family integration, cooperation, and an optimistic definition of the situation" was the most useful coping pattern. Thirty-eight parents answered the PSS-14 and CHIP after the PC. Parental stress decreased after the PC (P = 0.035). Coping behaviors related to "maintaining family integration" increased after the PC (P = 0.042). Women and men preferred different coping patterns before and after the PC. In conclusion, parental stress is increased in PWS, and a PC decreased stress and increased coping behaviors related to family stability for parents with children with PWS. © 2015 Wiley Periodicals, Inc.

  8. Modified precision-husky progrind H-3045 for chipping biomass

    Science.gov (United States)

    Dana Mitchell; Fernando Seixas; John. Klepac

    2008-01-01

    A specific size of whole tree chip was needed to co-mill wood chips with coal. The specifications are stringent because chips must be mixed with coal, as opposed to a co-firing process. In co-firing, two raw products are conveyed separately to a boiler. In co-milling, such as at Alabama Power's Plant Gadsden, the chip and coal mix must pass through a series of...

  9. Virtual design and qualification of IC backend structures

    NARCIS (Netherlands)

    Silfhout, van R.B.R.; Sluis, van der O.; Driel, van W.D.; Janssen, J.H.J.; Zhang, G.Q.

    2006-01-01

    For Integrated Circuit (IC) wafer backend development, process developers have to design robust backend structures that guarantee both functionality and reliability during waferfab processes, packaging, qualification tests and lifetime. Figure 1 shows a simplified diagram for the design (and

  10. Perspective: Fabrication of integrated organ-on-a-chip via bioprinting.

    Science.gov (United States)

    Yang, Qingzhen; Lian, Qin; Xu, Feng

    2017-05-01

    Organ-on-a-chip has emerged as a powerful platform with widespread applications in biomedical engineering, such as pathology studies and drug screening. However, the fabrication of organ-on-a-chip is still a challenging task due to its complexity. For an integrated organ-on-a-chip, it may contain four key elements, i.e., a microfluidic chip, live cells/microtissues that are cultured in this chip, components for stimulus loading to mature the microtissues, and sensors for results readout. Recently, bioprinting has been used for fabricating organ-on-a-chip as it enables the printing of multiple materials, including biocompatible materials and even live cells in a programmable manner with a high spatial resolution. Besides, all four elements for organ-on-a-chip could be printed in a single continuous procedure on one printer; in other words, the fabrication process is assembly free. In this paper, we discuss the recent advances of organ-on-a-chip fabrication by bioprinting. Light is shed on the printing strategies, materials, and biocompatibility. In addition, some specific bioprinted organs-on-chips are analyzed in detail. Because the bioprinted organ-on-a-chip is still in its early stage, significant efforts are still needed. Thus, the challenges presented together with possible solutions and future trends are also discussed.

  11. IC modelling in the IRSN EPR level 1 PSA

    International Nuclear Information System (INIS)

    Delache, J.

    2012-01-01

    Today in France, an EPR (European Pressurized Water Reactor) Unit is under construction at the Flamanville site. The creation authorization was granted in April 2007 and the plant commissioning is planned for 2012. The plant operator (EDF) provided for the construction license several PSA (Probabilistic Safety Assessment) studies. IRSN, as TSO (Technical Safety Organisation), wishes to dispose of the appropriate knowledge and tools for the independent verification of the operator studies and so developed its own model of PSA level 1. The goal is not to rebuild the plant operator PSA (with a full scope...) but to dispose of a simplified model able to clearly point out specific important issues. In the IRSN model a particular effort has recently been done on the Digital IC modelling. The IC (Instrumentation and Control) is modelled in the IRSN EPR PSA by using Fault Trees. Instead, EDF EPR PSA applies the COMPACT model to simplify the command and instrumentation logics. The IRSN model is more detailed in order to be more accurate in the global analysis of the Digital IC. For instance the communication ways between automates are considered as well as the failure of support systems. The model is still under development mainly in order to define the CCF (Common Cause Failure) which may be considered. (authors)

  12. Experiment list: SRX319558 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available | cell type=mouse embryonic stem cells || genotype/variation=expressing control BirA || chip beads=Dynabeads... MyOne Streptavidin T1 || chip beads vendor=Invitrogen http://dbarchive.bioscienc

  13. Experiment list: SRX319557 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available se embryonic stem cells || genotype/variation=expressing Flag-bio tagged Nanog || chip beads=Dynabeads MyOne... Streptavidin T1 || chip beads vendor=Invitrogen http://dbarchive.biosciencedbc.j

  14. A GRB and Broad-lined Type Ic Supernova from a Single Central Engine

    Science.gov (United States)

    Barnes, Jennifer; Duffell, Paul C.; Liu, Yuqian; Modjaz, Maryam; Bianco, Federica B.; Kasen, Daniel; MacFadyen, Andrew I.

    2018-06-01

    Unusually high velocities (≳0.1c) and correspondingly high kinetic energies have been observed in a subset of Type Ic supernovae (so-called “broad-lined Ic” supernovae; SNe Ic-BL), prompting a search for a central engine model capable of generating such energetic explosions. A clue to the explosion mechanism may lie in the fact that all supernovae that accompany long-duration gamma-ray bursts (GRBs) belong to the SN Ic-BL class. Using a combination of two-dimensional relativistic hydrodynamics and radiation transport calculations, we demonstrate that the central engine responsible for long GRBs can also trigger an SN Ic-BL. We find that a reasonable GRB engine injected into a stripped Wolf–Rayet progenitor produces a relativistic jet with energy ∼1051 erg, as well as an SN whose synthetic light curves and spectra are fully consistent with observed SNe Ic-BL during the photospheric phase. As a result of the jet’s asymmetric energy injection, the SN spectra and light curves depend on viewing angle. The impact of viewing angle on the spectrum is particularly pronounced at early times, while the viewing-angle dependence for the light curves (∼10% variation in bolometric luminosity) persists throughout the photospheric phase.

  15. The Effects of Industry Type, Company Size and Performance on Chinese Companies’ IC Disclosure: A Research Note

    Directory of Open Access Journals (Sweden)

    Yi An

    2011-09-01

    Full Text Available This paper examines the effects of industry type, firm size and corporate performance on intellectual capital (IC disclosure among Chinese (mainland companies. It was found that industry type did not have a significant influence on IC reporting practices of Chinese firms; the larger firms generally reported more IC information than the relatively smaller firms; and there was a positive relationship between corporate performance and IC disclosure. This paper contributes to fairly limited literature regarding the associations between the level of IC disclosure and a variety of relevant impact factors, in particular in the Chinese mainland context. In addition, the findings of this research provide some references for policy-makers while developing an IC reporting framework applicable to the Chinese environment.

  16. A simple clockless Network-on-Chip for a commercial audio DSP chip

    DEFF Research Database (Denmark)

    Stensgaard, Mikkel Bystrup; Bjerregaard, Tobias; Sparsø, Jens

    2006-01-01

    We design a very small, packet-switched, clockless Network-on-Chip (NoC) as a replacement for the existing crossbar-based communication infrastructure in a commercial audio DSP chip. Both solutions are laid out in a 0.18 um process, and compared in terms of area, power consumption and routing...... to the existing crossbar, it allows all blocks to communicate. The total wire length is decreased by 22% which eases the layout process and makes the design less prone to routing congestion. Not least, the communicating blocks are decoupled by means of the NoC, providing a Globally-Asynchronous, Locally...

  17. Experiment list: SRX319556 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ype=mouse embryonic stem cells || genotype/variation=expressing Flag-bio tagged Dax1 || chip beads=Dynabeads... MyOne Streptavidin T1 || chip beads vendor=Invitrogen http://dbarchive.bioscienc

  18. Experiment list: SRX319553 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available se embryonic stem cells || genotype/variation=expressing Flag-bio tagged Tip60 || chip beads=Dynabeads MyOne... Streptavidin T1 || chip beads vendor=Invitrogen http://dbarchive.biosciencedbc.j

  19. Experiment list: SRX319555 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ype=mouse embryonic stem cells || genotype/variation=expressing Flag-bio tagged Dax1 || chip beads=Dynabeads... MyOne Streptavidin T1 || chip beads vendor=Invitrogen http://dbarchive.bioscienc

  20. Experiment list: SRX319551 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available use embryonic stem cells || genotype/variation=expressing Flag-bio tagged Dmap1 || chip beads=Dynabeads MyOn...e Streptavidin T1 || chip beads vendor=Invitrogen http://dbarchive.biosciencedbc.

  1. Space division multiplexing chip-to-chip quantum key distribution

    DEFF Research Database (Denmark)

    Bacco, Davide; Ding, Yunhong; Dalgaard, Kjeld

    2017-01-01

    nodes of the quantum keys to their respective destinations. In this paper we present an experimental demonstration of a photonic integrated silicon chip quantum key distribution protocols based on space division multiplexing (SDM), through multicore fiber technology. Parallel and independent quantum...

  2. CMOS analog integrated circuit design technology; CMOS anarogu IC sekkei gijutsu

    Energy Technology Data Exchange (ETDEWEB)

    Fujimoto, H.; Fujisawa, A. [Fuji Electric Co. Ltd., Tokyo (Japan)

    2000-08-10

    In the field of the LSI (large scale integrated circuit) in rapid progress toward high integration and advanced functions, CAD (computer-aided design) technology has become indispensable to LSI development within a short period. Fuji Electric has developed design technologies and automatic design system to develop high-quality analog ICs (integrated circuits), including power supply ICs. within a short period. This paper describes CMOS (complementary metal-oxide semiconductor) analog macro cell, circuit simulation, automatic routing, and backannotation technologies. (author)

  3. Wood harvesting as chunkwood chips and multi-stage chipping; Puun korjuu palahakkeena ja monivaiheinen lastuaminen

    Energy Technology Data Exchange (ETDEWEB)

    Kaipainen, H; Seppaenen, V

    1997-12-31

    The task for the year 1995 was to define the preliminary results of the previous years, to measure the productivity of a harvester, designed for production of chunkwood, and the properties of the chunks. The costs of the PALAPUU method from the felling site to pulpwood chips were to be examined on this basis. Because the prototype of the harvester was not yet available for field tests, the costs were partially calculated on the basis of previous measurements, completed by productivity data obtained from the time-consumption measurements of a multi-tree harvester, applied with minor alteration for this purpose. According to the calculations the PALAPUU method cannot compete with partial-tree or shortwood methods. The profitability of the method could be improved by adding the transportation density and the productivity of the harvester. It is also possible to procure timber to the mill as partial-trees and to chunk it while feeding it into the drum. Chipping tests were made using the steel-frame-chipper owned by VTT Construction Technology. The blade construction of the chipper was changed so, that it was possible to adjust the cutting thickness of the chips to 4 mm, while in the previous mill-tests it had been 6 mm. The chips were used for cooking tests in the Department of Chemistry of the University of Jyvaeskylae. The results showed that the thinner chips were cooked further under the same cooking conditions. By using the chunkwood method it is possible to harvest 10-70 more biomass for the mills, than it is possible in the pulpwood harvesting

  4. Wood harvesting as chunkwood chips and multi-stage chipping; Puun korjuu palahakkeena ja monivaiheinen lastuaminen

    Energy Technology Data Exchange (ETDEWEB)

    Kaipainen, H.; Seppaenen, V.

    1996-12-31

    The task for the year 1995 was to define the preliminary results of the previous years, to measure the productivity of a harvester, designed for production of chunkwood, and the properties of the chunks. The costs of the PALAPUU method from the felling site to pulpwood chips were to be examined on this basis. Because the prototype of the harvester was not yet available for field tests, the costs were partially calculated on the basis of previous measurements, completed by productivity data obtained from the time-consumption measurements of a multi-tree harvester, applied with minor alteration for this purpose. According to the calculations the PALAPUU method cannot compete with partial-tree or shortwood methods. The profitability of the method could be improved by adding the transportation density and the productivity of the harvester. It is also possible to procure timber to the mill as partial-trees and to chunk it while feeding it into the drum. Chipping tests were made using the steel-frame-chipper owned by VTT Construction Technology. The blade construction of the chipper was changed so, that it was possible to adjust the cutting thickness of the chips to 4 mm, while in the previous mill-tests it had been 6 mm. The chips were used for cooking tests in the Department of Chemistry of the University of Jyvaeskylae. The results showed that the thinner chips were cooked further under the same cooking conditions. By using the chunkwood method it is possible to harvest 10-70 more biomass for the mills, than it is possible in the pulpwood harvesting

  5. Comparison of a Ring On-Chip Network and a Code-Division Multiple-Access On-Chip Network

    Directory of Open Access Journals (Sweden)

    Xin Wang

    2007-01-01

    Full Text Available Two network-on-chip (NoC designs are examined and compared in this paper. One design applies a bidirectional ring connection scheme, while the other design applies a code-division multiple-access (CDMA connection scheme. Both of the designs apply globally asynchronous locally synchronous (GALS scheme in order to deal with the issue of transferring data in a multiple-clock-domain environment of an on-chip system. The two NoC designs are compared with each other by their network structures, data transfer principles, network node structures, and their asynchronous designs. Both the synchronous and the asynchronous designs of the two on-chip networks are realized using a hardware-description language (HDL in order to make the entire designs suit the commonly used synchronous design tools and flow. The performance estimation and comparison of the two NoC designs which are based on the HDL realizations are addressed. By comparing the two NoC designs, the advantages and disadvantages of applying direct connection and CDMA connection schemes in an on-chip communication network are discussed.

  6. Experiment list: SRX319550 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available e embryonic stem cells || genotype/variation=expressing Flag-bio tagged Myc || chip beads=Dynabeads MyOne Streptavidin T1 || chip bea...ds vendor=Invitrogen http://dbarchive.biosciencedbc.jp/k

  7. Psychosocial co-morbidities in Interstitial Cystitis/Bladder Pain syndrome (IC/BPS): A systematic review.

    Science.gov (United States)

    McKernan, Lindsey C; Walsh, Colin G; Reynolds, William S; Crofford, Leslie J; Dmochowski, Roger R; Williams, David A

    2018-03-01

    Psychosocial factors amplify symptoms of Interstitial Cystitis (IC/BPS). While psychosocial self-management is efficacious in other pain conditions, its impact on an IC/BPS population has rarely been studied. The objective of this review is to learn the prevalence and impact of psychosocial factors on IC/BPS, assess baseline psychosocial characteristics, and offer recommendations for assessment and treatment. Following PRISMA guidelines, primary information sources were PubMed including MEDLINE, Embase, CINAHL, and GoogleScholar. Inclusion criteria included: (i) a clearly defined cohort with IC/BPS or with Chronic Pelvic Pain Syndrome provided the IC/BPS cohort was delineated with quantitative results from the main cohort; (ii) all genders and regions; (iii) studies written in English from 1995 to April 14, 2017; (iv) quantitative report of psychosocial factors as outcome measures or at minimum as baseline characteristics. Thirty-four of an initial 642 articles were reviewed. Quantitative analyses demonstrate the magnitude of psychosocial difficulties in IC/BPS, which are worse than average on all measures, and fall into areas of clinical concern for 7 out of 10 measures. Meta-analyses shows mean Mental Component Score of the Short-Form 12 Health Survey (MCS) of 40.80 (SD 6.25, N = 2912), where <36 is consistent with severe psychological impairment. Averaged across studies, the population scored in the range seen in clinical depression (CES-D 19.89, SD 13.12, N = 564) and generalized anxiety disorder (HADS-A 8.15, SD 4.85, N = 465). The psychological impact of IC/BPS is pervasive and severe. Existing evidence of treatment is lacking and suggests self-management intervention may be helpful. © 2017 Wiley Periodicals, Inc.

  8. A fast template matching method for LED chip Localization

    Directory of Open Access Journals (Sweden)

    Zhong Fuqiang

    2015-01-01

    Full Text Available Efficiency determines the profits of the semiconductor producers. So the producers spare no effort to enhance the efficiency of every procedure. The purpose of the paper is to present a method to shorten the time to locate the LED chips on wafer. The method consists of 3 steps. Firstly, image segmentation and blob analyzation are used to predict the positions of potential chips. Then predict the orientations of potential chips based on their dominant orientations. Finally, according to the positions and orientations predicted above, locate the chips precisely based on gradient orientation features. Experiments show that the algorithm is faster than the traditional method we choose to locate the LED chips. Besides, even the orientations of the chips on wafer are of big deviation to the orientation of the template, the efficiency of this method won't be affected.

  9. Experiment list: SRX180159 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available sd || cell type=hemogenic endothelium || chip antibody=CEBPb || chip antibody vendor=santa cruz biotechnol...ogy http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/eachData/bw/SRX180159.bw http://

  10. Experiment list: SRX112178 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available line=OS25 ES cells || chip antibody=8WG16 (MMS-126R, Covance) || chip antibody manufacturer=Covance || chromatin=Fixed || beads...=Magnetic beads http://dbarchive.biosciencedbc.jp/kyushu-u/mm

  11. The role of stress mediators in modulation of cytokine production by ethanol

    International Nuclear Information System (INIS)

    Glover, Mitzi; Cheng Bing; Fan Ruping; Pruett, Stephen

    2009-01-01

    Acute ethanol exposure in humans and in animal models activates the hypothalamic-pituitary-adrenal (HPA) axis and the sympathetic nervous system (SNS); the resultant increases in concentration of neuroendocrine mediators contribute to some of the immunosuppressive effects of ethanol. However, the role of these mediators in the ethanol-induced inhibition of inflammatory responses is not clear. This is complicated by the fact that most inflammatory stimuli also activate the HPA axis and SNS, and it has not been determined if ethanol plus an inflammatory stimulus increases these stress responses. Addressing this issue is the major focus of the study described herein. Complementary approaches were used, including quantitative assessment of the stress response in mice treated with polyinosinic-polycytidylic acid (poly I:C, as an inflammatory stimulus) and inhibition of the production or action of key HPA axis and SNS mediators. Treatment of mice with ethanol shortly before treatment with poly I:C yielded a significant increase in the corticosterone response as compared to the response to poly I:C alone, but the increase was small and not likely sufficient to account for the anti-inflammatory effects of ethanol. Inhibition of catecholamine and glucocorticoid production by adrenalectomy, and inhibition of catecholamine action with a sustained release antagonist (nadalol) supported this conclusion and revealed that 'excess' stress responses associated with ethanol treatment is not the mechanism of suppression of pro-inflammatory cytokine production, but stress-induced corticosterone does regulate production of several of these cytokines, which has not previously been reported.

  12. The role of stress mediators in modulation of cytokine production by ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Glover, Mitzi; Bing, Cheng; Ruping, Fan [LSU Health Sciences Center, Department of Cellular Biology and Anatomy, Shreveport, LA 71130 (United States); Pruett, Stephen [LSU Health Sciences Center, Department of Cellular Biology and Anatomy, Shreveport, LA 71130 (United States); Mississippi State University College of Veterinary Medicine, Department of Basic Sciences, P.O. Box 6100, Mississippi State, MS 39762-6100 (United States)], E-mail: pruett@cvm.msstate.edu

    2009-08-15

    Acute ethanol exposure in humans and in animal models activates the hypothalamic-pituitary-adrenal (HPA) axis and the sympathetic nervous system (SNS); the resultant increases in concentration of neuroendocrine mediators contribute to some of the immunosuppressive effects of ethanol. However, the role of these mediators in the ethanol-induced inhibition of inflammatory responses is not clear. This is complicated by the fact that most inflammatory stimuli also activate the HPA axis and SNS, and it has not been determined if ethanol plus an inflammatory stimulus increases these stress responses. Addressing this issue is the major focus of the study described herein. Complementary approaches were used, including quantitative assessment of the stress response in mice treated with polyinosinic-polycytidylic acid (poly I:C, as an inflammatory stimulus) and inhibition of the production or action of key HPA axis and SNS mediators. Treatment of mice with ethanol shortly before treatment with poly I:C yielded a significant increase in the corticosterone response as compared to the response to poly I:C alone, but the increase was small and not likely sufficient to account for the anti-inflammatory effects of ethanol. Inhibition of catecholamine and glucocorticoid production by adrenalectomy, and inhibition of catecholamine action with a sustained release antagonist (nadalol) supported this conclusion and revealed that 'excess' stress responses associated with ethanol treatment is not the mechanism of suppression of pro-inflammatory cytokine production, but stress-induced corticosterone does regulate production of several of these cytokines, which has not previously been reported.

  13. Tunable on chip optofluidic laser

    DEFF Research Database (Denmark)

    Bakal, Avraham; Vannahme, Christoph; Kristensen, Anders

    2016-01-01

    On chip tunable laser is demonstrated by realizing a microfluidic droplet array. The periodicity is controlled by the pressure applied to two separate inlets, allowing to tune the lasing frequency over a broad spectral range.......On chip tunable laser is demonstrated by realizing a microfluidic droplet array. The periodicity is controlled by the pressure applied to two separate inlets, allowing to tune the lasing frequency over a broad spectral range....

  14. Experiment list: SRX185907 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available Homo sapiens; ChIP-Seq source_name=MCF-7 breast adenocarcinoma cells, control, FOXM1 ChIP || cell_line=MCF-...7 || cell_type=ER-positive breast adenocarcinoma cells || treatment=DMSO || chip_

  15. Experiment list: SRX319552 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available embryonic stem cells || genotype/variation=expressing Flag-bio tagged E2F4 || chip beads=Dynabeads MyOne Streptavidin T1 || chip bea...ds vendor=Invitrogen http://dbarchive.biosciencedbc.jp/k

  16. Experiment list: SRX112184 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available line=OS25 ES cells || chip antibody=CTD4H8 (MMS-128P, Covance) || chip antibody manufacturer=Covance || chromatin=Fixed || beads...=Sepharose beads http://dbarchive.biosciencedbc.jp/kyushu-u/m

  17. Experiment list: SRX367328 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available nology) || sirna transfection=siCTL http://dbarchive.bio...=HEK293T cell || cell line=Human Embryonic Kidney 293 cells || chip antibody=CDK9 || chip antibody details=2316S (Cell Signaling Tech

  18. Experiment list: SRX543048 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available nology http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/ea...CID.adh murine thymic lymphoma || development stage=DN3 || chip antibody=rabbit anti-Miz-1 || chip antibody vendor=Santa Cruz Biotech

  19. Design of Networks-on-Chip for Real-Time Multi-Processor Systems-on-Chip

    DEFF Research Database (Denmark)

    Sparsø, Jens

    2012-01-01

    This paper addresses the design of networks-on-chips for use in multi-processor systems-on-chips - the hardware platforms used in embedded systems. These platforms typically have to guarantee real-time properties, and as the network is a shared resource, it has to provide service guarantees...... (bandwidth and/or latency) to different communication flows. The paper reviews some past work in this field and the lessons learned, and the paper discusses ongoing research conducted as part of the project "Time-predictable Multi-Core Architecture for Embedded Systems" (T-CREST), supported by the European...

  20. Computing fundamentals IC3 edition

    CERN Document Server

    Wempen, Faithe

    2014-01-01

    Kick start your journey into computing and prepare for your IC3 certification With this essential course book you'll be sending e-mails, surfing the web and understanding the basics of computing in no time. Written by Faithe Wempen, a Microsoft Office Master Instructor and author of more than 120 books, this complete guide to the basics has been tailored to provide comprehensive instruction on the full range of entry-level computing skills. It is a must for students looking to move into almost any profession, as entry-level computing courses have become a compulsory requirement in the modern w

  1. CMOS Image Sensors: Electronic Camera On A Chip

    Science.gov (United States)

    Fossum, E. R.

    1995-01-01

    Recent advancements in CMOS image sensor technology are reviewed, including both passive pixel sensors and active pixel sensors. On- chip analog to digital converters and on-chip timing and control circuits permit realization of an electronic camera-on-a-chip. Highly miniaturized imaging systems based on CMOS image sensor technology are emerging as a competitor to charge-coupled devices for low cost uses.

  2. Advances in analog and RF IC design for wireless communication systems

    CERN Document Server

    Manganaro, Gabriele

    2013-01-01

    Advances in Analog and RF IC Design for Wireless Communication Systems gives technical introductions to the latest and most significant topics in the area of circuit design of analog/RF ICs for wireless communication systems, emphasizing wireless infrastructure rather than handsets. The book ranges from very high performance circuits for complex wireless infrastructure systems to selected highly integrated systems for handsets and mobile devices. Coverage includes power amplifiers, low-noise amplifiers, modulators, analog-to-digital converters (ADCs) and digital-to-analog converters

  3. Experiment list: SRX185915 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available mo sapiens; ChIP-Seq source_name=MCF-7 breast adenocarcinoma cells, control, FOXM1 ChIP || cell_line=MCF-7 |...| cell_type=ER-positive breast adenocarcinoma cells || treatment=DMSO || chip_tar

  4. Experiment list: SRX185909 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available omo sapiens; ChIP-Seq source_name=MCF-7 breast adenocarcinoma cells, control, FOXM1 ChIP || cell_line=MCF-7 ...|| cell_type=ER-positive breast adenocarcinoma cells || treatment=DMSO || chip_ta

  5. Experiment list: SRX185917 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available omo sapiens; ChIP-Seq source_name=MCF-7 breast adenocarcinoma cells, control, FOXM1 ChIP || cell_line=MCF-7 ...|| cell_type=ER-positive breast adenocarcinoma cells || treatment=DMSO || chip_ta

  6. Experiment list: SRX112179 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available =OS25 ES cells || chip antibody=H5 (MMS-129R, Covance) || chip antibody manufacturer=Covance || chromatin=Fixed || beads=Magnetic bea...ds http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/eachDa

  7. Experiment list: SRX367330 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available nology) || sirna transfection=siBrd4 http://dbarchive.bi...=HEK293T cell || cell line=Human Embryonic Kidney 293 cells || chip antibody=CDK9 || chip antibody details=2316S (Cell Signaling Tech

  8. Wood chips procurement and research project at the Mikkeli region

    International Nuclear Information System (INIS)

    Saksa, T.; Auvinen, P.

    1996-01-01

    In 1993-94, a large-scale energywood production chain started as a co-operation project by the Mikkeli city forest office and local forestry societies. In 1995 over 115 000 m 3 (about 85 000 MWh of energy) of wood chips were delivered to Pursiala heat and power plant in Mikkeli. About 75 % of these chips was forest processed chips. About 70 % of the forest processed chips was whole tree chips from improvement cuttings of young forest stands and the rest was logging waste chips from regeneration cutting areas. The average total delivery costs of forest processed chips after reduction of energywood and other subsidies were approximately 45 FIM/m 3 (60 FIM/MWh) for the whole tree chips and 38 FIM/m 3 (50 FIM/MWh) for logging waste chips. The delivery costs of forest processed chips could meet the target of Bioenergy Research Programme (45 FIM/MWh) only in the most favourable cases. In an average the delivery costs were about 9 FIM/MWh more than the price obtained when sold to the heat and power plant. However the wood chip production created 27 new jobs and the increase of income to the local economy was about 2.2 milj. FIM /year. The local communities got new tax revenue about 3 FIM/MWh. The gain for the forestry was approximated to be 5 - 6 FIM/MWh. The resources of forest processed chips were studied on the basis of stand measurements. According to the study the most remarkable energywood resources were in young thinning stands on Oxalis-Myrtillus and Myrtillus forest site types. On Oxalis-Myrtillus type almost every and on Myrtillus type every second stand included energywood more than 40 m 3 /ha

  9. A primary battery-on-a-chip using monolayer graphene

    Science.gov (United States)

    Iost, Rodrigo M.; Crespilho, Frank N.; Kern, Klaus; Balasubramanian, Kannan

    2016-07-01

    We present here a bottom-up approach for realizing on-chip on-demand batteries starting out with chemical vapor deposition-grown graphene. Single graphene monolayers contacted by electrode lines on a silicon chip serve as electrodes. The anode and cathode are realized by electrodeposition of zinc and copper respectively onto graphene, leading to the realization of a miniature graphene-based Daniell cell on a chip. The electrolyte is housed partly in a gel and partly in liquid form in an on-chip enclosure molded using a 3d printer or made out of poly(dimethylsiloxane). The realized batteries provide a stable voltage (∼1.1 V) for many hours and exhibit capacities as high as 15 μAh, providing enough power to operate a pocket calculator. The realized batteries show promise for deployment as on-chip power sources for autonomous systems in lab-on-a-chip or biomedical applications.

  10. Variation Tolerant On-Chip Interconnects

    CERN Document Server

    Nigussie, Ethiopia Enideg

    2012-01-01

    This book presents design techniques, analysis and implementation of high performance and power efficient, variation tolerant on-chip interconnects.  Given the design paradigm shift to multi-core, interconnect-centric designs and the increase in sources of variability and their impact in sub-100nm technologies, this book will be an invaluable reference for anyone concerned with the design of next generation, high-performance electronics systems. Provides comprehensive, circuit-level explanation of high-performance, energy-efficient, variation-tolerant on-chip interconnect; Describes design techniques to mitigate problems caused by variation; Includes techniques for design and implementation of self-timed on-chip interconnect, delay variation insensitive communication protocols, high speed signaling techniques and circuits, bit-width independent completion detection and process, voltage and temperature variation tolerance.                          

  11. Periods and light curves of 16 Cepheid variables in IC 1613 not completed by Baade

    International Nuclear Information System (INIS)

    Carlson, G.; Sandage, A.

    1990-01-01

    New periods and light curves are presented for 16 of the faintest Cepheids in IC 1613 which had not been finished by Baade. Magnitudes have been reduced to Freedman's new photometric scale. The P-L relation is extended to periods of 2 days using these new data. Comparison of the total Cepheid data now available in IC 1613 with the data in LMC shows no significant slope difference in the two P-L relations for periods of less than 10 days despite the lower metallicity of the young stars in IC 1613. Fifty new faint Cepheid candidates have been found in IC 1613 by blinking plates not used for this purpose by Baade. Most of these stars will have probable periods of less than 2 days, which will eventually permit an extension of the P-L relation in IC 1613 to fainter magnitudes when the photometry and period determinations are completed. 18 refs

  12. Microfluidic Organ-on-a-Chip Models of Human IntestineSummary

    Directory of Open Access Journals (Sweden)

    Amir Bein

    Full Text Available Microfluidic organ-on-a-chip models of human intestine have been developed and used to study intestinal physiology and pathophysiology. In this article, we review this field and describe how microfluidic Intestine Chips offer new capabilities not possible with conventional culture systems or organoid cultures, including the ability to analyze contributions of individual cellular, chemical, and physical control parameters one-at-a-time; to coculture human intestinal cells with commensal microbiome for extended times; and to create human-relevant disease models. We also discuss potential future applications of human Intestine Chips, including how they might be used for drug development and personalized medicine. Keywords: Organs-on-Chips, Gut-on-a-Chip, Intestine-on-a-Chip, Microfluidic

  13. Experiment list: SRX112176 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available e=OS25 ES cells || chip antibody=CTD4H8 (MMS-128P, Covance) || chip antibody manufacturer=Covance || chromatin=Fixed || beads...=Magnetic beads http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/e

  14. System level ESD protection

    CERN Document Server

    Vashchenko, Vladislav

    2014-01-01

    This book addresses key aspects of analog integrated circuits and systems design related to system level electrostatic discharge (ESD) protection.  It is an invaluable reference for anyone developing systems-on-chip (SoC) and systems-on-package (SoP), integrated with system-level ESD protection. The book focuses on both the design of semiconductor integrated circuit (IC) components with embedded, on-chip system level protection and IC-system co-design. The readers will be enabled to bring the system level ESD protection solutions to the level of integrated circuits, thereby reducing or completely eliminating the need for additional, discrete components on the printed circuit board (PCB) and meeting system-level ESD requirements. The authors take a systematic approach, based on IC-system ESD protection co-design. A detailed description of the available IC-level ESD testing methods is provided, together with a discussion of the correlation between IC-level and system-level ESD testing methods. The IC-level ESD...

  15. Developing an Integrated Design Strategy for Chip Layout Optimization

    NARCIS (Netherlands)

    Wits, Wessel Willems; Jauregui Becker, Juan Manuel; van Vliet, Frank Edward; te Riele, G.J.

    2011-01-01

    This paper presents an integrated design strategy for chip layout optimization. The strategy couples both electric and thermal aspects during the conceptual design phase to improve chip performances; thermal management being one of the major topics. The layout of the chip circuitry is optimized

  16. Watching AGN feedback at its birth: HST observations of nascent outflow host IC860

    Science.gov (United States)

    Alatalo, Katherine

    2016-10-01

    IC860 is a nearby IR-luminous early-type spiral with a unique set of properties: it is a shocked, poststarburst galaxy that hosts an AGN-driven neutral wind and a compact core of molecular gas. IC860 can serve as a rosetta stone for the early stages of triggering AGN feedback. We propose to use WFC3 on HST to obtain NUV, optical and near-IR imaging of IC860. We will create a spatially-resolved history of star formation quenching through SED-fitting of 7 requested broadband filters, and compare the spatially resolved star formation histories to in different positions within the underlying stellar features (such as spiral structure) that might define a narrative of how star formation is quenching in IC860. These observations will also resolve the super-star cluster sites to trace the most recent star formation. Finally, these observations will trace the mass of the outflow by building an absorption map of the dust. IC860 presents a unique opportunity to study a galaxy at an early stage of transitioning from blue spiral to red early-type galaxy, that also hosts an AGN-driven neutral wind and a compact, turbulent molecular gas core.

  17. Heat transfer and structure stress analysis of micro packaging component of high power light emitting diode

    Directory of Open Access Journals (Sweden)

    Hsu Chih-Neng

    2013-01-01

    Full Text Available This paper focuses on the heat transfer and structural stress analysis of the micro- scale packaging structure of a high-power light emitting diode. The thermal-effect and thermal-stress of light emitting diode are determined numerically. Light emitting diode is attached to the silicon substrate through the wire bonding process by using epoxy as die bond material. The silicon substrate is etched with holes at the bottom and filled with high conductivity copper material. The chip temperature and structure stress increase with input power consumption. The micro light emitting diode is mounted on the heat sink to increase the heat dissipation performance, to decrease chip temperature, to enhance the material structure reliability and safety, and to avoid structure failure as well. This paper has successfully used the finite element method to the micro-scale light emitting diode heat transfer and stress concentration at the edges through etched holes.

  18. Opto-electronic DNA chip-based integrated card for clinical diagnostics.

    Science.gov (United States)

    Marchand, Gilles; Broyer, Patrick; Lanet, Véronique; Delattre, Cyril; Foucault, Frédéric; Menou, Lionel; Calvas, Bernard; Roller, Denis; Ginot, Frédéric; Campagnolo, Raymond; Mallard, Frédéric

    2008-02-01

    Clinical diagnostics is one of the most promising applications for microfluidic lab-on-a-chip or lab-on-card systems. DNA chips, which provide multiparametric data, are privileged tools for genomic analysis. However, automation of molecular biology protocol and use of these DNA chips in fully integrated systems remains a great challenge. Simplicity of chip and/or card/instrument interfaces is amongst the most critical issues to be addressed. Indeed, current detection systems for DNA chip reading are often complex, expensive, bulky and even limited in terms of sensitivity or accuracy. Furthermore, for liquid handling in the lab-on-cards, many devices use complex and bulky systems, either to directly manipulate fluids, or to ensure pneumatic or mechanical control of integrated valves. All these drawbacks prevent or limit the use of DNA-chip-based integrated systems, for point-of-care testing or as a routine diagnostics tool. We present here a DNA-chip-based protocol integration on a plastic card for clinical diagnostics applications including: (1) an opto-electronic DNA-chip, (2) fluid handling using electrically activated embedded pyrotechnic microvalves with closing/opening functions. We demonstrate both fluidic and electric packaging of the optoelectronic DNA chip without major alteration of its electronical and biological functionalities, and fluid control using novel electrically activable pyrotechnic microvalves. Finally, we suggest a complete design of a card dedicated to automation of a complex biological protocol with a fully electrical fluid handling and DNA chip reading.

  19. Integrated lasers for polymer Lab-on-a-Chip systems

    DEFF Research Database (Denmark)

    Mappes, Timo; Vannahme, Christoph; Grosmann, Tobias

    2012-01-01

    We develop optical Lab-on-a-Chips on different platforms for marker-based and label-free biophotonic sensor applications. Our chips are based on polymers and fabricated by mass production technologies to integrate microfluidic channels, optical waveguides and miniaturized lasers.......We develop optical Lab-on-a-Chips on different platforms for marker-based and label-free biophotonic sensor applications. Our chips are based on polymers and fabricated by mass production technologies to integrate microfluidic channels, optical waveguides and miniaturized lasers....

  20. Biostability of an implantable glucose sensor chip

    Science.gov (United States)

    Fröhlich, M.; Birkholz, M.; Ehwald, K. E.; Kulse, P.; Fursenko, O.; Katzer, J.

    2012-12-01

    Surface materials of an implantable microelectronic chip intended for medical applications were evaluated with respect to their long-term stability in bio-environments. The sensor chip shall apply in a glucose monitor by operating as a microviscosimeter according to the principle of affinity viscosimetry. A monolithic integration of a microelectromechanical system (MEMS) into the sensor chip was successfully performed in a combined 0.25 μm CMOS/BiCMOS technology. In order to study material durability and biostability of the surfaces, sensor chips were exposed to various in vitro and in vivo tests. Corrosional damage of SiON, SiO2 and TiN surfaces was investigated by optical microscopy, ellipsometry and AFM. The results served for optimizing the Back-end-of-Line (BEoL) stack, from which the MEMS was prepared. Corrosion of metal lines could significantly be reduced by improving the topmost passivation layer. The experiments revealed no visible damage of the actuator or other functionally important MEMS elements. Sensor chips were also exposed to human body fluid for three month by implantation into the abdomen of a volunteer. Only small effects were observed for layer thickness and Ra roughness after explantation. In particular, TiN as used for the actuator beam showed no degradation by biocorrosion. The highest degradation rate of about 50 nm per month was revealed for the SiON passivation layer. These results suggest that the sensor chip may safely operate in subcutaneous tissue for a period of several months.

  1. Biostability of an implantable glucose sensor chip

    International Nuclear Information System (INIS)

    Fröhlich, M; Ehwald, K E; Kulse, P; Fursenko, O; Katzer, J; Birkholz, M

    2012-01-01

    Surface materials of an implantable microelectronic chip intended for medical applications were evaluated with respect to their long-term stability in bio-environments. The sensor chip shall apply in a glucose monitor by operating as a microviscosimeter according to the principle of affinity viscosimetry. A monolithic integration of a microelectromechanical system (MEMS) into the sensor chip was successfully performed in a combined 0.25 μm CMOS/BiCMOS technology. In order to study material durability and biostability of the surfaces, sensor chips were exposed to various in vitro and in vivo tests. Corrosional damage of SiON, SiO 2 and TiN surfaces was investigated by optical microscopy, ellipsometry and AFM. The results served for optimizing the Back-end-of-Line (BEoL) stack, from which the MEMS was prepared. Corrosion of metal lines could significantly be reduced by improving the topmost passivation layer. The experiments revealed no visible damage of the actuator or other functionally important MEMS elements. Sensor chips were also exposed to human body fluid for three month by implantation into the abdomen of a volunteer. Only small effects were observed for layer thickness and R a roughness after explantation. In particular, TiN as used for the actuator beam showed no degradation by biocorrosion. The highest degradation rate of about 50 nm per month was revealed for the SiON passivation layer. These results suggest that the sensor chip may safely operate in subcutaneous tissue for a period of several months.

  2. Protecting ICS Systems Within the Energy Sector from Cyber Attacks

    Science.gov (United States)

    Barnes, Shaquille

    Advance persistent threat (APT) groups are continuing to attack the energy sector through cyberspace, which poses a risk to our society, national security, and economy. Industrial control systems (ICSs) are not designed to handle cyber-attacks, which is why asset owners need to implement the correct proactive and reactive measures to mitigate the risk to their ICS environments. The Industrial Control Systems Cyber Emergency Response Team (ICS-CERT) responded to 290 incidents for fiscal year 2016, where 59 of those incidents came from the Energy Sector. APT groups know how vulnerable energy sector ICS systems are and the destruction they can cause when they go offline such as loss of production, loss of life, and economic impact. Defending against APT groups requires more than just passive controls such as firewalls and antivirus solutions. Asset owners should implement a combination of best practices and active defense in their environment to defend against APT groups. Cyber-attacks against critical infrastructure will become more complex and harder to detect and respond to with traditional security controls. The purpose of this paper was to provide asset owners with the correct security controls and methodologies to help defend against APT groups.

  3. Experiment list: SRX262781 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available _name=NIH3T3_SRF_15 || cell line=NIH3T3 fibroblasts || genotype=normal || chip antibody=SRF || chip antibody vendor=Santa Cruz Biotec...hnology http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/e

  4. Experiment list: SRX262786 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available H3T3_MRTFA_15 || cell line=NIH3T3 fibroblasts || genotype=normal || chip antibody=MRTF-A || chip antibody vendor=Santa Cruz Biotechno...logy http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/each

  5. Experiment list: SRX262791 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available IH3T3_MRTFB_LAT || cell line=NIH3T3 fibroblasts || genotype=normal || chip antibody=MRTF-B || chip antibody vendor=Santa Cruz Biotech...nology http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/ea

  6. Experiment list: SRX262782 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available echnology http://dbarchive.biosciencedbc.jp/kyushu-u/mm9...ce_name=NIH3T3_SRF_15 || cell line=NIH3T3 fibroblasts || genotype=normal || chip antibody=SRF || chip antibody vendor=Santa Cruz Biot

  7. Experiment list: SRX262788 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available IH3T3_MRTFA_UO || cell line=NIH3T3 fibroblasts || genotype=normal || chip antibody=MRTF-A || chip antibody vendor=Santa Cruz Biotechn...ology http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/eac

  8. Experiment list: SRX262787 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available IH3T3_MRTFA_LAT || cell line=NIH3T3 fibroblasts || genotype=normal || chip antibody=MRTF-A || chip antibody vendor=Santa Cruz Biotech...nology http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/ea

  9. Experiment list: SRX262780 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available chnology http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/...e_name=NIH3T3_SRF_03 || cell line=NIH3T3 fibroblasts || genotype=normal || chip antibody=SRF || chip antibody vendor=Santa Cruz Biote

  10. 3D Printing of Organs-On-Chips.

    Science.gov (United States)

    Yi, Hee-Gyeong; Lee, Hyungseok; Cho, Dong-Woo

    2017-01-25

    Organ-on-a-chip engineering aims to create artificial living organs that mimic the complex and physiological responses of real organs, in order to test drugs by precisely manipulating the cells and their microenvironments. To achieve this, the artificial organs should to be microfabricated with an extracellular matrix (ECM) and various types of cells, and should recapitulate morphogenesis, cell differentiation, and functions according to the native organ. A promising strategy is 3D printing, which precisely controls the spatial distribution and layer-by-layer assembly of cells, ECMs, and other biomaterials. Owing to this unique advantage, integration of 3D printing into organ-on-a-chip engineering can facilitate the creation of micro-organs with heterogeneity, a desired 3D cellular arrangement, tissue-specific functions, or even cyclic movement within a microfluidic device. Moreover, fully 3D-printed organs-on-chips more easily incorporate other mechanical and electrical components with the chips, and can be commercialized via automated massive production. Herein, we discuss the recent advances and the potential of 3D cell-printing technology in engineering organs-on-chips, and provides the future perspectives of this technology to establish the highly reliable and useful drug-screening platforms.

  11. Scalable IC Platform for Smart Cameras

    Directory of Open Access Journals (Sweden)

    Harry Broers

    2005-08-01

    Full Text Available Smart cameras are among the emerging new fields of electronics. The points of interest are in the application areas, software and IC development. In order to reduce cost, it is worthwhile to invest in a single architecture that can be scaled for the various application areas in performance (and resulting power consumption. In this paper, we show that the combination of an SIMD (single-instruction multiple-data processor and a general-purpose DSP is very advantageous for the image processing tasks encountered in smart cameras. While the SIMD processor gives the very high performance necessary by exploiting the inherent data parallelism found in the pixel crunching part of the algorithms, the DSP offers a friendly approach to the more complex tasks. The paper continues to motivate that SIMD processors have very convenient scaling properties in silicon, making the complete, SIMD-DSP architecture suitable for different application areas without changing the software suite. Analysis of the changes in power consumption due to scaling shows that for typical image processing tasks, it is beneficial to scale the SIMD processor to use the maximum level of parallelism available in the algorithm if the IC supply voltage can be lowered. If silicon cost is of importance, the parallelism of the processor should be scaled to just reach the desired performance given the speed of the silicon.

  12. Definition of intercultural competence (IC) in undergraduate students at a private university in the USA: A mixed-methods study.

    Science.gov (United States)

    Gierke, Lioba; Binder, Nadine; Heckmann, Mark; Odağ, Özen; Leiser, Anne; Kedzior, Karina Karolina

    2018-01-01

    Intercultural competence (IC) is an important skill to be gained from higher education. However, it remains unclear what IC means to students and what factors might influence their definitions of IC. The aim of the current study was to qualitatively assess how students at one higher education institution in the USA define IC and to quantitatively test for relationships among IC components and various demographic characteristics, including intercultural experience and study context. A further aim was to descriptively compare the IC definitions from the US sample with the definitions obtained from another sample of university students in Germany. A purposive sample of n = 93 undergraduate, second semester students at Dickinson College, USA, participated in the study by completing an online questionnaire. The qualitative data were content-analyzed to define the dimensions of IC. The quantitative data were cluster-analyzed to assess the multivariate relationships among the IC components and the demographic characteristics of the sample. The most important dimensions of IC were Knowledge, External Outcomes (interaction, communication), and Attitudes (respect, tolerance) according to the US sample. The most frequently chosen dimensions of IC differed between both samples: Knowledge was chosen by the sample in the USA while External Outcomes was chosen by the sample in Germany. Relative to the US sample, significantly more students chose Attitudes, External Outcomes, and Intrapersonal Skills in the sample in Germany. The relationships among IC components and demographic characteristics were only weak in the US sample. A person with IC was rated as Open-minded and Respectful by students who lived predominantly in the USA or Tolerant and Curious by those who lived outside the USA for at least six months. The current results suggest that students residing in two countries (USA or Germany) define IC using similar dimensions. However, IC definitions may depend on the

  13. Polysaccharides from Cordyceps sinensis mycelium ameliorate exhaustive swimming exercise-induced oxidative stress.

    Science.gov (United States)

    Yan, Feng; Wang, Beibei; Zhang, Yan

    2014-02-01

    Cordyceps sinensis (Berk.) Sacc. (Clavicipitaceae) is a famous medicinal fungus (mushroom) in Chinese herbal medicine. Polysaccharides from Cordyceps sinensis (CSP) have been identified as active ingredients responsible for its biological activities. Although many pharmacological actions of CSP have received a great deal of attention, research in this area continues. The current study was designed to investigate the effects of CSP on exhaustive exercise-induced oxidative stress. The mice were divided into four groups: control (C), low-dose CSP treated (LC), intermediate-dose CSP treated (IC) and high-dose CSP treated (HC). The treated groups received CSP (100, 200 and 400 mg/kg, ig), while the control group received drinking water for 28 days, followed by being forced to undergo exhaustive swimming exercise, and some biochemical parameters including superoxide dismutase (SOD), glutathione peroxidase (GPx), catalase (CAT), malondialdehyde (MDA) and 8-hydroxy-2'-deoxyguanosine (8-OHdG) were measured using detection kits according to the manufacturers' instructions. Compared with the C group, exhaustive swimming time was significantly prolonged in the LC, IC and HC groups (p activities in serum, liver and muscle were significantly higher in the IC and HC groups (p activities in serum, liver and muscle were significantly higher in the LC, IC and HC groups (p activities in serum, liver and muscle were significantly higher in the HC groups (p < 0.05); MDA and 8-OHdG levels in serum, liver and muscle were significantly lower in the LC, IC and HC groups (p < 0.05). The results obtained herein indicate that CSP could ameliorate exhaustive exercise-induced oxidative stress.

  14. Chip-based microtrap arrays for cold polar molecules

    Science.gov (United States)

    Hou, Shunyong; Wei, Bin; Deng, Lianzhong; Yin, Jianping

    2017-12-01

    Compared to the atomic chip, which has been a powerful platform to perform an astonishing range of applications from rapid Bose-Einstein condensate (BEC) production to the atomic clock, the molecular chip is only in its infant stages. Recently a one-dimensional electric lattice was demonstrated to trap polar molecules on a chip. This excellent work opens up the way to building a molecular chip laboratory. Here we propose a two-dimensional (2D) electric lattice on a chip with concise and robust structure, which is formed by arrays of squared gold wires. Arrays of microtraps that originate in the microsize electrodes offer a steep gradient and thus allow for confining both light and heavy polar molecules. Theoretical analysis and numerical calculations are performed using two types of sample molecules, N D3 and SrF, to justify the possibility of our proposal. The height of the minima of the potential wells is about 10 μm above the surface of the chip and can be easily adjusted in a wide range by changing the voltages applied on the electrodes. These microtraps offer intriguing perspectives for investigating cold molecules in periodic potentials, such as quantum computing science, low-dimensional physics, and some other possible applications amenable to magnetic or optical lattice. The 2D adjustable electric lattice is expected to act as a building block for a future gas-phase molecular chip laboratory.

  15. Analog IC Design at the University of Twente

    NARCIS (Netherlands)

    Nauta, Bram

    2007-01-01

    This article describes some recent research results from the IC Design group of the University of Twente, located in Enschede, The Netherlands. Our research focuses on analog CMOS circuit design with emphasis on high frequency and broadband circuits. With the trend of system integration in mind, we

  16. 30 CFR 57.22102 - Smoking (I-C mines).

    Science.gov (United States)

    2010-07-01

    ... Mineral Resources MINE SAFETY AND HEALTH ADMINISTRATION, DEPARTMENT OF LABOR METAL AND NONMETAL MINE SAFETY AND HEALTH SAFETY AND HEALTH STANDARDS-UNDERGROUND METAL AND NONMETAL MINES Safety Standards for Methane in Metal and Nonmetal Mines Fire Prevention and Control § 57.22102 Smoking (I-C mines). (a...

  17. Useful Immunochromatographic Assay of Calprotectin in Gingival Crevicular Fluid for Diagnosis of Diseased Sites in Patients with Periodontal Diseases.

    Science.gov (United States)

    Kido, Jun-Ichi; Murakami, Shinya; Kitamura, Masahiro; Yanagita, Manabu; Tabeta, Koichi; Yamazaki, Kazuhisa; Yoshie, Hiromasa; Watanabe, Hisashi; Izumi, Yuichi; Suda, Reiko; Yamamoto, Matsuo; Shiba, Hideki; Fujita, Tsuyoshi; Kurihara, Hidemi; Mizuno, Mitsuharu; Mishima, Akihiro; Kawahara, Nobumasa; Hashimoto, Kazuhiro; Naruishi, Koji; Nagata, Toshihiko

    2017-09-06

    Calprotectin, an inflammation-related protein, is present in gingival crevicular fluid (GCF) and the determination of calprotectin is useful for diagnosing periodontal diseases. We have recently developed a novel immunochromatographic (IC) chip system (SI-101402) to determine calprotectin levels in GCF. In the present study, the usefulness of this diagnostic system was investigated in patients with periodontal diseases. Thirty-six patients with periodontal diseases participated in this clinical test at multiple centers. Periodontitis sites (n=118) and non-periodontitis (healthy) sites (n=120) were selected after periodontal examination. GCF collection and periodontal examination were performed at baseline, after supragingival and subgingival scaling and root planing. Calprotectin amount in GCF was determined using a novel IC chip system and evaluated as a visual score and an IC reader value. The correlation between GCF calprotectin levels, clinical indicators and changes in calprotectin levels by periodontal treatments were investigated. Receiver operating characteristic (ROC) analysis of IC reader value for GCF calprotectin was performed to predict periodontal diseases. The visual score of GCF calprotectin was highly correlated the IC reader value. IC reader values of GCF calprotectin in periodontitis group were higher than those of healthy group at three dental examination stages and they significantly decreased with periodontal treatments. Visual scores and IC reader values of GCF calprotectin were correlated to the levels of clinical indicators. ROC analysis for GCF calprotectin showed an optimal cutoff value to predict periodontal diseases. Determination of GCF calprotectin using a novel IC chip system is useful for diagnosis of periodontal diseases.

  18. VizieR Online Data Catalog: Optical & Spitzer photometry in IC 1805 (Sung+, 2017)

    Science.gov (United States)

    Sung, H.; Bessell, M. S.; Chun, M.-Y.; Yi, J.; Naze, Y.; Lim, B.; Karimov, R.; Rauw, G.; Park, B.-G.; Hur, H.

    2017-06-01

    For a study of the IMF and the star-formation history of the young open cluster IC 1805, we obtained deep wide-field VRI and Hα images of IC 1805 using the CFH12K mosaic CCD camera of the CFHT on 2002 January 6 and 7. We also observed several regions in IC 1805, for a study of the reddening and massive star content, using the SITe 2000x800 CCD (Maidanak 2k CCD) and standard UBVRI filters of the AZT-22 1.5m telescope at the Maidanak Astronomical Observatory in Uzbekistan on 2003 August 18 and 2004 december 25,30. Later, we obtained additional images of the central region of IC 1805 with the Fairchild 486 CCD (SNUCam) and UBVI and Hα filters of the AZT-22 telescope on 2007 October 7 and 2009 January 19. The Spitzer mapping observations were performed on 2006 September 20 under program ID 20052 (PI: S. Wolff). For complete photometry of stars in the CFH12K FOV in 3.6 and 4.5um, we also downloaded and reduced the GLIMPSE360 data (AOR: 38753280, 38763264, 38769408, 38799104, 38798592, 38784512, PI: B. A. Whitney). MIPS scans of IC 1805 were obtained on 2005 August 31 and 2005 September 2 (PID 3234, PI: J. S. Greeves). The Chandra X-ray Observatory Observations of IC 1805 (ObsID: 7033, PI: L. Townley) were made on 2006 November 25. The total exposure time was about 79ks. The properties of 647 X-ray sources were published in Townsley+ (2014,J/ApJS/213/1). We searched for the optical and MIR counterparts of these X-ray sources with a matching radius of up to 1.5". (4 data files).

  19. Elevated voltage level I{sub DDQ} failure testing of integrated circuits

    Science.gov (United States)

    Righter, A.W.

    1996-05-21

    Burn in testing of static CMOS IC`s is eliminated by I{sub DDQ} testing at elevated voltage levels. These voltage levels are at least 25% higher than the normal operating voltage for the IC but are below voltage levels that would cause damage to the chip. 4 figs.

  20. An Energy-Efficient Reconfigurable Circuit Switched Network-on-Chip

    NARCIS (Netherlands)

    Wolkotte, P.T.; Smit, Gerardus Johannes Maria; Rauwerda, G.K.; Smit, L.T.

    Network-on-Chip (NoC) is an energy-efficient on-chip communication architecture for multi-tile System-on-Chip (SoC) architectures. The SoC architecture, including its run-time software, can replace inflexible ASICs for future ambient systems. These ambient systems have to be flexible as well as

  1. Energy Model of Networks-on-Chip and a Bus

    NARCIS (Netherlands)

    Wolkotte, P.T.; Smit, Gerardus Johannes Maria; Kavaldjiev, N.K.; Becker, Jens E.; Becker, Jürgen; Nurmi, J.; Takala, J.; Hamalainen, T.D.

    2005-01-01

    A Network-on-Chip (NoC) is an energy-efficient onchip communication architecture for Multi-Processor Systemon-Chip (MPSoC) architectures. In earlier papers we proposed two Network-on-Chip architectures based on packet-switching and circuit-switching. In this paper we derive an energy model for both

  2. Reagent-loaded plastic microfluidic chips for detecting homocysteine

    International Nuclear Information System (INIS)

    Suk, Ji Won; Jang, Jae-Young; Cho, Jun-Hyeong

    2008-01-01

    This report describes the preliminary study on plastic microfluidic chips with pre-loaded reagents for detecting homocysteine (Hcy). All reagents needed in an Hcy immunoassay were included in a microfluidic chip to remove tedious assay steps. A simple and cost-effective bonding method was developed to realize reagent-loaded microfluidic chips. This technique uses an intermediate layer between two plastic substrates by selectively patterning polydimethylsiloxane (PDMS) on the embossed surface of microchannels and fixing the substrates under pressure. Using this bonding method, the competitive immunoassay for SAH, a converted form of Hcy, was performed without any damage to reagents in chips, and the results showed that the fluorescent signal from antibody antigen binding decreased as the SAH concentration increased. Based on the SAH immunoassay, whole immunoassay steps for Hcy detection were carried out in plastic microfluidic chips with all necessary reagents. These experiments demonstrated the feasibility of the Hcy immunoassay in microfluidic devices

  3. Synergistic Effects of Natural Medicinal Plant Extracts on Growth Inhibition of Carcinoma (KB) Cells under Oxidative Stress

    International Nuclear Information System (INIS)

    Kim, Jeong Hee; Ju, Eun Mi; Kim, Jin Kyu

    2000-01-01

    Medicinal plants with synergistic effects on growth inhibition of cancer cells under oxidative stress were screened in this study. Methanol extracts from 51 natural medicinal plants, which were reported to have anticancer effect on hepatoma, stomach cancer or colon cancers which are frequently found in Korean, were prepared and screened for their synergistic activity on growth inhibition of cancer cells under chemically-induced oxidative stress by using MTT assay. Twenty seven samples showed synergistic activity on the growth inhibition in various extent under chemically-induced oxidative stress. Among those samples, eleven samples, such as Melia azedarach, Agastache rugosa, Catalpa ovata, Prunus persica, Sinomenium acutum, Pulsatilla koreana, Oldenlandia diffiusa, Anthriscus sylvestris, Schizandra chinensis, Gleditsia sinensis, Cridium officinale, showed decrease in IC 50 values more than 50%, other 16 samples showed decrease in IC 50 values between 50-25%, compared with the value acquired when medicinal plant sample was used alone. Among those 11 samples, extract of Catalpa ovata showed the highest activity. IC 50 values were decrease to 61% and 28% when carcinoma cells were treated with Catalpa ovata extract in combination of 75 and 100 μM of hydrogen peroxide, respectively

  4. Experiment list: SRX262797 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 3T3_SAP1_03 || cell line=NIH3T3 fibroblasts || genotype=normal || chip antibody=SAP-1a || chip antibody vendor=Santa Cruz Biotechnolo...gy http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/eachDa

  5. Experiment list: SRX262799 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available H3T3_SAP1_LAT || cell line=NIH3T3 fibroblasts || genotype=normal || chip antibody=SAP-1a || chip antibody vendor=Santa Cruz Biotechno...logy http://dbarchive.biosciencedbc.jp/kyushu-u/mm9/each

  6. Experiment list: SRX352046 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available SM1232564: CSB M CHIP; Homo sapiens; ChIP-Seq source_name=fibroblast_menadione_CSB-ChIP || cell type=fibroblast || treated with=menad...ione || chip antibody=Mouse monoclonal anti-CSB N Terminus (1B1) http://dbarchive.b

  7. Microneedle Array Interface to CE on Chip

    NARCIS (Netherlands)

    Lüttge, Regina; Gardeniers, Johannes G.E.; Vrouwe, E.X.; van den Berg, Albert; Northrup, M.A.; Jensen, K.F; Harrison, D.J.

    2003-01-01

    This paper presents a microneedle array sampler interfaced to a capillary electrophoresis (CE) glass chip with integrated conductivity detection electrodes. A solution of alkali ions was electrokinetically loaded through the microneedles onto the chip and separation was demonstrated compared to a

  8. Experiment list: SRX144526 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available stein-Barr Virus transformed 11803840,92.5,91.6,38 GSM922971: NRF2 ChIP vehicle treated rep2; Homo sapiens; ...ChIP-Seq source_name=NRF2 ChIP vehicle treated || biomaterial_provider=Coriell; h

  9. Experiment list: SRX151245 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 0: CTCF ChIPSeq; Homo sapiens; ChIP-Seq source_name=BCBL1 pleural effusion lymphoma, CTCF ChIP || cell line=...BCBL1 || cell type=KSHV-infected pleural effusion lymphoma cells || chip antibody=rabbit anti-CTCF || antibo

  10. Shocked molecular hydrogen in the supernova remnant IC 443

    International Nuclear Information System (INIS)

    Burton, M.G.; Brand, P.W.J.L.; Webster, A.S.

    1988-01-01

    Emission from the υ = 1-0 S(1) line of molecular hydrogen has been mapped over a section of the supernova remnant IC 443. The emission originates in a sinuous ridge where the expanding shell of the SNR is interacting with a molecular cloud. The relative intensities of the 1-0 S(1), 1-0 S(0) and 2-1 S(1) lines at 2.1-2.2 μm were measured and found to be characteristic of shock-excitation of the gas. The ridge shows bright spots which are possibly density enhancements in the molecular cloud. The total luminosity of the molecular hydrogen lines in the mapped region is estimated to be about 1000 times the solar luminosity, making IC 443 one of the most luminous galactic molecular hydrogen sources yet detected. (author)

  11. Some new results on shock chemistry in IC 443

    International Nuclear Information System (INIS)

    DeNoyer, L.K.; Frerking, M.A.

    1981-01-01

    We have made new observations of CO, 13 CO, SiO, SO, H 2 CO, HCO + , N 2 H + , CS, OCS, HCN, and OH in the shocked clouds of IC 443. At position IC 443 B, we find (a) the shocked CO is optically thin, (b) the HCO + /CO abundance ratio is 4--9 x 10 -4 , a tenfold enhancement over normal interstellar clouds, (c) HCN/CO = 1--3 x 10 -4 and CS/CO = 2--3 x 10 -4 , consistent with abundances found in ordinary clouds, (d) no enhancements of SO or SiO as occur in Orion KL, (e) optically thin preshock OH, confirming a hundredfold enhancement of OH/CO in the shock, and (f) an OH main line anomaly, with T/sub ex/(1667)>T/sub ex/(1665) in the shocked region

  12. Specific Features of Chip Making and Work-piece Surface Layer Formation in Machining Thermal Coatings

    Directory of Open Access Journals (Sweden)

    V. M. Yaroslavtsev

    2016-01-01

    Full Text Available A wide range of unique engineering structural and performance properties inherent in metallic composites characterizes wear- and erosion-resistant high-temperature coatings made by thermal spraying methods. This allows their use both in manufacturing processes to enhance the wear strength of products, which have to operate under the cyclic loading, high contact pressures, corrosion and high temperatures and in product renewal.Thermal coatings contribute to the qualitative improvement of the technical level of production and product restoration using the ceramic composite materials. However, the possibility to have a significantly increased product performance, reduce their factory labour hours and materials/output ratio in manufacturing and restoration is largely dependent on the degree of the surface layer quality of products at their finishing stage, which is usually provided by different kinds of machining.When machining the plasma-sprayed thermal coatings, a removing process of the cut-off layer material is determined by its distinctive features such as a layered structure, high internal stresses, low ductility material, high tendency to the surface layer strengthening and rehardening, porosity, high abrasive properties, etc. When coatings are machined these coating properties result in specific characteristics of chip formation and conditions for formation of the billet surface layer.The chip formation of plasma-sprayed coatings was studied at micro-velocities using an experimental tool-setting microscope-based setup, created in BMSTU. The setup allowed simultaneous recording both the individual stages (phases of the chip formation process and the operating force factors.It is found that formation of individual chip elements comes with the multiple micro-cracks that cause chipping-off the small particles of material. The emerging main crack in the cut-off layer of material leads to separation of the largest chip element. Then all the stages

  13. Synthesis of on-chip control circuits for mVLSI biochips

    DEFF Research Database (Denmark)

    Potluri, Seetal; Schneider, Alexander Rüdiger; Hørslev-Petersen, Martin

    2017-01-01

    them to laboratory environments. To address this issue, researchers have proposed methods to reduce the number of offchip pressure sources, through integration of on-chip pneumatic control logic circuits fabricated using three-layer monolithic membrane valve technology. Traditionally, mVLSI biochip......-chip control circuit design and (iii) the integration of on-chip control in the placement and routing design tasks. In this paper we present a design methodology for logic synthesis and physical synthesis of mVLSI biochips that use on-chip control. We show how the proposed methodology can be successfully...... applied to generate biochip layouts with integrated on-chip pneumatic control....

  14. Spitzer Observations Of IC 2118

    Science.gov (United States)

    2010-09-01

    Micron All-Sky Survey ( 2MASS ; Skrutskie et al. 2006) photometric data in an effort to segregate YSOs from background galaxies. While one previously known T...Spectral Typea Other names IRAS 04591−0856 05 01 30.2 −08 52 14 . . . HHL 17, G13 2MASS 05020630−0850467 05 02 06.3 −08 50 47 M2 IV . . . RXJ 0502.4−0744b...05 02 20.8 −07 44 10 . . . 2MASS 05022084−0744099 2MASS 05060574−0646151c 05 06 05.7 −06 46 15 G8: (May not be a member of IC 2118; see Kun et al

  15. Experiment list: SRX150568 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available is=Adenocarcinoma 59265240,72.4,16.4,4779 GSM935489: Harvard ChipSeq HeLa-S3 RPC155 std source_name=HeLa-S3 ...|| biomaterial_provider=ATCC || lab=Harvard || lab description=Struhl - Harvard University || datatype=ChipS

  16. Experiment list: SRX150661 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available is=Adenocarcinoma 59396606,71.7,11.1,1200 GSM935582: Harvard ChipSeq HeLa-S3 BRF1 std source_name=HeLa-S3 ||... biomaterial_provider=ATCC || lab=Harvard || lab description=Struhl - Harvard University || datatype=ChipSeq

  17. Experiment list: SRX150495 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available is=Adenocarcinoma 62508352,67.6,8.4,1556 GSM935416: Harvard ChipSeq HeLa-S3 ZZZ3 std source_name=HeLa-S3 || ...biomaterial_provider=ATCC || lab=Harvard || lab description=Struhl - Harvard University || datatype=ChipSeq

  18. Experiment list: SRX150565 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available =Adenocarcinoma 54953593,74.3,12.2,1703 GSM935486: Harvard ChipSeq HeLa-S3 BDP1 std source_name=HeLa-S3 || b...iomaterial_provider=ATCC || lab=Harvard || lab description=Struhl - Harvard University || datatype=ChipSeq |

  19. Performance evaluation of chip seals in Idaho.

    Science.gov (United States)

    2010-08-01

    The intent of this research project is to identify a wide variety of parameters that influence the performance of pavements treated via chip seals within the State of Idaho. Chip sealing is currently one of the most popular methods of maintenance for...

  20. Experiment list: SRX507380 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available + (wildtype) || age of animals=1-5 day old || tissue=Ovaries || chip antibody=anti-HP1 || chip antibody vend...1770: WT anti-HP1- replicate#2; Drosophila melanogaster; ChIP-Seq source_name=WT_WT_anti-HP1 || strain=piwi/

  1. Experiment list: SRX176054 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available nosis=Carcinoma 13338805,91.2,4.9,792 GSM984386: LNCAP AR vehicle; Homo sapiens; ChIP-Seq source_name=prosta...te cancer cells || cell line=LNCaP || chip antibody=AR || chip antibody manufacturer=Abcam || treatment=EtOH vehicle

  2. Experiment list: SRX144525 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available neage=mesoderm|Description=parental cell type to lymphoblastoid cell lines 14487710,85.8,82.8,188 GSM922970: NRF2 ChIP vehicle... treated rep1; Homo sapiens; ChIP-Seq source_name=NRF2 ChIP vehicle treated || biomaterial

  3. Experiment list: SRX144524 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available neage=mesoderm|Description=parental cell type to lymphoblastoid cell lines 4766716,6.2,89.4,0 GSM922969: NRF2 ChIP vehicle... treated pilot; Homo sapiens; ChIP-Seq source_name=NRF2 ChIP vehicle treated || biomaterial_pr

  4. Experiment list: SRX151246 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available 11: SMC1 ChIPSeq; Homo sapiens; ChIP-Seq source_name=BCBL1 pleural effusion lymphoma, SMC1 ChIP || cell line...=BCBL1 || cell type=KSHV-infected pleural effusion lymphoma cells || chip antibody=rabbit anti-SMC1 || antib

  5. New generation of single-chip microcomputers focused on cost performance

    Energy Technology Data Exchange (ETDEWEB)

    Akao, Y.; Iwashita, H. (Hitachi, Ltd., Tokyo (Japan))

    1993-06-01

    A single-chip microcomputer which incorporates a CPU (central processing unit), memory, and peripheral functions in one chip has been increasingly applied to various fields as the heart of electronic equipment in terms of its economy, compactness, lightness, and suitability for mass production. In response to a wide variety of needs, a lineup must have substantial breadth with regard to performance, on-chip memory capacity, on-chip peripheral functions, operating voltage, and packaging. In particular, low-voltage high-speed operation, high integration, expanded address space, and improved software productivity, which are required for mobile communication terminals, are the common needs for single-chip microcomputers. In accordance with these needs, Hitachi has been actively developing new products. The present paper introduces Hitachi's lineup of single-chip microcomputers. 10 figs., 1 tab.

  6. フロリナートによる高出力ICチップの液体冷却に関する実験的研究

    OpenAIRE

    儀間, 悟; 富村, 寿夫; 張, 興; 藤井, 丕夫

    1999-01-01

    Fluorinert cooling of high-power IC chips using a closed type thermosyphon loop is investigated fundamentally to cope with the recent rapid rise in heat dissipation density of notebook computers. The present experimental set-up consists of evaporator and condenser sections connected by flexible tubing. The evaporator section corresponds to a high-power IC chip, and the condenser section represents a cooling plate located behind a display of notebook computers. The evaporator has the size of 5...

  7. Reverse Engineering Camouflaged Sequential Integrated Circuits Without Scan Access

    OpenAIRE

    Massad, Mohamed El; Garg, Siddharth; Tripunitara, Mahesh

    2017-01-01

    Integrated circuit (IC) camouflaging is a promising technique to protect the design of a chip from reverse engineering. However, recent work has shown that even camouflaged ICs can be reverse engineered from the observed input/output behaviour of a chip using SAT solvers. However, these so-called SAT attacks have so far targeted only camouflaged combinational circuits. For camouflaged sequential circuits, the SAT attack requires that the internal state of the circuit is controllable and obser...

  8. Repeated forced swim stress enhances CFA-evoked thermal hyperalgesia and affects the expressions of pCREB and c-Fos in the insular cortex.

    Science.gov (United States)

    Imbe, H; Kimura, A; Donishi, T; Kaneoke, Y

    2014-02-14

    Stress affects brain activity and promotes long-term changes in multiple neural systems. Exposure to stressors causes substantial effects on the perception and response to pain. In several animal models, chronic stress produces lasting hyperalgesia. The insular (IC) and anterior cingulate cortices (ACC) are the regions exhibiting most reliable pain-related activity. And the IC and ACC play an important role in pain modulation via the descending pain modulatory system. In the present study we examined the expression of phospho-cAMP response element-binding protein (pCREB) and c-Fos in the IC and ACC after forced swim stress (FS) and complete Freund's adjuvant (CFA) injection to clarify changes in the cerebral cortices that affect the activity of the descending pain modulatory system in the rats with stress-induced hyperalgesia. FS (day 1, 10min; days 2-3, 20min) induced an increase in the expression of pCREB and c-Fos in the anterior IC (AIC). CFA injection into the hindpaw after the FS shows significantly enhanced thermal hyperalgesia and induced a decrease in the expression of c-Fos in the AIC and the posterior IC (PIC). Quantitative image analysis showed that the numbers of c-Fos-immunoreactive neurons in the left AIC and PIC were significantly lower in the FS+CFA group (L AIC, 95.9±6.8; L PIC, 181.9±23.1) than those in the naive group (L AIC, 151.1±19.3, pCFA-induced thermal hyperalgesia through dysfunction of the descending pain modulatory system. Copyright © 2013 IBRO. Published by Elsevier Ltd. All rights reserved.

  9. Intellectual Capital (IC Analysis and The Effect to Financial Performance in PT Kalasuba Indonesia (KSI

    Directory of Open Access Journals (Sweden)

    Dwi Ermayanti Susilo

    2016-10-01

    Full Text Available Financial Performance (FP is one of the important things for a company to know the development of the company. One important thing that influences FP is the Intellectual Capital (IC. Research was conducted to get the best IC analysis in order to get success and fulfill the daily human needs which always increases. Therefore, it had research problem ‘how was the components of IC affecting the FP of PT Kalasuba Indonesia (KSI, and the objective is to explore the IC components in affecting the FP. It was interesting to be explored because it had unique characteristics, which consist of spiritual knowledge, attitude, discipline, management knowledge and managerial experience, as well as skill and capabilities, in which the first three points were different from the rest. It used qualitative analysis method as the instrument. It was done by collecting the data through observation and was analyzed using the Robbins theory. It can be concluded that those five IC has important effect in increasing FP of PT KSI and keeping it stable.

  10. FInal Technical Repot of the Project: Design and Implementation of Low-Power 10Gb/s/channel Laser/Silicon Photonics Modulator Drivers with SEU Tolerance for HL-LHC

    Energy Technology Data Exchange (ETDEWEB)

    Gui, Ping [Southern Methodist Univ., Dallas, TX (United States)

    2017-08-09

    During the funding period of this award from May 1, 2014 through March 30, 2016, we have accomplished the design, implementation and measurement results of two laser driver chips: LpGBLD10+ which is a low-power single-channel 10Gb/s laser driver IC, and LDQ10P, which is a 4x10Gb/s driver array chip for High Energy Physics (HEP) applications. With new circuit techniques, the driver consumes a record-low power consumption, 31 mW @10Gb/s/channel and occupies a small area of 400 µm × 1750 µm for the single-channel driver IC and 1900umx1700um for the LDQ10P chip. These characteristics allow for both the LpGBLD10+ ICs and LDQ10P suitable candidate for the Versatile Link PLUS (VL+) project, offering flexibility in configuring multiple Transmitters and receivers.

  11. Monitoring System for Slope Stability under Rainfall by using MEMS Acceleration Sensor IC tags

    International Nuclear Information System (INIS)

    Murakami, S; Dairaku, A; Komine, H; Saito, O; Sakai, N; Isizawa, T; Maruyama, I

    2013-01-01

    Real-time warning system for slope failure under rainfall is available to disaster prevention and mitigation. Monitoring of multi-point and wireless measurements is effective because it is difficult to conclude the most dangerous part in a slope. The purpose of this study is to propose a method of monitoring system with multi-point and wireless measurements for a slope stability using MEMS acceleration sensor IC tags. MEMS acceleration sensor IC tag is an acceleration sensor microminiaturized by a technology of Micro Electro Mechanical Systems on board IC tag. Especially, low cost of the sensor will yield to the realization of the system. In order to investigate the applicability of the proposed system, a large-scale model test of artificial slope subjected to rainfall has been performed. MEMS acceleration sensor IC tags has been located on the slope and ground acceleration caused by forced vibration has been measured until the model slope collapses. The experimental results show that the MEMS acceleration sensor IC tag is comfortably available under rainfall, the characteristics of ground accelerations varies with changing the condition of the slope subjected to rainfall, and the proposed method can be applied to a real-time monitoring system for slope failure under rainfall.

  12. Experiment list: SRX150586 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available -Barr Virus 33195472,90.4,25.9,15633 GSM935507: Harvard ChipSeq GM12878 NF-YB IgG-mus source_name=GM12878 ||...?PgId=165&q=GM12878 || lab=Harvard || lab description=Struhl - Harvard University || datatype=ChipSeq || dat

  13. Experiment list: SRX150496 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available ein-Barr Virus 63040797,85.0,19.7,1435 GSM935417: Harvard ChipSeq GM12878 SPT20 std source_name=GM12878 || b...gId=165&q=GM12878 || lab=Harvard || lab description=Struhl - Harvard University || datatype=ChipSeq || datat

  14. Experiment list: SRX150585 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available -Barr Virus 32926476,94.0,12.0,2668 GSM935506: Harvard ChipSeq GM12878 NF-YA IgG-mus source_name=GM12878 || ...PgId=165&q=GM12878 || lab=Harvard || lab description=Struhl - Harvard University || datatype=ChipSeq || data

  15. A Chip for an Implantable Neural Stimulator

    DEFF Research Database (Denmark)

    Gudnason, Gunnar; Bruun, Erik; Haugland, Morten

    2000-01-01

    This paper describes a chip for a multichannel neural stimulator for functional electrical stimulation (FES). The purpose of FES is to restore muscular control in disabled patients. The chip performs all the signal processing required in an implanted neural stimulator. The power and digital data...

  16. Experiment list: SRX153146 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available -Seq source_name=Human breast adenocarcinoma cell-line MCF7 || cell-line=MCF7 || passage=5 || chip antibody=...n=Pleura|Tissue Diagnosis=Adenocarcinoma 60170246,98.4,5.7,16756 GSM946850: MCF7 H3K27ac; Homo sapiens; ChIP

  17. Experiment list: SRX176063 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available =Carcinoma 11279321,95.5,3.6,13985 GSM984395: LNCAP ACH3 vehicle; Homo sapiens; ChIP-Seq source_name=prostat...e cancer cells || cell line=LNCaP || chip antibody=AcH3 || chip antibody manufacturer=Millipore || treatment=EtOH vehicle

  18. Experiment list: SRX176057 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available nosis=Carcinoma 21582823,90.1,7.3,1074 GSM984389: 22RV1 AR vehicle; Homo sapiens; ChIP-Seq source_name=prost...ate cancer cells || cell line=22RV1 || chip antibody=AR || chip antibody manufacturer=Abcam || treatment=EtOH vehicle

  19. Experiment list: SRX144527 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available neage=mesoderm|Description=parental cell type to lymphoblastoid cell lines 8704444,92.1,92.5,9 GSM922972: NRF2 ChIP vehicle... treated rep3; Homo sapiens; ChIP-Seq source_name=NRF2 ChIP vehicle treated || biomaterial_pr

  20. Experiment list: SRX160914 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available M970829: IgG for KSHV LANA; Homo sapiens; ChIP-Seq source_name=BCBL1 pleural effusion lymphoma, IgG ChIP || ...cell line=BCBL1 || cell type=KSHV-infected pleural effusion lymphoma cells || chip antibody=Rabbit IgG [Sant

  1. Experiment list: SRX160915 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available M970828: IgG for CTCF SMC1; Homo sapiens; ChIP-Seq source_name=BCBL1 pleural effusion lymphoma, IgG ChIP || ...cell line=BCBL1 || cell type=KSHV-infected pleural effusion lymphoma cells || chip antibody=Mouse IgG [Santa

  2. 3D Printing of Organs-On-Chips

    Science.gov (United States)

    Yi, Hee-Gyeong; Lee, Hyungseok; Cho, Dong-Woo

    2017-01-01

    Organ-on-a-chip engineering aims to create artificial living organs that mimic the complex and physiological responses of real organs, in order to test drugs by precisely manipulating the cells and their microenvironments. To achieve this, the artificial organs should to be microfabricated with an extracellular matrix (ECM) and various types of cells, and should recapitulate morphogenesis, cell differentiation, and functions according to the native organ. A promising strategy is 3D printing, which precisely controls the spatial distribution and layer-by-layer assembly of cells, ECMs, and other biomaterials. Owing to this unique advantage, integration of 3D printing into organ-on-a-chip engineering can facilitate the creation of micro-organs with heterogeneity, a desired 3D cellular arrangement, tissue-specific functions, or even cyclic movement within a microfluidic device. Moreover, fully 3D-printed organs-on-chips more easily incorporate other mechanical and electrical components with the chips, and can be commercialized via automated massive production. Herein, we discuss the recent advances and the potential of 3D cell-printing technology in engineering organs-on-chips, and provides the future perspectives of this technology to establish the highly reliable and useful drug-screening platforms. PMID:28952489

  3. Emission of organic substances from chip-boards

    Energy Technology Data Exchange (ETDEWEB)

    Deppe, H.J.

    1982-01-01

    A relatively small number of investigations on emissions of organic substances from chip-board is available up to now. The emissions known to date are caused by glues or other additives rather than by the wood itself. As concerns aminoplast glues (urea-formaldehyde or melamine-formaldehyde resins) the most important point of public interest has been the off-gassing of formaldehyde from chip-board. Chip-board with phenol-formaldehyde glues has been known in some cases to give off phenol. The formation of diamino diphenyl methane from isocyanate glues is still a matter of discussion. A further source for possible emissions are wood and fire protectives which are added during the manufacturing process. Finally, coating of chip-board may lead to emissions of organic substances. The lack of adequate detection methods has so far delayed the treatment of questions in relation to emissions from chip-board. Even now, there are numerous problems in this field especially when investigating isocyanate glues. Problems in relation to the origin of emissions due to the kind of glue used and the manufacturing process are discussed, and proposals are made how to solve some of these problems. The question of the health risk is dealt with from the view-point of the civil engineer and in an general economic context.

  4. 3D Printing of Organs-On-Chips

    Directory of Open Access Journals (Sweden)

    Hee-Gyeong Yi

    2017-01-01

    Full Text Available Organ-on-a-chip engineering aims to create artificial living organs that mimic the complex and physiological responses of real organs, in order to test drugs by precisely manipulating the cells and their microenvironments. To achieve this, the artificial organs should to be microfabricated with an extracellular matrix (ECM and various types of cells, and should recapitulate morphogenesis, cell differentiation, and functions according to the native organ. A promising strategy is 3D printing, which precisely controls the spatial distribution and layer-by-layer assembly of cells, ECMs, and other biomaterials. Owing to this unique advantage, integration of 3D printing into organ-on-a-chip engineering can facilitate the creation of micro-organs with heterogeneity, a desired 3D cellular arrangement, tissue-specific functions, or even cyclic movement within a microfluidic device. Moreover, fully 3D-printed organs-on-chips more easily incorporate other mechanical and electrical components with the chips, and can be commercialized via automated massive production. Herein, we discuss the recent advances and the potential of 3D cell-printing technology in engineering organs-on-chips, and provides the future perspectives of this technology to establish the highly reliable and useful drug-screening platforms.

  5. Chip-Level Electromigration Reliability for Cu Interconnects

    International Nuclear Information System (INIS)

    Gall, M.; Oh, C.; Grinshpon, A.; Zolotov, V.; Panda, R.; Demircan, E.; Mueller, J.; Justison, P.; Ramakrishna, K.; Thrasher, S.; Hernandez, R.; Herrick, M.; Fox, R.; Boeck, B.; Kawasaki, H.; Haznedar, H.; Ku, P.

    2004-01-01

    Even after the successful introduction of Cu-based metallization, the electromigration (EM) failure risk has remained one of the most important reliability concerns for most advanced process technologies. Ever increasing operating current densities and the introduction of low-k materials in the backend process scheme are some of the issues that threaten reliable, long-term operation at elevated temperatures. The traditional method of verifying EM reliability only through current density limit checks is proving to be inadequate in general, or quite expensive at the best. A Statistical EM Budgeting (SEB) methodology has been proposed to assess more realistic chip-level EM reliability from the complex statistical distribution of currents in a chip. To be valuable, this approach requires accurate estimation of currents for all interconnect segments in a chip. However, no efficient technique to manage the complexity of such a task for very large chip designs is known. We present an efficient method to estimate currents exhaustively for all interconnects in a chip. The proposed method uses pre-characterization of cells and macros, and steps to identify and filter out symmetrically bi-directional interconnects. We illustrate the strength of the proposed approach using a high-performance microprocessor design for embedded applications as a case study

  6. Preliminary Radiation Testing of a State-of-the-Art Commercial 14nm CMOS Processor/System-on-a-Chip

    Science.gov (United States)

    Szabo, Carl M., Jr.; Duncan, Adam; LaBel, Kenneth A.; Kay, Matt; Bruner, Pat; Krzesniak, Mike; Dong, Lei

    2015-01-01

    Hardness assurance test results of Intel state-of-the-art 14nm “Broadwell” U-series processor / System-on-a-Chip (SoC) for total ionizing dose (TID) are presented, along with exploratory results from trials at a medical proton facility. Test method builds upon previous efforts [1] by utilizing commercial laptop motherboards and software stress applications as opposed to more traditional automated test equipment (ATE).

  7. Preliminary Radiation Testing of a State-of-the-Art Commercial 14nm CMOS Processor - System-on-a-Chip

    Science.gov (United States)

    Szabo, Carl M., Jr.; Duncan, Adam; LaBel, Kenneth A.; Kay, Matt; Bruner, Pat; Krzesniak, Mike; Dong, Lei

    2015-01-01

    Hardness assurance test results of Intel state-of-the-art 14nm Broadwell U-series processor System-on-a-Chip (SoC) for total dose are presented, along with first-look exploratory results from trials at a medical proton facility. Test method builds upon previous efforts by utilizing commercial laptop motherboards and software stress applications as opposed to more traditional automated test equipment (ATE).

  8. A SPECTROSCOPICALLY NORMAL TYPE Ic SUPERNOVA FROM A VERY MASSIVE PROGENITOR

    International Nuclear Information System (INIS)

    Valenti, Stefano; Pastorello, Andrea; Benetti, Stefano; Cappellaro, Enrico; Tomasella, Lina; Turatto, Massimo; Taubenberger, Stefan; Aramyan, Levon; Botticella, Maria Teresa; Fraser, Morgan; Smartt, Stephen J.; Magill, Lindsay; Kotak, Rubina; Wright, Darryl E.; Elias-Rosa, Nancy; Ergon, Mattias; Sollerman, Jesper; Magnier, Eugene; Price, Paul A.

    2012-01-01

    We present observations of the Type Ic supernova (SN Ic) 2011bm spanning a period of about one year. The data establish that SN 2011bm is a spectroscopically normal SN Ic with moderately low ejecta velocities and with a very slow spectroscopic and photometric evolution (more than twice as slow as SN 1998bw). The Pan-STARRS1 retrospective detection shows that the rise time from explosion to peak was ∼40 days in the R band. Through an analysis of the light curve and the spectral sequence, we estimate a kinetic energy of ∼7-17 foe and a total ejected mass of ∼7-17 M ☉ , 5-10 M ☉ of which is oxygen and 0.6-0.7 M ☉ is 56 Ni. The physical parameters obtained for SN 2011bm suggest that its progenitor was a massive star of initial mass 30-50 M ☉ . The profile of the forbidden oxygen lines in the nebular spectra shows no evidence of a bi-polar geometry in the ejected material.

  9. An automatic chip structure optical inspection system for electronic components

    Science.gov (United States)

    Song, Zhichao; Xue, Bindang; Liang, Jiyuan; Wang, Ke; Chen, Junzhang; Liu, Yunhe

    2018-01-01

    An automatic chip structure inspection system based on machine vision is presented to ensure the reliability of electronic components. It consists of four major modules, including a metallographic microscope, a Gigabit Ethernet high-resolution camera, a control system and a high performance computer. An auto-focusing technique is presented to solve the problem that the chip surface is not on the same focusing surface under the high magnification of the microscope. A panoramic high-resolution image stitching algorithm is adopted to deal with the contradiction between resolution and field of view, caused by different sizes of electronic components. In addition, we establish a database to storage and callback appropriate parameters to ensure the consistency of chip images of electronic components with the same model. We use image change detection technology to realize the detection of chip images of electronic components. The system can achieve high-resolution imaging for chips of electronic components with various sizes, and clearly imaging for the surface of chip with different horizontal and standardized imaging for ones with the same model, and can recognize chip defects.

  10. Experiment list: SRX153147 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available -Seq source_name=Human breast adenocarcinoma cell-line MCF7 || cell-line=MCF7 || passage=5 || chip antibody=...on=Pleura|Tissue Diagnosis=Adenocarcinoma 64054379,98.7,5.2,764 GSM946851: MCF7 H3K27me3; Homo sapiens; ChIP

  11. Experiment list: SRX153148 [Chip-atlas[Archive

    Lifescience Database Archive (English)

    Full Text Available -Seq source_name=Human breast adenocarcinoma cell-line MCF7 || cell-line=MCF7 || passage=5 || chip antibody=...n=Pleura|Tissue Diagnosis=Adenocarcinoma 57306360,95.7,15.1,2666 GSM946852: MCF7 H3K9me3; Homo sapiens; ChIP

  12. On-chip integrated lasers for biophotonic applications

    DEFF Research Database (Denmark)

    Mappes, Timo; Wienhold, Tobias; Bog, Uwe

    Meeting the need of biomedical users, we develop disposable Lab-on-a-Chip systems based on commercially available polymers. We are combining passive microfluidics with active optical elements on-chip by integrating multiple solid-state and liquid-core lasers. While covering a wide range of laser ...

  13. Chip-olate’ and dry-film resists for efficient fabrication, singulation and sealing of microfluidic chips

    Science.gov (United States)

    Temiz, Yuksel; Delamarche, Emmanuel

    2014-09-01

    This paper describes a technique for high-throughput fabrication and efficient singulation of chips having closed microfluidic structures and takes advantage of dry-film resists (DFRs) for efficient sealing of capillary systems. The technique is illustrated using 4-inch Si/SiO2 wafers. Wafers carrying open microfluidic structures are partially diced to about half of their thickness. Treatments such as surface cleaning are done at wafer-level, then the structures are sealed using low-temperature (45 °C) lamination of a DFR that is pre-patterned using a craft cutter, and ready-to-use chips are finally separated manually like a chocolate bar by applying a small force (≤ 4 N). We further show that some DFRs have low auto-fluorescence at wavelengths typically used for common fluorescent dyes and that mechanical properties of some DFRs allow for the lamination of 200 μm wide microfluidic structures with negligible sagging (~1 μm). The hydrophilicity (advancing contact angle of ~60°) of the DFR supports autonomous capillary-driven flow without the need for additional surface treatment of the microfluidic chips. Flow rates from 1 to 5 µL min-1 are generated using different geometries of channels and capillary pumps. In addition, the ‘chip-olate’ technique is compatible with the patterning of capture antibodies on DFR for use in immunoassays. We believe this technique to be applicable to the fabrication of a wide range of microfluidic and lab-on-a-chip devices and to offer a viable alternative to many labor-intensive processes that are currently based on wafer bonding techniques or on the molding of poly(dimethylsiloxane) (PDMS) layers.

  14. Error Control for Network-on-Chip Links

    CERN Document Server

    Fu, Bo

    2012-01-01

    As technology scales into nanoscale regime, it is impossible to guarantee the perfect hardware design. Moreover, if the requirement of 100% correctness in hardware can be relaxed, the cost of manufacturing, verification, and testing will be significantly reduced. Many approaches have been proposed to address the reliability problem of on-chip communications. This book focuses on the use of error control codes (ECCs) to improve on-chip interconnect reliability. Coverage includes detailed description of key issues in NOC error control faced by circuit and system designers, as well as practical error control techniques to minimize the impact of these errors on system performance. Provides a detailed background on the state of error control methods for on-chip interconnects; Describes the use of more complex concatenated codes such as Hamming Product Codes with Type-II HARQ, while emphasizing integration techniques for on-chip interconnect links; Examines energy-efficient techniques for integrating multiple error...

  15. FE-I4 Chip Development for Upgraded ATLAS Pixel Detector at LHC

    CERN Document Server

    Barbero, M; The ATLAS collaboration

    2010-01-01

    A new ATLAS pixel chip FE-I4 has been developed for use in upgraded LHC luminosity environments, including the near-term Insertable B-Layer upgrade. FE-I4 is designed in a 130 nm CMOS technology, presenting advantages in terms of radiation tolerance and digital logic density compared to the 0.25 μm CMOS technology used for the current ATLAS pixel IC, FE-I3. FE-I4 architecture is based on an array of 80×336 pixels, each 50×250 μm2, consisting of analog and digital sections. The analog pixel section is designed for low power consumption and compatibility to several sensor candidates. It is based on a two-stage architecture with a pre-amp AC-coupled to a second stage of amplification. It features leakage current compensation circuitry, local 4-bit pre-amp feedback tuning and a discriminator locally adjusted through 5 configuration bits. The digital architecture is based on a 4-pixel unit called Pixel Digital Region (PDR) allowing for local storage of hits in 5-deep data buffers at pixel level for the duratio...

  16. AREVA advanced safety IC solutions and licensing experience for new nuclear builds and modernization projects - 15545

    International Nuclear Information System (INIS)

    Fourestie, B.; Pickelmann, J.; Richter, S.; Hilsenkopf, P.; Paris, P.

    2015-01-01

    Regulatory requirements for the Instrumentation and Control (IC) for Nuclear Power Plants have become significantly more stringent during the last 10 years in the areas of software development and qualification, traceability, diversity, or seismic requirements for instance, and with the introduction of new standards (such as the IEC 62566, or the IEC 62003). Based on a large and comprehensive experience gained from projects in several regulatory environments and different plant types (including non-OEM plants), AREVA has developed and adapted its processes and products to provide state-of-the-art IC solutions in full compliance with the regulatory demands and requirements in terms of robustness (independence, defense-in-depth, diversity and cyber-security). In this paper we present the safety IC platforms developed by AREVA. These platforms include TELEPERM XS as the computerized safety IC platform for class 1 system implementation, the Qualified Display System (QDS) for safety classified screen-based interface, and UNICORN as fully diverse analog safety IC platform for backup systems

  17. Chip cleaning and regeneration for electrochemical sensor arrays

    Energy Technology Data Exchange (ETDEWEB)

    Bhalla, Vijayender [Biochemistry Department ' G.Moruzzi' , University of Bologna, Via Irnerio 48, 40126 Bologna (Italy); Carrara, Sandro, E-mail: sandro.carrara@epfl.c [Biochemistry Department ' G.Moruzzi' , University of Bologna, Via Irnerio 48, 40126 Bologna (Italy); Stagni, Claudio [Department DEIS, University of Bologna, viale Risorgimento 2, 40136 Bologna (Italy); Samori, Bruno [Biochemistry Department ' G.Moruzzi' , University of Bologna, Via Irnerio 48, 40126 Bologna (Italy)

    2010-04-02

    Sensing systems based on electrochemical detection have generated great interest because electronic readout may replace conventional optical readout in microarray. Moreover, they offer the possibility to avoid labelling for target molecules. A typical electrochemical array consists of many sensing sites. An ideal micro-fabricated sensor-chip should have the same measured values for all the equivalent sensing sites (or spots). To achieve high reliability in electrochemical measurements, high quality in functionalization of the electrodes surface is essential. Molecular probes are often immobilized by using alkanethiols onto gold electrodes. Applying effective cleaning methods on the chip is a fundamental requirement for the formation of densely-packed and stable self-assembly monolayers. However, the available well-known techniques for chip cleaning may not be so reliable. Furthermore, it could be necessary to recycle the chip for reuse. Also in this case, an effective recycling technique is required to re-obtain well cleaned sensing surfaces on the chip. This paper presents experimental results on the efficacy and efficiency of the available techniques for initial cleaning and further recycling of micro-fabricated chips. Piranha, plasma, reductive and oxidative cleaning methods were applied and the obtained results were critically compared. Some interesting results were attained by using commonly considered cleaning methodologies. This study outlines oxidative electrochemical cleaning and recycling as the more efficient cleaning procedure for electrochemical based sensor arrays.

  18. FISH & CHIPS: Four Electrode Conductivity / Salinity Sensor on a Silicon Multi-sensor chip for Fisheries Research

    DEFF Research Database (Denmark)

    Hyldgård, Anders; Olafsdottir, Iris; Olesen, M.

    2005-01-01

    The design and fabrication of a single chip silicon salinity, temperature, pressure and light multisensor is presented. The behavior 2- and 4-electrode conductivity microsensors are described and methods for precise determination of water conductivity are given......The design and fabrication of a single chip silicon salinity, temperature, pressure and light multisensor is presented. The behavior 2- and 4-electrode conductivity microsensors are described and methods for precise determination of water conductivity are given...

  19. Teaching Quality Control with Chocolate Chip Cookies

    Science.gov (United States)

    Baker, Ardith

    2014-01-01

    Chocolate chip cookies are used to illustrate the importance and effectiveness of control charts in Statistical Process Control. By counting the number of chocolate chips, creating the spreadsheet, calculating the control limits and graphing the control charts, the student becomes actively engaged in the learning process. In addition, examining…

  20. Exploration within the Network-on-Chip Paradigm

    NARCIS (Netherlands)

    Wolkotte, P.T.

    2009-01-01

    A general purpose processor used to consist of a single processing core, which performed and controlled all tasks on the chip. Its functionality and maximum clock frequency grew steadily over the years. Due to the continuous increase of the number of transistors available on-chip and the operational