WorldWideScience

Sample records for hydrogen plasma etching

  1. Planarization of the diamond film surface by using the hydrogen plasma etching with carbon diffusion process

    International Nuclear Information System (INIS)

    Kim, Sung Hoon

    2001-01-01

    Planarization of the free-standing diamond film surface as smooth as possible could be obtained by using the hydrogen plasma etching with the diffusion of the carbon species into the metal alloy (Fe, Cr, Ni). For this process, we placed the free-standing diamond film between the metal alloy and the Mo substrate like a metal-diamond-molybdenum (MDM) sandwich. We set the sandwich-type MDM in a microwave-plasma-enhanced chemical vapor deposition (MPECVD) system. The sandwich-type MDM was heated over ca. 1000 .deg. C by using the hydrogen plasma. We call this process as the hydrogen plasma etching with carbon diffusion process. After etching the free-standing diamond film surface, we investigated surface roughness, morphologies, and the incorporated impurities on the etched diamond film surface. Finally, we suggest that the hydrogen plasma etching with carbon diffusion process is an adequate etching technique for the fabrication of the diamond film surface applicable to electronic devices

  2. Enhanced photoluminescence from porous silicon by hydrogen-plasma etching

    International Nuclear Information System (INIS)

    Wang, Q.; Gu, C.Z.; Li, J.J.; Wang, Z.L.; Shi, C.Y.; Xu, P.; Zhu, K.; Liu, Y.L.

    2005-01-01

    Porous silicon (PS) was etched by hydrogen plasma. On the surface a large number of silicon nanocone arrays and nanocrystallites were formed. It is found that the photoluminescence of the H-etched porous silicon is highly enhanced. Correspondingly, three emission centers including red, green, and blue emissions are shown to contribute to the enhanced photoluminescence of the H-etched PS, which originate from the recombination of trapped electrons with free holes due to Si=O bonding at the surface of the silicon nanocrystallites, the quantum size confinement effect, and oxygen vacancy in the surface SiO 2 layer, respectively. In particular, the increase of SiO x (x<2) formed on the surface of the H-etched porous silicon plays a very important role in enhancing the photoluminescence properties

  3. A novel non-sequential hydrogen-pulsed deep reactive ion etching of silicon

    International Nuclear Information System (INIS)

    Gharooni, M; Mohajerzadeh, A; Sandoughsaz, A; Khanof, S; Mohajerzadeh, S; Asl-Soleimani, E

    2013-01-01

    A non-sequential pulsed-mode deep reactive ion etching of silicon is reported that employs continuous etching and passivation based on SF 6 and H 2 gases. The passivation layer, as an important step for deep vertical etching of silicon, is feasible by hydrogen pulses in proper time-slots. By adjusting the etching parameters such as plasma power, H 2 and SF 6 flows and hydrogen pulse timing, the process can be controlled for minimum underetch and high etch-rate at the same time. High-aspect-ratio features can be realized with low-density plasma power and by controlling the reaction chemistry. The so-called reactive ion etching lag has been minimized by operating the reactor at higher pressures. X-ray photoelectron spectroscopy and scanning electron microscopy have been used to study the formation of the passivation layer and the passivation mechanism. (paper)

  4. Hydrogen diffusion between plasma-deposited silicon nitride-polyimide polymer interfaces

    International Nuclear Information System (INIS)

    Nguyen, S.V.; Kerbaugh, M.

    1988-01-01

    This paper reports a nuclear reaction analysis (NRA) for hydrogen technique used to analyze the hydrogen concentration near plasma enhanced chemical vapor deposition (PECVD) silicon nitride-polyimide interfaces at various nitride-deposition and polyimide-polymer-curing temperatures. The CF 4 + O 2 (8% O 2 ) plasma-etch-rate variation of PECVD silicon nitride films deposited on polyimide appeared to correlate well with the variation of hydrogen-depth profiles in the nitride films. The NRA data indicate that hydrogen-depth-profile fluctuation in the nitride films is due to hydrogen diffusion between the nitride-polyimide interfaces during deposition. Annealing treatment of polyimide films in a hydrogen atmosphere prior to the nitride film deposition tends to enhance the hydrogen-depth-profile uniformity in the nitride films, and thus substantially reduces or eliminates variation in the nitride plasma-etch rate

  5. Infinitely high etch selectivity during CH4/H2/Ar inductively coupled plasma (ICP) etching of indium tin oxide (ITO) with photoresist mask

    International Nuclear Information System (INIS)

    Kim, D.Y.; Ko, J.H.; Park, M.S.; Lee, N.-E.

    2008-01-01

    Under certain conditions during ITO etching using CH 4 /H 2 /Ar inductively coupled plasmas, the etch rate selectivity of ITO to photoresist (PR) was infinitely high because the ITO films continued to be etched, but a net deposition of the α-C:H layer occurred on the top of the PR. Analyses of plasmas and etched ITO surfaces suggested that the continued consumption of the carbon and hydrogen in the deposited α-C:H layer by their chemical reaction with In and Sn atoms in the ITO resulting in the generation of volatile metal-organic etch products and by the ion-enhanced removal of the α-C:H layer presumably play important roles in determining the ITO etch rate and selectivity

  6. Initial damage processes for diamond film exposure to hydrogen plasma

    International Nuclear Information System (INIS)

    Deslandes, A.; Guenette, M.C.; Samuell, C.M.; Karatchevtseva, I.; Ionescu, M.; Cohen, D.D.; Blackwell, B.; Corr, C.; Riley, D.P.

    2013-01-01

    Graphical abstract: -- Highlights: • Exposing chemical vapour deposited (CVD) diamond films in a recently constructed device, MAGPIE, specially commissioned to simulate fusion plasma conditions. • Non-diamond material is etched from the diamond. • There is no hydrogen retention observed, which suggests diamond is an excellent candidate for plasma facing materials. • Final structure of the surface is dependent on synergistic effects of etching and ion-induced structural change. -- Abstract: Diamond is considered to be a possible alternative to other carbon based materials as a plasma facing material in nuclear fusion devices due to its high thermal conductivity and resistance to chemical erosion. In this work CVD diamond films were exposed to hydrogen plasma in the MAGnetized Plasma Interaction Experiment (MAGPIE): a linear plasma device at the Australian National University which simulates plasma conditions relevant to nuclear fusion. Various negative sample stage biases of magnitude less than 500 V were applied to control the energies of impinging ions. Characterisation results from SEM, Raman spectroscopy and ERDA are presented. No measureable quantity of hydrogen retention was observed, this is either due to no incorporation of hydrogen into the diamond structure or due to initial incorporation as a hydrocarbon followed by subsequent etching back into the plasma. A model is presented for the initial stages of diamond erosion in fusion relevant hydrogen plasma that involves chemical erosion of non-diamond material from the surface by hydrogen radicals and damage to the subsurface region from energetic hydrogen ions. These results show that the initial damage processes in this plasma regime are comparable to previous studies of the fundamental processes as reported for less extreme plasma such as in the development of diamond films

  7. Plasma etching: Yesterday, today, and tomorrow

    Energy Technology Data Exchange (ETDEWEB)

    Donnelly, Vincent M.; Kornblit, Avinoam [Department of Chemical and Biomolecular Engineering, University of Houston, Houston, Texas 77204 (United States)

    2013-09-15

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices.

  8. Plasma etching: Yesterday, today, and tomorrow

    International Nuclear Information System (INIS)

    Donnelly, Vincent M.; Kornblit, Avinoam

    2013-01-01

    The field of plasma etching is reviewed. Plasma etching, a revolutionary extension of the technique of physical sputtering, was introduced to integrated circuit manufacturing as early as the mid 1960s and more widely in the early 1970s, in an effort to reduce liquid waste disposal in manufacturing and achieve selectivities that were difficult to obtain with wet chemistry. Quickly, the ability to anisotropically etch silicon, aluminum, and silicon dioxide in plasmas became the breakthrough that allowed the features in integrated circuits to continue to shrink over the next 40 years. Some of this early history is reviewed, and a discussion of the evolution in plasma reactor design is included. Some basic principles related to plasma etching such as evaporation rates and Langmuir–Hinshelwood adsorption are introduced. Etching mechanisms of selected materials, silicon, silicon dioxide, and low dielectric-constant materials are discussed in detail. A detailed treatment is presented of applications in current silicon integrated circuit fabrication. Finally, some predictions are offered for future needs and advances in plasma etching for silicon and nonsilicon-based devices

  9. Diamond growth on Fe-Cr-Al alloy by H2-plasma enhanced graphite etching

    International Nuclear Information System (INIS)

    Li, Y. S.; Hirose, A.

    2007-01-01

    Without intermediate layer and surface pretreatment, adherent diamond films with high initial nucleation density have been deposited on Fe-15Cr-5Al (wt. %) alloy substrate. The deposition was performed using microwave hydrogen plasma enhanced graphite etching in a wide temperature range from 370 to 740 degree sign C. The high nucleation density and growth rate of diamond are primarily attributed to the unique precursors used (hydrogen plasma etched graphite) and the chemical nature of the substrate. The improvement in diamond adhesion to steel alloys is ascribed to the important role played by Al, mitigation of the catalytic function of iron by suppressing the preferential formation of loose graphite intermediate phase on steel surface

  10. Plasma atomic layer etching using conventional plasma equipment

    International Nuclear Information System (INIS)

    Agarwal, Ankur; Kushner, Mark J.

    2009-01-01

    The decrease in feature sizes in microelectronics fabrication will soon require plasma etching processes having atomic layer resolution. The basis of plasma atomic layer etching (PALE) is forming a layer of passivation that allows the underlying substrate material to be etched with lower activation energy than in the absence of the passivation. The subsequent removal of the passivation with carefully tailored activation energy then removes a single layer of the underlying material. If these goals are met, the process is self-limiting. A challenge of PALE is the high cost of specialized equipment and slow processing speed. In this work, results from a computational investigation of PALE will be discussed with the goal of demonstrating the potential of using conventional plasma etching equipment having acceptable processing speeds. Results will be discussed using inductively coupled and magnetically enhanced capacitively coupled plasmas in which nonsinusoidal waveforms are used to regulate ion energies to optimize the passivation and etch steps. This strategy may also enable the use of a single gas mixture, as opposed to changing gas mixtures between steps

  11. Plasma/Neutral-Beam Etching Apparatus

    Science.gov (United States)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  12. Plasma etching of patterned tungsten

    International Nuclear Information System (INIS)

    Franssila, S.

    1993-01-01

    Plasma etching of tungsten is discussed from the viewpoint of thin film structure and integrated circuit process engineering. The emphasis is on patterned tungsten etching for silicon device and X-ray mask fabrication. After introducing tungsten etch chemistries and mechanisms, microstructural aspects of tungsten films (crystal structure, grain size, film density, defects, impurities) in relation to etching are discussed. Approaches to etch process optimization are presented, and the current state-of-the-art of patterned tungsten etching is reviewed. (orig.)

  13. Reactive ion etching of tellurite and chalcogenide waveguides using hydrogen, methane, and argon

    International Nuclear Information System (INIS)

    Vu, K. T.; Madden, S. J.

    2011-01-01

    The authors report in detail on the reactive plasma etching properties of tellurium and demonstrate a high quality etching process using hydrogen, methane, and argon. Very low loss planar ridge waveguides are demonstrated. Optical losses in tellurium dioxide waveguides below 0.1 dB/cm in most of the near infrared region of the electromagnetic spectrum and at 1550 nm have been achieved--the lowest ever reported by more than an order of magnitude and clearly suitable for planar integrated devices. The etch process is also shown to be suitable for chalcogenide glasses which may be of importance in applications such as phase change memory devices and nonlinear integrated optics.

  14. Device fabrication by plasma etching

    International Nuclear Information System (INIS)

    Mogab, C.J.

    1980-01-01

    Plasma etching as applied to many of the materials encountered in the fabrication of LSI's is complicated by loading effect-the dependence of etch rate on the integrated surface area to be etched. This problem is alleviated by appropriate choice of etchant and etching conditions. Appropriate choice of system parameters, generally most concerned with the inherent lifetime of etchant species, may also result in improvement of etch rate uniformity on a wafer-by-wafer basis

  15. SU-8 etching in inductively coupled oxygen plasma

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted; Keller, Stephan Sylvest; Jensen, Flemming

    2013-01-01

    Structuring or removal of the epoxy based, photo sensitive polymer SU-8 by inductively coupled plasma reactive ion etching (ICP-RIE) was investigated as a function of plasma chemistry, bias power, temperature, and pressure. In a pure oxygen plasma, surface accumulation of antimony from the photo......-initiator introduced severe roughness and reduced etch rate significantly. Addition of SF6 to the plasma chemistry reduced the antimony surface concentration with lower roughness and higher etch rate as an outcome. Furthermore the etch anisotropy could be tuned by controlling the bias power. Etch rates up to 800 nm...

  16. Dry etching of ITO by magnetic pole enhanced inductively coupled plasma for display and biosensing devices

    Energy Technology Data Exchange (ETDEWEB)

    Meziani, T. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Vatican City State, Holy See,) (Italy)]. E-mail: tarik.meziani@jrc.it; Colpo, P. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)]. E-mail: pascal.colpo@jrc.it; Lambertini, V. [Centro Ricerche Fiat, Strada Torino 50, 10043 Orbassano (TO) (Italy); Ceccone, G. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy); Rossi, F. [European Commission, Joint Research Centre, Institute for Health and Consumer Protection, 21020 Ispra (Va) (Italy)

    2006-03-15

    The dry etching of indium tin oxide (ITO) layers deposited on glass substrates was investigated in a high density inductively coupled plasma (ICP) source. This innovative low pressure plasma source uses a magnetic core in order to concentrate the electromagnetic energy on the plasma and thus provides for higher plasma density and better uniformity. Different gas mixtures were tested containing mainly hydrogen, argon and methane. In Ar/H{sub 2} mixtures and at constant bias voltage (-100 V), the etch rate shows a linear dependence with input power varying the same way as the ion density, which confirms the hypothesis that the etching process is mainly physical. In CH{sub 4}/H{sub 2} mixtures, the etch rate goes through a maximum for 10% CH{sub 4} indicating a participation of the radicals to the etching process. However, the etch rate remains quite low with this type of gas mixture (around 10 nm/min) because the etching mechanism appears to be competing with a deposition process. With CH{sub 4}/Ar mixtures, a similar feature appeared but the etch rate was much higher, reaching 130 nm/min at 10% of CH{sub 4} in Ar. The increase in etch rate with the addition of a small quantity of methane indicates that the physical etching process is enhanced by a chemical mechanism. The etching process was monitored by optical emission spectroscopy that appeared to be a valuable tool for endpoint detection.

  17. High-Density Plasma-Induced Etch Damage of GaN

    International Nuclear Information System (INIS)

    Baca, A.G.; Han, J.; Lester, L.F.; Pearton, S.J.; Ren, F.; Shul, R.J.; Willison, C.G.; Zhang, L.; Zolper, J.C.

    1999-01-01

    Anisotropic, smooth etching of the group-III nitrides has been reported at relatively high rates in high-density plasma etch systems. However, such etch results are often obtained under high de-bias and/or high plasma flux conditions where plasma induced damage can be significant. Despite the fact that the group-III nitrides have higher bonding energies than more conventional III-V compounds, plasma-induced etch damage is still a concern. Attempts to minimize such damage by reducing the ion energy or increasing the chemical activity in the plasma often result in a loss of etch rate or anisotropy which significantly limits critical dimensions and reduces the utility of the process for device applications requiring vertical etch profiles. It is therefore necessary to develop plasma etch processes which couple anisotropy for critical dimension and sidewall profile control and high etch rates with low-damage for optimum device performance. In this study we report changes in sheet resistance and contact resistance for n- and p-type GaN samples exposed to an Ar inductively coupled plasma (ICP). In general, plasma-induced damage was more sensitive to ion bombardment energies as compared to plasma flux. In addition, p-GaN was typically more sensitive to plasma-induced damage as compared to n-GaN

  18. Plasma etching of niobium-SiO/sub x/ layers

    International Nuclear Information System (INIS)

    Schelle, D.; Tiller, H.J.

    1986-01-01

    CF 4 -plasma etching of niobium and SiO/sub x/ layers has been investigated in a r.f. diode reactor. Etch rates increase linearly with increasing power density and also increase with pressure. The etch rate ratio can be changed using different etch gases or operating in different plasma modes (PE or IEPE). Changing from the ion enhanced plasma etching mode (IEPE) to plasma etching mode (PE) the etch rate ratio is changing by a factor of ten. On the basis of etch rate dependences on process parametes and thermodynamic data it has been suggested the generation of fluorine radicals as the rate limiting step. A general etching model has been proposed, which explains qualitatively and quantitatively (on account of data from literature) the measured results. (author)

  19. Inductively coupled plasma etching of III-V antimonides in BCl3/SiCl4 etch chemistry

    International Nuclear Information System (INIS)

    Swaminathan, K.; Janardhanan, P.E.; Sulima, O.V.

    2008-01-01

    Inductively coupled plasma etching of GaSb using BCl 3 /SiCl 4 etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved (∼ 4 μm/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth ∼ 90 μm. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range

  20. Atomic hydrogen determination in medium-pressure microwave discharge hydrogen plasmas via emission actinometry

    International Nuclear Information System (INIS)

    Geng Zicai; Xu Yong; Yang Xuefeng; Wang Weiguo; Zhu Aimin

    2005-01-01

    Atomic hydrogen plays an important role in the chemical vapour deposition of functional materials, plasma etching and new approaches to the chemical synthesis of hydrogen-containing compounds. This work reports experimental determinations of atomic hydrogen in microwave discharge hydrogen plasmas formed from the TM 01 microwave mode in an ASTeX-type reactor, via optical emission spectroscopy using Ar as an actinometer. The relative intensities of the H atom Balmer lines and Ar-750.4 nm emissions as functions of input power and gas pressure have been investigated. At an input microwave power density of 13.5 W cm -3 , the approximate hydrogen dissociation fractions calculated from electron-impact excitation and quenching cross sections in the literature, decreased from ∼0.08 to ∼0.03 as the gas pressure was increased from 5 to 25 Torr. The influences of the above cross sections, and the electron and gas temperatures of the plasmas on the determination of the hydrogen dissociation fraction data have been discussed

  1. More vertical etch profile using a Faraday cage in plasma etching

    Science.gov (United States)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  2. Hydrogen effects in hydrofluorocarbon plasma etching of silicon nitride: Beam study with CF{sup +}, CF{sub 2}{sup +}, CHF{sub 2}{sup +}, and CH{sub 2}F{sup +} ions

    Energy Technology Data Exchange (ETDEWEB)

    Ito, Tomoko; Karahashi, Kazuhiro; Fukasawa, Masanaga; Tatsumi, Tetsuya; Hamaguchi, Satoshi [Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, SBG, CPDG, Sony Corporation, Atsugi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Osaka University, Osaka 565-0871 (Japan)

    2011-09-15

    Hydrogen in hydrofluorocarbon plasmas plays an important role in silicon nitride (Si{sub 3}N{sub 4}) reactive ion etching. This study focuses on the elementary reactions of energetic CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions with Si{sub 3}N{sub 4} surfaces. In the experiments, Si{sub 3}N{sub 4} surfaces were irradiated by monoenergetic (500-1500 eV) beams of CHF{sub 2}{sup +} and CH{sub 2}F{sup +} ions as well as hydrogen-free CF{sub 2}{sup +} and CF{sup +} ions generated by a mass-selected ion beam system and their etching yields and surface properties were examined. It has been found that, when etching takes place, the etching rates of Si{sub 3}N{sub 4} by hydrofluorocarbon ions, i.e., CHF{sub 2}{sup +} and CH{sub 2}F{sup +}, are higher than those by the corresponding fluorocarbon ions, i.e., CF{sub 2}{sup +} and CF{sup +}, respectively. When carbon film deposition takes place, it has been found that hydrogen of incident hydrofluorocarbon ions tends to scavenge fluorine of the deposited film, reducing its fluorine content.

  3. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    Energy Technology Data Exchange (ETDEWEB)

    Villalpando, I. [Centro de Investigacion de los Recursos Naturales, Antigua Normal Rural, Salaices, Lopez, Chihuahua (Mexico); John, P.; Wilson, J. I. B., E-mail: isaelav@hotmail.com [School of Engineering and Physical Sciences, Heriot-Watt University, Riccarton, Edinburgh, EH14-4AS (United Kingdom)

    2017-11-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  4. Growth of carbon fibres, sheets and tubes on diamond films under high power plasma etching conditions

    International Nuclear Information System (INIS)

    Villalpando, I.; John, P.; Wilson, J. I. B.

    2017-01-01

    The application of diamond as a plasma facing material for fusion reactors can be limited by unknown reactions between diamond and the chamber materials transported by the plasma. Transformation of diamond to other structures can cause problems such as contamination of the plasma with loose particles or retention of gases. We have seen that diamond thin films are eroded under hydrogen plasma etching, but if silicon is present the growth of various carbon structures on diamond films is observed. We have produced carbon with different morphologies on diamond films including fibres, sheets with flower-like shapes and tubes and proposed growth mechanisms based on the results of scanning electron microscopy, X-ray photoelectron spectroscopy and Raman spectroscopy. Sample surfaces contain silicon and are oxidised having COO and CO groups as seen by XP S analysis. Raman analyses revealed a spectrum typical for graphite combined with that from diamond that remains on the surface after hydrogen bombardment. The results of this sturdy show the experimental conditions in which carbon fibres, sheets and tubes are produced under high-power hydrogen etching of diamond films and open the possibility to other applications such as catalysts, sensors and the production of electrodes. (Author)

  5. Spent nuclear fuel recycling with plasma reduction and etching

    Science.gov (United States)

    Kim, Yong Ho

    2012-06-05

    A method of extracting uranium from spent nuclear fuel (SNF) particles is disclosed. Spent nuclear fuel (SNF) (containing oxides of uranium, oxides of fission products (FP) and oxides of transuranic (TRU) elements (including plutonium)) are subjected to a hydrogen plasma and a fluorine plasma. The hydrogen plasma reduces the uranium and plutonium oxides from their oxide state. The fluorine plasma etches the SNF metals to form UF6 and PuF4. During subjection of the SNF particles to the fluorine plasma, the temperature is maintained in the range of 1200-2000 deg K to: a) allow any PuF6 (gas) that is formed to decompose back to PuF4 (solid), and b) to maintain stability of the UF6. Uranium (in the form of gaseous UF6) is easily extracted and separated from the plutonium (in the form of solid PuF4). The use of plasmas instead of high temperature reactors or flames mitigates the high temperature corrosive atmosphere and the production of PuF6 (as a final product). Use of plasmas provide faster reaction rates, greater control over the individual electron and ion temperatures, and allow the use of CF4 or NF3 as the fluorine sources instead of F2 or HF.

  6. Plasma etching of electrospun polymeric nanofibres

    Energy Technology Data Exchange (ETDEWEB)

    Verdonck, Patrick [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil)]. E-mail: verdonck@imec.be; Braga Caliope, Priscila [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Moral Hernandez, Emilio del [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); Silva, Ana Neilde R. da [LSI-PSI-EPUSP, Av. Prof. Luciano Gualberto trav 3, 158, 05508-900 Sao Paulo, SP (Brazil); FATEC-SP, Pca Fernando Prestes, 30 Sao Paulo, SP (Brazil)

    2006-10-25

    Electrospun polymeric nanofibres have several applications because of their high surface area to volume and high length to diameter ratios. This paper investigates the influence of plasma etching on these fibres and the etching mechanisms. For the characterization, SEM analysis was performed to determine the forms and shapes of the fibres and SEM photos were analysed by the technique of mathematical morphology, in order to determine the area on the sample occupied by the fibres and the frequency distribution of the nanofibre diameters. The results showed that the oxygen plasma etches the nanofibres much faster when ion bombardment is present. The form of the fibres is not altered by the etching, indicating the possibility of transport of oxygen atoms over the fibre surface. The most frequent diameter, somewhat surprisingly, is not significantly dependent on the etching process, and remains of the order of 80 nm, indicating that fibres with smaller diameters are etched at high rates.

  7. Optical diagnostics for plasma etching

    NARCIS (Netherlands)

    Bisschops, T.H.J.; Kroesen, G.M.W.; Veldhuizen, van E.M.; de Zeeuw, C.J.H.; Timmermans, C.J.

    1985-01-01

    Several optical diagnostics were used to det. plasma properties and etch rates in an single wafer etch reactor. Results of UV-visible spectroscopy and IR absorption spectroscopy, indicating different mol. species and their densities are presented. The construction of an interferometer to det. the

  8. Pulsed high-density plasmas for advanced dry etching processes

    International Nuclear Information System (INIS)

    Banna, Samer; Agarwal, Ankur; Cunge, Gilles; Darnon, Maxime; Pargon, Erwine; Joubert, Olivier

    2012-01-01

    Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on

  9. Development of deep silicon plasma etching for 3D integration technology

    Directory of Open Access Journals (Sweden)

    Golishnikov А. А.

    2014-02-01

    Full Text Available Plasma etch process for thought-silicon via (TSV formation is one of the most important technological operations in the field of metal connections creation between stacked circuits in 3D assemble technology. TSV formation strongly depends on parameters such as Si-wafer thickness, aspect ratio, type of metallization material, etc. The authors investigate deep silicon plasma etch process for formation of TSV with controllable profile. The influence of process parameters on plasma etch rate, silicon etch selectivity to photoresist and the structure profile are researched in this paper. Technology with etch and passivation steps alternation was used as a method of deep silicon plasma etching. Experimental tool «Platrane-100» with high-density plasma reactor based on high-frequency ion source with transformer coupled plasma was used for deep silicon plasma etching. As actuation gases for deep silicon etching were chosen the following gases: SF6 was used for the etch stage and CHF3 was applied on the polymerization stage. As a result of research, the deep plasma etch process has been developed with the following parameters: silicon etch rate 6 µm/min, selectivity to photoresist 60 and structure profile 90±2°. This process provides formation of TSV 370 µm deep and about 120 µm in diameter.

  10. Inductively coupled plasma etching of III-V antimonides in BCl{sub 3}/SiCl{sub 4} etch chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Swaminathan, K. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)], E-mail: swaminak@ece.osu.edu; Janardhanan, P.E.; Sulima, O.V. [Department of Electrical and Computer Engineering, University of Delaware, Newark, Delaware 19716 (United States)

    2008-10-01

    Inductively coupled plasma etching of GaSb using BCl{sub 3}/SiCl{sub 4} etch chemistry has been investigated. The etch rates were studied as a function of bias power, inductively coupled plasma source power, plasma chemistry and chamber pressure. The etched surfaces remain smooth and stoichiometric over the entire range of plasma conditions investigated. The knowledge gained in etching GaSb was applied to etching AlGaAsSb and InGaAsSb in order to fabricate heterojunction phototransistors. As expected, InGaAsSb etch rate was much lower compared to the corresponding value for GaSb, mainly due to the relatively low volatility of indium chlorides. For a wide range of plasma conditions, the selectivity between GaSb and AlGaAsSb was close to unity, which is desirable for fabricating etched mirrors and gratings for Sb-based mid-infrared laser diodes. The surface roughness and the etch profile were examined for the etched GaSb, AlGaAsSb and InGaAsSb samples using scanning electron microscope. The high etch rates achieved ({approx} 4 {mu}m/min) facilitated deep etching of GaSb. A single layer, soft mask (AZ-4903 photoresist) was used to etch GaSb, with etch depth {approx} 90 {mu}m. The deep dry etching of GaSb has many important applications including etching substrate windows for backside-illuminated photodetectors for the mid-infrared wavelength range.

  11. Cyclic etching of tin-doped indium oxide using hydrogen-induced modified layer

    Science.gov (United States)

    Hirata, Akiko; Fukasawa, Masanaga; Nagahata, Kazunori; Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi; Tatsumi, Tetsuya

    2018-06-01

    The rate of etching of tin-doped indium oxide (ITO) and the effects of a hydrogen-induced modified layer on cyclic, multistep thin-layer etching were investigated. It was found that ITO cyclic etching is possible by precisely controlling the hydrogen-induced modified layer. Highly selective etching of ITO/SiO2 was also investigated, and it was suggested that cyclic etching by selective surface adsorption of Si can precisely control the etch rates of ITO and SiO2, resulting in an almost infinite selectivity for ITO over SiO2 and in improved profile controllability.

  12. Plasma etching of polymers like SU8 and BCB

    Science.gov (United States)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  13. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    Energy Technology Data Exchange (ETDEWEB)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi; Shigetoshi, Takushi; Fukasawa, Masanaga; Komachi, Jun; Ansai, Hisahiro [Device and Material Research Group, RDS Platform, Sony Corporation, 4-14-1 Asahi-cho, Atsugi, Kanagawa 243-0014 (Japan)

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness, etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side-wall etching

  14. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching(SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition,etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000?C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  15. Singular Sheet Etching of Graphene with Oxygen Plasma

    Institute of Scientific and Technical Information of China (English)

    Haider Al-Mumen; Fubo Rao; Wen Li; Lixin Dong

    2014-01-01

    This paper reports a simple and controllable post-synthesis method for engineering the number of graphene layers based on oxygen plasma etching. Singular sheet etching (SSE) of graphene was achieved with the optimum process duration of 38 seconds. As a demonstration of this SSE process, monolayer graphene films were produced from bilayer graphenes. Experimental investigations verified that the oxygen plasma etching removes a single layer graphene sheet in an anisotropic fashion rather than anisotropic mode. In addition, etching via the oxygen plasma at the ground electrodes introduced fewer defects to the bottom graphene layer compared with the conventional oxygen reactive ion etching using the powered electrodes. Such defects can further be reduced with an effective annealing treatment in an argon environment at 900-1000◦C. These results demonstrate that our developed SSE method has enabled a microelectronics manufacturing compatible way for single sheet precision subtraction of graphene layers and a potential technique for producing large size graphenes with high yield from multilayer graphite materials.

  16. Dry cleaning of fluorocarbon residues by low-power electron cyclotron resonance hydrogen plasma

    CERN Document Server

    Lim, S H; Yuh, H K; Yoon Eui Joon; Lee, S I

    1988-01-01

    A low-power ( 50 W) electron cyclotron resonance hydrogen plasma cleaning process was demonstrated for the removal of fluorocarbon residue layers formed by reactive ion etching of silicon dioxide. The absence of residue layers was confirmed by in-situ reflection high energy electron diffraction and cross-sectional high resolution transmission electron microscopy. The ECR hydrogen plasma cleaning was applied to contact cleaning of a contact string structure, resulting in comparable contact resistance arising during by a conventional contact cleaning procedure. Ion-assisted chemical reaction involving reactive atomic hydrogen species generated in the plasma is attributed for the removal of fluorocarbon residue layers.

  17. Separated Type Atmospheric Pressure Plasma Microjets Array for Maskless Microscale Etching

    Directory of Open Access Journals (Sweden)

    Yichuan Dai

    2017-06-01

    Full Text Available Maskless etching approaches such as microdischarges and atmospheric pressure plasma jets (APPJs have been studied recently. Nonetheless, a simple, long lifetime, and efficient maskless etching method is still a challenge. In this work, a separated type maskless etching system based on atmospheric pressure He/O2 plasma jet and microfabricated Micro Electro Mechanical Systems (MEMS nozzle have been developed with advantages of simple-structure, flexibility, and parallel processing capacity. The plasma was generated in the glass tube, forming the micron level plasma jet between the nozzle and the surface of polymer. The plasma microjet was capable of removing photoresist without masks since it contains oxygen reactive species verified by spectra measurement. The experimental results illustrated that different features of microholes etched by plasma microjet could be achieved by controlling the distance between the nozzle and the substrate, additive oxygen ratio, and etch time, the result of which is consistent with the analysis result of plasma spectra. In addition, a parallel etching process was also realized by plasma microjets array.

  18. Silicon etching of difluoromethane atmospheric pressure plasma jet combined with its spectroscopic analysis

    Science.gov (United States)

    Sung, Yu-Ching; Wei, Ta-Chin; Liu, You-Chia; Huang, Chun

    2018-06-01

    A capacitivly coupled radio-frequency double-pipe atmospheric-pressure plasma jet is used for etching. An argon carrier gas is supplied to the plasma discharge jet; and CH2F2 etch gas is inserted into the plasma discharge jet, near the silicon substrate. Silicon etchings rate can be efficiently-controlled by adjusting the feeding etching gas composition and plasma jet operating parameters. The features of silicon etched by the plasma discharge jet are discussed in order to spatially spreading plasma species. Electronic excitation temperature and electron density are detected by increasing plasma power. The etched silicon profile exhibited an anisotropic shape and the etching rate was maximum at the total gas flow rate of 4500 sccm and CH2F2 concentration of 11.1%. An etching rate of 17 µm/min was obtained at a plasma power of 100 W.

  19. Plasma etching a ceramic composite. [evaluating microstructure

    Science.gov (United States)

    Hull, David R.; Leonhardt, Todd A.; Sanders, William A.

    1992-01-01

    Plasma etching is found to be a superior metallographic technique for evaluating the microstructure of a ceramic matrix composite. The ceramic composite studied is composed of silicon carbide whiskers (SiC(sub W)) in a matrix of silicon nitride (Si3N4), glass, and pores. All four constituents are important in evaluating the microstructure of the composite. Conventionally prepared samples, both as-polished or polished and etched with molten salt, do not allow all four constituents to be observed in one specimen. As-polished specimens allow examination of the glass phase and porosity, while molten salt etching reveals the Si3N4 grain size by removing the glass phase. However, the latter obscures the porosity. Neither technique allows the SiC(sub W) to be distinguished from the Si3N4. Plasma etching with CF4 + 4 percent O2 selectively attacks the Si3N4 grains, leaving SiC(sub W) and glass in relief, while not disturbing the pores. An artifact of the plasma etching reaction is the deposition of a thin layer of carbon on Si3N4, allowing Si3N4 grains to be distinguished from SiC(sub W) by back scattered electron imaging.

  20. Plasma Etching of Tapered Features in Silicon for MEMS and Wafer Level Packaging Applications

    International Nuclear Information System (INIS)

    Ngo, H-D; Hiess, Andre; Seidemann, Volker; Studzinski, Daniel; Lange, Martin; Leib, Juergen; Shariff, Dzafir; Ashraf, Huma; Steel, Mike; Atabo, Lilian; Reast, Jon

    2006-01-01

    This paper is a brief report of plasma etching as applied to pattern transfer in silicon. It will focus more on concept overview and strategies for etching of tapered features of interest for MEMS and Wafer Level Packaging (WLP). The basis of plasma etching, the dry etching technique, is explained and plasma configurations are described elsewhere. An important feature of plasma etching is the possibility to achieve etch anisotropy. The plasma etch process is extremely sensitive to many variables such as mask material, mask openings and more important the plasma parameters

  1. Features of copper etching in chlorine-argon plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Svettsov, V.I.

    1995-01-01

    Chlorine mixtures with inert gases including argon exhibit promise as plasma feed gases for etching metals and semiconductors in the microelectronics industry. It was shown that even strong dilution of reactive gas with an inert gas (up to 80-90% of the latter) has virtually no effect in decreasing the rate of plasma etching of materials such as silicon and gallium arsenide, compared to etching in pure chlorine. The principal reactive species responsible for etching these substrates are chlorine atoms therefore, a possible explanation of the effect is an increase in the rate of bulk generation of chlorine atoms in the presence of argon. In this work the authors studied the influence of argon on the rate of copper etching in chlorine, because copper, unlike the above substrates, reacts effectively not only with the atoms but with the ground-state molecules of chlorine

  2. Dominant rate process of silicon surface etching by hydrogen chloride gas

    International Nuclear Information System (INIS)

    Habuka, Hitoshi; Suzuki, Takahiro; Yamamoto, Sunao; Nakamura, Akio; Takeuchi, Takashi; Aihara, Masahiko

    2005-01-01

    Silicon surface etching and its dominant rate process are studied using hydrogen chloride gas in a wide concentration range of 1-100% in ambient hydrogen at atmospheric pressure in a temperature range of 1023-1423 K, linked with the numerical calculation accounting for the transport phenomena and the surface chemical reaction in the entire reactor. The etch rate, the gaseous products and the surface morphology are experimentally evaluated. The dominant rate equation accounting for the first-order successive reactions at silicon surface by hydrogen chloride gas is shown to be valid. The activation energy of the dominant surface process is evaluated to be 1.5 x 10 5 J mol - 1 . The silicon deposition by the gaseous by-product, trichlorosilane, is shown to have a negligible influence on the silicon etch rate

  3. Shapes of agglomerates in plasma etching reactors

    International Nuclear Information System (INIS)

    Huang, F.Y.; Kushner, M.J.

    1997-01-01

    Dust particle contamination of wafers in reactive ion etching (RIE) plasma tools is a continuing concern in the microelectronics industry. It is common to find that particles collected on surfaces or downstream of the etch chamber are agglomerates of smaller monodisperse spherical particles. The shapes of the agglomerates vary from compact, high fractal dimension structures to filamentary, low fractal dimension structures. These shapes are important with respect to the transport of particles in RIE tools under the influence electrostatic and ion drag forces, and the possible generation of polarization forces. A molecular dynamics simulation has been developed to investigate the shapes of agglomerates in plasma etching reactors. We find that filamentary, low fractal dimension structures are generally produced by smaller (<100s nm) particles in low powered plasmas where the kinetic energy of primary particles is insufficient to overcome the larger Coulomb repulsion of a compact agglomerate. This is analogous to the diffusive regime in neutral agglomeration. Large particles in high powered plasmas generally produce compact agglomerates of high fractal dimension, analogous to ballistic agglomeration of neutrals. copyright 1997 American Institute of Physics

  4. Hydrogen production from nano-porous Si powder formed by stain etching

    Energy Technology Data Exchange (ETDEWEB)

    Litvinenko, S.; Alekseev, S.; Kuznetsov, G.; Skryshevsky, V. [Institute of High Technology of National Taras Shevchenko University of Kyiv, Volodymyrs' ka 64, Kyiv 01601 (Ukraine); Lysenko, V.; Barbier, D. [Lyon Institute of Nanotechnologies (INL), CNRS UMR-5270, University of Lyon, INSA de Lyon, 7 avenue Jean Capelle, Bat. Blaise Pascal, 69621 Villeurbanne Cedex (France); Venturello, A.; Geobaldo, F.; Garrone, E. [Politecnico di Torino, Department of Materials Science and Chemical Engineering, 10129 Torino (Italy); Gulina, L.; Tolstoy, V. [St-Petersburg State University, Chemical Department (Russian Federation)

    2010-07-15

    Hydrogen reservoirs based on porous silicon (PS) nanostructures are considered. Silicon-based hydrogen tanks are believed to be applicable for portable device energy supply and compatible with micro-sources of energy of new generation. Stain etching of silicon powder to produce PS is studied as a technology alternative to conventional electrochemical etching and application of the PS powder for hydrogen production is also described. Size selection of initial Si micro-particles constituting the powders was carried out by sedimentation technique. Hydrogen content in PS was investigated by FTIR spectroscopy. Extraction of hydrogen in water environment in presence of small amount of NH{sub 3} as catalyst was shown to have advantages such as safety and tunability, additional production of hydrogen from water dissociation, and a possibility to characterize PS as a hydrogen source material in terms of hydrogen effective shell and crystalline core conception. (author)

  5. Silicon surface damage caused by reactive ion etching in fluorocarbon gas mixtures containing hydrogen

    International Nuclear Information System (INIS)

    Norstroem, H.; Blom, H.; Ostling, M.; Nylandsted Larsen, A.; Keinonen, J.; Berg, S.

    1991-01-01

    For selective etching of SiO 2 on silicon, gases or gas mixtures containing hydrogen are often used. Hydrogen from the glow discharge promotes the formation of a thin film polymer layer responsible for the selectivity of the etching process. The reactive ion etch (RIE) process is known to create damage in the silicon substrate. The influence of hydrogen on the damage and deactivation of dopants is investigated in the present work. The distribution of hydrogen in silicon, after different etching and annealing conditions have been studied. The influence of the RIE process on the charge carrier concentration in silicon has been investigated. Various analytical techniques like contact resistivity measurements, four point probe measurements, and Hall measurements have been used to determine the influence of the RIE process on the electrical properties of processed silicon wafers. The hydrogen profile in as-etched and post annealed wafers was determined by the 1 H( 15 N,αγ) 12 C nuclear reaction. The depth of the deactivated surface layer is discussed in terms of the impinging hydrogen ion energy, i.e., the possibility of H + ions to pick up an energy equal to the peak-to-peak voltage of the rf signal

  6. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Novak, Spencer; Richardson, Kathleen [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Materials Science and Engineering, COMSET, Clemson University, Clemson, South Carolina 29634 (United States); Fathpour, Sasan, E-mail: fathpour@creol.ucf.edu [CREOL, The College of Optics and Photonics, University of Central Florida, Orlando, Florida 32816 (United States); Department of Electrical Engineering and Computer Science, University of Central Florida, Orlando, Florida 32816 (United States)

    2015-03-16

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  7. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    International Nuclear Information System (INIS)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh; Novak, Spencer; Richardson, Kathleen; Fathpour, Sasan

    2015-01-01

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes

  8. On-site SiH4 generator using hydrogen plasma generated in slit-type narrow gap

    Science.gov (United States)

    Takei, Norihisa; Shinoda, Fumiya; Kakiuchi, Hiroaki; Yasutake, Kiyoshi; Ohmi, Hiromasa

    2018-06-01

    We have been developing an on-site silane (SiH4) generator based on use of the chemical etching reaction between solid silicon (Si) and the high-density H atoms that are generated in high-pressure H2 plasma. In this study, we have developed a slit-type plasma source for high-efficiency SiH4 generation. High-density H2 plasma was generated in a narrow slit-type discharge gap using a 2.45 GHz microwave power supply. The plasma’s optical emission intensity distribution along the slit was measured and the resulting distribution was reflected by both the electric power distribution and the hydrogen gas flow. Because the Si etching rate strongly affects the SiH4 generation rate, the Si etching behavior was investigated with respect to variations in the experimental parameters. The weight etch rate increased monotonically with increasing input microwave power. However, the weight etch rate decreased with increasing H2 pressure and an increasing plasma gap. This reduction in the etch rate appears to be related to shrinkage of the plasma generation area because increased input power is required to maintain a constant plasma area with increasing H2 pressure and the increasing plasma gap. Additionally, the weight etch rate also increases with increasing H2 flow rate. The SiH4 generation rate of the slit-type plasma source was also evaluated using gas-phase Fourier transform infrared absorption spectroscopy and the material utilization efficiencies of both Si and the H2 gas for SiH4 gas formation were discussed. The main etch product was determined to be SiH4 and the developed plasma source achieved a SiH4 generation rate of 10 sccm (standard cubic centimeters per minute) at an input power of 900 W. In addition, the Si utilization efficiency exceeded 60%.

  9. Modeling of the angular dependence of plasma etching

    International Nuclear Information System (INIS)

    Guo Wei; Sawin, Herbert H.

    2009-01-01

    An understanding of the angular dependence of etching yield is essential to investigate the origins of sidewall roughness during plasma etching. In this article the angular dependence of polysilicon etching in Cl 2 plasma was modeled as a combination of individual angular-dependent etching yields for ion-initiated processes including physical sputtering, ion-induced etching, vacancy generation, and removal. The modeled etching yield exhibited a maximum at ∼60 degree sign off-normal ion angle at low flux ratio, indicative of physical sputtering. It transformed to the angular dependence of ion-induced etching with the increase in the neutral-to-ion flux ratio. Good agreement between the modeling and the experiments was achieved for various flux ratios and ion energies. The variation of etching yield in response to the ion angle was incorporated in the three-dimensional profile simulation and qualitative agreement was obtained. The surface composition was calculated and compared to x-ray photoelectron spectroscopy (XPS) analysis. The modeling indicated a Cl areal density of 3x10 15 atoms/cm 2 on the surface that is close to the value determined by the XPS analysis. The response of Cl fraction to ion energy and flux ratio was modeled and correlated with the etching yields. The complete mixing-layer kinetics model with the angular dependence effect will be used for quantitative surface roughening analysis using a profile simulator in future work.

  10. Inductively Coupled Plasma-Induced Etch Damage of GaN p-n Junctions

    International Nuclear Information System (INIS)

    SHUL, RANDY J.; ZHANG, LEI; BACA, ALBERT G.; WILLISON, CHRISTI LEE; HAN, JUNG; PEARTON, S.J.; REN, F.

    1999-01-01

    Plasma-induced etch damage can degrade the electrical and optical performance of III-V nitride electronic and photonic devices. We have investigated the etch-induced damage of an Inductively Coupled Plasma (ICP) etch system on the electrical performance of mesa-isolated GaN pn-junction diodes. GaN p-i-n mesa diodes were formed by Cl 2 /BCl 3 /Ar ICP etching under different plasma conditions. The reverse leakage current in the mesa diodes showed a strong relationship to chamber pressure, ion energy, and plasma flux. Plasma induced damage was minimized at moderate flux conditions (≤ 500 W), pressures ≥2 mTorr, and at ion energies below approximately -275 V

  11. Wafer scale oblique angle plasma etching

    Science.gov (United States)

    Burckel, David Bruce; Jarecki, Jr., Robert L.; Finnegan, Patrick Sean

    2017-05-23

    Wafer scale oblique angle etching of a semiconductor substrate is performed in a conventional plasma etch chamber by using a fixture that supports a multiple number of separate Faraday cages. Each cage is formed to include an angled grid surface and is positioned such that it will be positioned over a separate one of the die locations on the wafer surface when the fixture is placed over the wafer. The presence of the Faraday cages influences the local electric field surrounding each wafer die, re-shaping the local field to be disposed in alignment with the angled grid surface. The re-shaped plasma causes the reactive ions to follow a linear trajectory through the plasma sheath and angled grid surface, ultimately impinging the wafer surface at an angle. The selected geometry of the Faraday cage angled grid surface thus determines the angle at with the reactive ions will impinge the wafer.

  12. Etching properties of BLT films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    CF 4 /Ar plasma mass content and etching rate behavior of BLT thin films were investigated in inductively coupled plasma (ICP) reactor as functions of CF 4 /Ar gas mixing ratio, rf power, and dc bias voltage. The variation of relative volume densities for F and Ar atoms were measured by the optical emission spectroscopy (OES). The etching rate as functions of Ar content showed the maximum of 803 A/min at 80 % Ar addition into CF 4 plasma. The presence of maximum etch rate may be explained by the concurrence of two etching mechanisms such as physical sputtering and chemical reaction. The role of Ar ion bombardment includes destruction of metal (Bi, La, Ti)-O bonds as well as support of chemical reaction of metals with fluorine atoms

  13. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    Science.gov (United States)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  14. Etching and oxidation of InAs in planar inductively coupled plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dultsev, F.N., E-mail: fdultsev@thermo.isp.nsc.ru [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation); Kesler, V.G. [Institute of Semiconductor Physics SB RAS, Lavrentiev av. 13, Novosibirsk 630090 (Russian Federation)

    2009-10-15

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH{sub 4}/H{sub 2}/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  15. Etching and oxidation of InAs in planar inductively coupled plasma

    Science.gov (United States)

    Dultsev, F. N.; Kesler, V. G.

    2009-10-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4/H 2/Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  16. Etching and oxidation of InAs in planar inductively coupled plasma

    International Nuclear Information System (INIS)

    Dultsev, F.N.; Kesler, V.G.

    2009-01-01

    The surface of InAs (1 1 1)A was investigated under plasmachemical etching in the gas mixture CH 4 /H 2 /Ar. Etching was performed using the RF (13.56 MHz) and ICP plasma with the power 30-150 and 50-300 W, respectively; gas pressure in the reactor was 3-10 mTorr. It was demonstrated that the composition of the subsurface layer less than 5 nm thick changes during plasmachemical etching. A method of deep etching of InAs involving ICP plasma and hydrocarbon based chemistry providing the conservation of the surface relief is proposed. Optimal conditions and the composition of the gas phase for plasmachemical etching ensuring acceptable etch rates were selected.

  17. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    Science.gov (United States)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  18. Influence of redeposition on the plasma etching dynamics

    International Nuclear Information System (INIS)

    Stafford, L.; Margot, J.; Delprat, S.; Chaker, M.; Pearton, S. J.

    2007-01-01

    This work reports on measurements of the degree of redeposition of sputtered species during the etching of platinum (Pt), barium-strontium-titanate (BST), strontium-bismuth-tantalate (SBT), and photoresist (PR) in a high-density argon plasma. While PR exhibits a redeposition-free behavior, the degree of redeposition of Pt, BST, and SBT species increases from 10% to 95% as the argon pressure increases from 0.5 to 10 mTorr. These results are in good agreement with the predictions of a simple model accounting for the backscattering of sputtered species following their interaction with the gas phase. Based on these results and using other experimental data reported in the literature, it is further demonstrated that, depending on the plasma etching conditions, redeposition effects can induce misinterpretation of the etch rate data

  19. Correlation of III/V semiconductor etch results with physical parameters of high-density reactive plasmas excited by electron cyclotron resonance

    Science.gov (United States)

    Gerhard, FRANZ; Ralf, MEYER; Markus-Christian, AMANN

    2017-12-01

    Reactive ion etching is the interaction of reactive plasmas with surfaces. To obtain a detailed understanding of this process, significant properties of reactive composite low-pressure plasmas driven by electron cyclotron resonance (ECR) were investigated and compared with the radial uniformity of the etch rate. The determination of the electronic properties of chlorine- and hydrogen-containing plasmas enabled the understanding of the pressure-dependent behavior of the plasma density and provided better insights into the electronic parameters of reactive etch gases. From the electrical evaluation of I(V) characteristics obtained using a Langmuir probe, plasmas of different compositions were investigated. The standard method of Druyvesteyn to derive the electron energy distribution functions by the second derivative of the I(V) characteristics was replaced by a mathematical model which has been evolved to be more robust against noise, mainly, because the first derivative of the I(V) characteristics is used. Special attention was given to the power of the energy dependence in the exponent. In particular, for plasmas that are generated by ECR with EM modes, the existence of Maxwellian distribution functions is not to be taken as a self-evident fact, but the bi-Maxwellian distribution was proven for Ar- and Kr-stabilized plasmas. In addition to the electron temperature, the global uniform discharge model has been shown to be useful for calculating the neutral gas temperature. To what extent the invasive method of using a Langmuir probe could be replaced with the non-invasive optical method of emission spectroscopy, particularly actinometry, was investigated, and the resulting data exhibited the same relative behavior as the Langmuir data. The correlation with etchrate data reveals the large chemical part of the removal process—most striking when the data is compared with etching in pure argon. Although the relative amount of the radial variation of plasma density and

  20. Effects of gas-flow structures on radical and etch-product density distributions on wafers in magnetomicrowave plasma etching reactors

    International Nuclear Information System (INIS)

    Ikegawa, Masato; Kobayashi, Jun'ichi; Fukuyama, Ryoji

    2001-01-01

    To achieve high etch rate, uniformity, good selectivity, and etch profile control across large diameter wafers, the distributions of ions, radicals, and etch products in magnetomicrowave high-etch-rate plasma etching reactors must be accurately controlled. In this work the effects of chamber heights, a focus ring around the wafer, and gas supply structures (or gas flow structures) on the radicals and etch products flux distribution onto the wafer were examined using the direct simulation Monte Carlo method and used to determine the optimal reactor geometry. The pressure uniformity on the wafer was less than ±1% when the chamber height was taller than 60 mm. The focus ring around the wafer produced uniform radical and etch-product fluxes but increased the etch-product flux on the wafer. A downward-flow gas-supply structure (type II) produced a more uniform radical distribution than that produced by a radial gas-supply structure (type I). The impact flow of the type II structure removed etch products from the wafer effectively and produced a uniform etch-product distribution even without the focus ring. Thus the downward-flow gas-supply structure (type II) was adopted in the design for the second-generation of a magnetomicrowave plasma etching reactor with a higher etching rate

  1. Etching effects of low temperature hydrogen plasma on encapsulated diamond transistors

    Czech Academy of Sciences Publication Activity Database

    Krátká, Marie; Neykova, Neda; Kromka, Alexander; Rezek, Bohuslav

    2012-01-01

    Roč. 53, č. 2 (2012), s. 97-103 ISSN 0001-7140 R&D Projects: GA ČR GD202/09/H041; GA ČR(CZ) GBP108/12/G108; GA ČR GAP108/12/0996 Institutional research plan: CEZ:AV0Z10100521 Keywords : encapsulated diamond transistors * hydrogen plasma Subject RIV: BM - Solid Matter Physics ; Magnetism

  2. Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2.

    Science.gov (United States)

    Gasvoda, Ryan J; van de Steeg, Alex W; Bhowmick, Ranadeep; Hudson, Eric A; Agarwal, Sumit

    2017-09-13

    Surface phenomena during atomic layer etching (ALE) of SiO 2 were studied during sequential half-cycles of plasma-assisted fluorocarbon (CF x ) film deposition and Ar plasma activation of the CF x film using in situ surface infrared spectroscopy and ellipsometry. Infrared spectra of the surface after the CF x deposition half-cycle from a C 4 F 8 /Ar plasma show that an atomically thin mixing layer is formed between the deposited CF x layer and the underlying SiO 2 film. Etching during the Ar plasma cycle is activated by Ar + bombardment of the CF x layer, which results in the simultaneous removal of surface CF x and the underlying SiO 2 film. The interfacial mixing layer in ALE is atomically thin due to the low ion energy during CF x deposition, which combined with an ultrathin CF x layer ensures an etch rate of a few monolayers per cycle. In situ ellipsometry shows that for a ∼4 Å thick CF x film, ∼3-4 Å of SiO 2 was etched per cycle. However, during the Ar plasma half-cycle, etching proceeds beyond complete removal of the surface CF x layer as F-containing radicals are slowly released into the plasma from the reactor walls. Buildup of CF x on reactor walls leads to a gradual increase in the etch per cycle.

  3. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    International Nuclear Information System (INIS)

    Marciano, F.R.; Bonetti, L.F.; Pessoa, R.S.; Massi, M.; Santos, L.V.; Trava-Airoldi, V.J.

    2009-01-01

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  4. Oxygen plasma etching of silver-incorporated diamond-like carbon films

    Energy Technology Data Exchange (ETDEWEB)

    Marciano, F.R., E-mail: fernanda@las.inpe.b [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil); Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Bonetti, L.F. [Clorovale Diamantes Industria e Comercio Ltda, Estr. do Torrao de Ouro, 500-Sao Jose dos Campos, 12229-390, SP (Brazil); Pessoa, R.S.; Massi, M. [Instituto Tecnologico de Aeronautica (ITA), Centro Tecnico Aeroespacial (CTA), Pca. Marechal Eduardo Gomes, 50-Sao Jose dos Campos, 12228-900, SP (Brazil); Santos, L.V.; Trava-Airoldi, V.J. [Instituto Nacional de Pesquisas Espaciais (INPE), Laboratorio Associado de Sensores e Materiais (LAS), Av. dos Astronautas 1758, Sao Jose dos Campos, 12227-010, SP (Brazil)

    2009-08-03

    Diamond-like carbon (DLC) film as a solid lubricant coating represents an important area of investigation related to space devices. The environment for such devices involves high vacuum and high concentration of atomic oxygen. The purpose of this paper is to study the behavior of silver-incorporated DLC thin films against oxygen plasma etching. Silver nanoparticles were produced through an electrochemical process and incorporated into DLC bulk during the deposition process using plasma enhanced chemical vapor deposition technique. The presence of silver does not affect significantly DLC quality and reduces by more than 50% the oxygen plasma etching. Our results demonstrated that silver nanoparticles protect DLC films against etching process, which may increase their lifetime in low earth orbit environment.

  5. Reactive-ion etching of nylon fabric meshes using oxygen plasma for creating surface nanostructures

    International Nuclear Information System (INIS)

    Salapare, Hernando S.; Darmanin, Thierry; Guittard, Frédéric

    2015-01-01

    Graphical abstract: - Highlights: • Reactive-ion etching (RIE) is employed to nylon 6,6 fabrics to achieve surface texturing and improved wettability. • FTIR spectra of the treated samples exhibited decreased transmittance of amide and carboxylic acid groups due to etching. • Etching is enhanced for higher power plasma treatments and for samples with larger mesh sizes. • Decreased crystallinity was achieved after plasma treatment. • Higher power induced higher negative DC self-bias voltage on the samples that favored anisotropic and aggressive etching. - Abstract: A facile one-step oxygen plasma irradiation in reactive ion etching (RIE) configuration is employed to nylon 6,6 fabrics with different mesh sizes to achieve surface nanostructures and improved wettability for textile and filtration applications. To observe the effects of power and irradiation time on the samples, the experiments were performed using constant irradiation time in varying power and using constant power in varying irradiation times. Results showed improved wettability after the plasma treatment. The FTIR spectra of all the treated samples exhibited decreased transmittance of the amide and carboxylic acid groups due to surface etching. The changes in the surface chemistry are supported by the SEM data wherein etching and surface nanostructures were observed for the plasma-treated samples. The etching of the surfaces is enhanced for higher power plasma treatments. The thermal analysis showed that the plasma treatment resulted in decreased crystallinity. Surface chemistry showed that the effects of the plasma treatment on the samples have no significant difference for all the mesh sizes. However, surface morphology showed that the sizes of the surface cracks are the same for all the mesh sizes but samples with larger mesh sizes exhibited enhanced etching as compared to the samples with smaller mesh sizes. Higher power induced higher negative DC self-bias voltage on the samples that

  6. Surface Passivation of CdZnTe Detector by Hydrogen Peroxide Solution Etching

    Science.gov (United States)

    Hayes, M.; Chen, H.; Chattopadhyay, K.; Burger, A.; James, R. B.

    1998-01-01

    The spectral resolution of room temperature nuclear radiation detectors such as CdZnTe is usually limited by the presence of conducting surface species that increase the surface leakage current. Studies have shown that the leakage current can be reduced by proper surface preparation. In this study, we try to optimize the performance of CdZnTe detector by etching the detector with hydrogen peroxide solution as function of concentration and etching time. The passivation effect that hydrogen peroxide introduces have been investigated by current-voltage (I-V) measurement on both parallel strips and metal-semiconductor-metal configurations. The improvements on the spectral response of Fe-55 and 241Am due to hydrogen peroxide treatment are presented and discussed.

  7. 3-Dimensional Modeling of Capacitively and Inductively Coupled Plasma Etching Systems

    Science.gov (United States)

    Rauf, Shahid

    2008-10-01

    Low temperature plasmas are widely used for thin film etching during micro and nano-electronic device fabrication. Fluid and hybrid plasma models were developed 15-20 years ago to understand the fundamentals of these plasmas and plasma etching. These models have significantly evolved since then, and are now a major tool used for new plasma hardware design and problem resolution. Plasma etching is a complex physical phenomenon, where inter-coupled plasma, electromagnetic, fluid dynamics, and thermal effects all have a major influence. The next frontier in the evolution of fluid-based plasma models is where these models are able to self-consistently treat the inter-coupling of plasma physics with fluid dynamics, electromagnetics, heat transfer and magnetostatics. We describe one such model in this paper and illustrate its use in solving engineering problems of interest for next generation plasma etcher design. Our 3-dimensional plasma model includes the full set of Maxwell equations, transport equations for all charged and neutral species in the plasma, the Navier-Stokes equation for fluid flow, and Kirchhoff's equations for the lumped external circuit. This model also includes Monte Carlo based kinetic models for secondary electrons and stochastic heating, and can take account of plasma chemistry. This modeling formalism allows us to self-consistently treat the dynamics in commercial inductively and capacitively coupled plasma etching reactors with realistic plasma chemistries, magnetic fields, and reactor geometries. We are also able to investigate the influence of the distributed electromagnetic circuit at very high frequencies (VHF) on the plasma dynamics. The model is used to assess the impact of azimuthal asymmetries in plasma reactor design (e.g., off-center pump, 3D magnetic field, slit valve, flow restrictor) on plasma characteristics at frequencies from 2 -- 180 MHz. With Jason Kenney, Ankur Agarwal, Ajit Balakrishna, Kallol Bera, and Ken Collins.

  8. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF4/Ar plasma

    International Nuclear Information System (INIS)

    Joo, Young-Hee; Kim, Chang-Il

    2015-01-01

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF 4 /Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF 4 /Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF 4 /Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF 4 /Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar + sputtering and then reacted with the C-F x radicals. • The physical sputtering is dominant in etch control compared with chemical etching

  9. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    International Nuclear Information System (INIS)

    Upadhyay, J.; Im, Do; Popović, S.; Vušković, L.; Valente-Feliciano, A.-M.; Phillips, L.

    2015-01-01

    The understanding of the Ar/Cl 2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl 2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. To understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate

  10. Micro-texturing into DLC/diamond coated molds and dies via high density oxygen plasma etching

    Directory of Open Access Journals (Sweden)

    Yunata Ersyzario Edo

    2015-01-01

    Full Text Available Diamond-Like Carbon (DLC and Chemical Vapor Deposition (CVD-diamond films have been widely utilized not only as a hard protective coating for molds and dies but also as a functional substrate for bio-MEMS/NEMS. Micro-texturing into these hard coated molds and dies provides a productive tool to duplicate the original mother micro-patterns onto various work materials and to construct any tailored micro-textures for sensors and actuators. In the present paper, the high density oxygen plasma etching method is utilized to make micro-line and micro-groove patterns onto the DLC and diamond coatings. Our developing oxygen plasma etching system is introduced together with characterization on the plasma state during etching. In this quantitative plasma diagnosis, both the population of activated species and the electron and ion densities are identified through the emissive light spectroscopy and the Langmuir probe method. In addition, the on-line monitoring of the plasmas helps to describe the etching process. DLC coated WC (Co specimen is first employed to describe the etching mechanism by the present method. Chemical Vapor Deposition (CVD diamond coated WC (Co is also employed to demonstrate the reliable capacity of the present high density oxygen plasma etching. This oxygen plasma etching performance is discussed by comparison of the etching rates.

  11. Etching of uranium dioxide in nitrogen trifluoride RF plasma glow discharge

    Science.gov (United States)

    Veilleux, John Mark

    1999-10-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO2 from stainless steel substrates. Experiments were conducted using NF3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Results demonstrated that UO2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 mum/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO2 etching was also noted below 50 W in which etching increased up to a maximum pressure, ˜23 Pa, then decreased with further increases in pressure. A computer simulation, CHEMKIN, was applied to predict the NF3 plasma species in the experiments. The code was validated first by comparing its predictions of the NF3 plasma species with mass spectroscopy etching experiments of silicon. The code predictions were within +/-5% of the measured species concentrations. The F atom radicals were identified as the primary etchant species, diffusing from the bulk plasma to the UO2 surface and reacting to form a volatile UF6, which desorbed into the gas phase to be pumped away. Ions created in the plasma were too low in concentration to have a major effect on etching, but can enhance the etch rate by removing non-volatile reaction products blocking the reaction of F with UO2. The composition of these non-volatile products were determined based on thermodynamic analysis and the electronic structure of uranium. Analysis identified possible non-volatile products as the uranium fluorides, UF2-5, and certain uranium oxyfluorides UO2F, UO2F2, UOF3, and UOF 4 which form over the

  12. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  13. Method of plasma etching Ga-based compound semiconductors

    Science.gov (United States)

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  14. Hydrogenated amorphous silicon photoresists for HgCdTe patterning

    Energy Technology Data Exchange (ETDEWEB)

    Hollingsworth, R.E.; DeHart, C.; Wang, L.; Dinan, J.H.; Johnson, J.N.

    1997-07-01

    A process to use a hydrogenated amorphous silicon (a-Si:H) film as a dry photoresist mask for plasma etching of HgCdTe has been demonstrated. The a-Si:H films were deposited using standard plasma enhanced chemical vapor deposition with pure silane as the source gas. X-ray photoelectron spectra show that virtually no oxide grows on the surface of an a-Si:H film after 3 hours in air, indicating that it is hydrogen passivated. Ultraviolet light frees hydrogen from the surface and enhances the oxide growth rate. A pattern of 60 micron square pixels was transferred from a contact mask to the surface of an a-Si:H film by ultraviolet enhanced oxidation in air. For the conditions used, the oxide thickness was 0.5--1.0 nm. Hydrogen plasmas were used to develop this pattern by removing the unexposed regions of the film. A hydrogen plasma etch selectivity between oxide and a-Si:H of greater than 500:1 allows patterns as thick as 700 nm to be generated with this very thin oxide. These patterns were transferred into HgCdTe by etching in an electron cyclotron resonance plasma. An etch selectivity between a-Si:H and HgCdTe of greater than 4:1 was observed after etching 2,500 nm into the HgCdTe. All of the steps are compatible with processing in vacuum.

  15. High-density plasma etching characteristics of indium-gallium-zinc oxide thin films in CF{sub 4}/Ar plasma

    Energy Technology Data Exchange (ETDEWEB)

    Joo, Young-Hee; Kim, Chang-Il

    2015-05-29

    We investigated the etching process of indium-gallium-zinc oxide (IGZO) thin films in an inductively coupled plasma system. The dry etching characteristics of the IGZO thin films were studied by varying the CF{sub 4}/Ar gas mixing ratio, RF power, DC-bias voltage, and process pressure. We determined the following optimized process conditions: an RF power of 700 W, a DC-bias voltage of − 150 V, and a process pressure of 2 Pa. A maximum etch rate of 25.63 nm/min for the IGZO thin films was achieved in a plasma with CF{sub 4}/Ar(= 25:75), and the selectivity of IGZO to Al and TiN was found to be 1.3 and 0.7, respectively. We determined the ionic composition of the CF{sub 4}/Ar plasma using optical emission spectroscopy. Analysis of chemical reactions at the IGZO thin film surfaces was performed using X-ray photoelectron spectroscopy. - Highlights: • IGZO thin film was etched by CF{sub 4}/Ar plasma as a function of gas mixing ratio. • IGZO bonds were broken Ar{sup +} sputtering and then reacted with the C-F{sub x} radicals. • The physical sputtering is dominant in etch control compared with chemical etching.

  16. Pulsed Plasma with Synchronous Boundary Voltage for Rapid Atomic Layer Etching

    Energy Technology Data Exchange (ETDEWEB)

    Economou, Demetre J.; Donnelly, Vincent M.

    2014-05-13

    Atomic Layer ETching (ALET) of a solid with monolayer precision is a critical requirement for advancing nanoscience and nanotechnology. Current plasma etching techniques do not have the level of control or damage-free nature that is needed for patterning delicate sub-20 nm structures. In addition, conventional ALET, based on pulsed gases with long reactant adsorption and purging steps, is very slow. In this work, novel pulsed plasma methods with synchronous substrate and/or “boundary electrode” bias were developed for highly selective, rapid ALET. Pulsed plasma and tailored bias voltage waveforms provided controlled ion energy and narrow energy spread, which are critical for highly selective and damage-free etching. The broad goal of the project was to investigate the plasma science and engineering that will lead to rapid ALET with monolayer precision. A combined experimental-simulation study was employed to achieve this goal.

  17. Nano-structuring of PTFE surface by plasma treatment, etching, and sputtering with gold

    International Nuclear Information System (INIS)

    Reznickova, Alena; Kolska, Zdenka; Hnatowicz, Vladimir; Svorcik, Vaclav

    2011-01-01

    Properties of pristine, plasma modified, and etched (by water and methanol) polytetrafluoroethylene (PTFE) were studied. Gold nanolayers sputtered on this modified PTFE have been also investigated. Contact angle, measured by goniometry, was studied as a function of plasma exposure and post-exposure aging times. Degradation of polymer chains was examined by etching of plasma modified PTFE in water or methanol. The amount of ablated and etched layer was measured by gravimetry. In the next step the pristine, plasma modified, and etched PTFE was sputtered with gold. Changes in surface morphology were observed using atomic force microscopy. Chemical structure of modified polymers was characterized by X-ray photoelectron spectroscopy (XPS). Surface chemistry of the samples was investigated by electrokinetic analysis. Sheet resistance of the gold layers was measured by two-point technique. The contact angle of the plasma modified PTFE decreases with increasing exposure time. The PTFE amount, ablated by the plasma treatment, increases with the plasma exposure time. XPS measurements proved that during the plasma treatment the PTFE macromolecular chains are degraded and oxidized and new –C–O–C–, –C=O, and –O–C=O groups are created in modified surface layer. Surface of the plasma modified PTFE is weakly soluble in methanol and intensively soluble in water. Zeta potential and XPS shown dramatic changes in PTFE surface chemistry after the plasma exposure, water etching, and gold deposition. When continuous gold layer is formed a rapid decrease of the sheet resistance of the gold layer is observed.

  18. High-throughput anisotropic plasma etching of polyimide for MEMS

    International Nuclear Information System (INIS)

    Bliznetsov, Vladimir; Manickam, Anbumalar; Ranganathan, Nagarajan; Chen, Junwei

    2011-01-01

    This note describes a new high-throughput process of polyimide etching for the fabrication of MEMS devices with an organic sacrificial layer approach. Using dual frequency superimposed capacitively coupled plasma we achieved a vertical profile of polyimide with an etching rate as high as 3.5 µm min −1 . After the fabrication of vertical structures in a polyimide material, additional steps were performed to fabricate structural elements of MEMS by deposition of a SiO 2 layer and performing release etching of polyimide. (technical note)

  19. High rate dry etching of InGaZnO by BCl3/O2 plasma

    Science.gov (United States)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  20. Improvement of Plating Characteristics Between Nickel and PEEK by Plasma Treatment and Chemical Etching

    International Nuclear Information System (INIS)

    Lee, Hye W.; Lee, Jong K.; Park, Ki Y.

    2009-01-01

    Surface of PEEK(poly-ether-ether-ketone) was modified by chemical etching, plasma treatment and mechanical grinding to improve the plating adhesion. The plating characteristics of these samples were studied by the contact angle, plating thickness, gloss and adhesion. Chemical etching and plasma treatment increased wettability, adhesion and gloss. The contact angle of as-received PEEK was 61 .deg. . The contact angles of chemical etched, plasma treated or both were improved to the range of 15∼33 .deg. . In the case of electroless plating, the thickest layer without blister was 1.6 μm. The adhesion strengths by chemical etching, plasma treatment or both chemical etching and plasma treatment were 75 kgf/cm 2 , 102 kgf/cm 2 , 113 kgf/cm 2 , respectively, comparing to the 24 kgf/cm 2 of as-received. In the case of mechanically ground PEEKs, the adhesion strengths were higher than those unground, with the sacrifice of surface gloss. The gloss of untreated PEEK were greater than mechanically ground PEEKs. Plating thickness increased linearly with the plating times

  1. Optimum inductively coupled plasma etching of fused silica to remove subsurface damage layer

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaolong; Liu, Ying, E-mail: liuychch@ustc.edu.cn; Liu, Zhengkun; Qiu, Keqiang; Xu, Xiangdong; Hong, Yilin; Fu, Shaojun

    2015-11-15

    Highlights: • SSD layer of fused silica is removed by ICP etch with surface roughness of 0.23 nm. • Metal contamination is successfully avoided by employing an isolation device. • Unique low-density plasma induced pitting damage is discovered and eliminated. • Lateral etching of SSD is avoided due to the improvement of etching anisotropy. - Abstract: In this work, we introduce an optimum ICP etching technique that successfully removes the subsurface damage (SSD) layer of fused silica without causing plasma induced surface damage (PISD) or lateral etching of SSD. As one of the commonest PISD initiators, metal contamination from reactor chamber is prevented by employing a simple isolation device. Based on this device, a unique low-density pitting damage is discovered and subsequently eliminated by optimizing the etching parameters. Meanwhile etching anisotropy also improves a lot, thus preventing the lateral etching of SSD. Using this proposed technique, SSD layer of fused silica is successfully removed with a surface roughness of 0.23 nm.

  2. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    International Nuclear Information System (INIS)

    Upadhyay, Janardan; Phillips, Larry; Valente, Anne-Marie

    2011-01-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  3. Etching of Niobium Sample Placed on Superconducting Radio Frequency Cavity Surface in Ar/CL2 Plasma

    Energy Technology Data Exchange (ETDEWEB)

    Janardan Upadhyay, Larry Phillips, Anne-Marie Valente

    2011-09-01

    Plasma based surface modification is a promising alternative to wet etching of superconducting radio frequency (SRF) cavities. It has been proven with flat samples that the bulk Niobium (Nb) removal rate and the surface roughness after the plasma etchings are equal to or better than wet etching processes. To optimize the plasma parameters, we are using a single cell cavity with 20 sample holders symmetrically distributed over the cell. These holders serve the purpose of diagnostic ports for the measurement of the plasma parameters and for the holding of the Nb sample to be etched. The plasma properties at RF (100 MHz) and MW (2.45 GHz) frequencies are being measured with the help of electrical and optical probes at different pressures and RF power levels inside of this cavity. The niobium coupons placed on several holders around the cell are being etched simultaneously. The etching results will be presented at this conference.

  4. Investigation of plasma etch damage to porous oxycarbosilane ultra low-k dielectric

    International Nuclear Information System (INIS)

    Bruce, R L; Engelmann, S; Purushothaman, S; Volksen, W; Frot, T J; Magbitang, T; Dubois, G; Darnon, M

    2013-01-01

    There has been much interest recently in porous oxycarbosilane (POCS)-based materials as the ultra-low k dielectric (ULK) in back-end-of-line (BEOL) applications due to their superior mechanical properties compared to traditional organosilicate-based ULK materials at equivalent porosity and dielectric constant. While it is well known that plasma etching and strip processes can cause significant damage to ULK materials in general, little has been reported about the effect of plasma damage to POCS as the ULK material. We investigated the effect of changing the gas discharge chemistry and substrate bias in the dielectric trench etch and also the subsequent effect of the cap-open etch on plasma damage to POCS during BEOL integration. Large differences in surface roughness and damage behaviour were observed by changing the fluorocarbon depositing conditions. These damage behaviour trends will be discussed and potential rationalizations offered based on the formation of pits and craters at the etch front that lead to surface roughness and microtrenching. (paper)

  5. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan)

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +} or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.

  6. Experimental study of a RF plasma source with helicon configuration in the mix Ar/H_2. Application to the chemical etching of carbon materials surfaces in the framework of the plasma-wall interactions studies of ITER's divertor

    International Nuclear Information System (INIS)

    Bieber, T.

    2012-01-01

    The issue of the interaction wall-plasma is important in thermonuclear devices. The purpose of this work is to design a very low pressure atomic plasma source in order to study chemical etching of carbon surfaces in the same conditions as edge plasma in tokamaks. The experimental work has consisted in 2 stages: first, the characterisation of the new helicon configuration reactor developed for this research and secondly the atomic hydrogen source used for the chemical etching. The first chapter recalls what thermonuclear fusion is. The helicon configuration reactor as well as its diagnostics (optical emission spectroscopy, laser induced fluorescence - LIF, and Langmuir probe) are described in the second chapter. The third chapter deals with the different coupling modes (RF power and plasma) identified in pure argon plasmas and how they are obtained by setting experimental parameters such as injected RF power, magnetic fields or pressure. The fourth chapter is dedicated to the study of the difference in behavior between the electronic density and the relative density of metastable Ar"+ ions. The last chapter presents the results in terms of mass losses of the carbon material surfaces obtained with the atomic hydrogen source. (A.C.)

  7. Plasma Etching of superconducting radio frequency cavity by Ar/Cl2 capacitively coupled Plasma

    Science.gov (United States)

    Upadhyay, Janardan; Popovic, Svetozar; Valente-Feliciano, Anne-Marie; Phillips, Larry; Vuskovic, Lepsha

    2016-09-01

    We are developing plasma processing technology of superconducting radio frequency (SRF) cavities. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the asymmetry was studied by changing the contour of the inner electrode. The optimized contour of the electrode based on these measurements was chosen for SRF cavity processing. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity is used, which previously mechanically polished, buffer chemically etched afterwards and rf tested at cryogenic temperatures for a baseline test. Plasma processing was accomplished by moving axially the inner electrode and the gas flow inlet in a step-wise manner to establish segmented plasma processing. The cavity is rf tested afterwards at cryogenic temperatures. The rf test and surface condition results are presented.

  8. Plasma Etching for Failure Analysis of Integrated Circuit Packages

    NARCIS (Netherlands)

    Tang, J.; Schelen, J.B.J.; Beenakker, C.I.M.

    2011-01-01

    Plastic integrated circuit packages with copper wire bonds are decapsulated by a Microwave Induced Plasma system. Improvements on microwave coupling of the system are achieved by frequency tuning and antenna modification. Plasmas with a mixture of O2 and CF4 showed a high etching rate around 2

  9. Etching mechanism of niobium in coaxial Ar/Cl2 radio frequency plasma

    Energy Technology Data Exchange (ETDEWEB)

    Upadhyay, Janardan [Old Dominion Univ., Norfolk, VA (United States); Im, Do [Old Dominion Univ., Norfolk, VA (United States); Popovic, Svetozar [Old Dominion Univ., Norfolk, VA (United States); Valente-Feliciano, Anne -Marie [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Phillips, H. Larry [Thomas Jefferson National Accelerator Facility (TJNAF), Newport News, VA (United States); Vuskovic, Leposova [Old Dominion Univ., Norfolk, VA (United States)

    2015-03-18

    The understanding of the Ar/Cl2 plasma etching mechanism is crucial for the desired modification of inner surface of the three dimensional niobium (Nb) superconductive radio frequency cavities. Uniform mass removal in cylindrical shaped structures is a challenging task because the etch rate varies along the direction of gas flow. The study is performed in the asymmetric coaxial radio-frequency (rf) discharge with two identical Nb rings acting as a part of the outer electrode. The dependence of etch rate uniformity on pressure, rf power, dc bias, Cl2 concentration, diameter of the inner electrode, temperature of the outer cylinder, and position of the samples in the structure is determined. Furthermore, to understand the plasma etching mechanisms, we have studied several factors that have important influence on the etch rate and uniformity, which include the plasma sheath potential, Nb surface temperature, and the gas flow rate.

  10. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    Science.gov (United States)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  11. Characterization of plasma etching damage on p-type GaN using Schottky diodes

    International Nuclear Information System (INIS)

    Kato, M.; Mikamo, K.; Ichimura, M.; Kanechika, M.; Ishiguro, O.; Kachi, T.

    2008-01-01

    The plasma etching damage in p-type GaN has been characterized. From current-voltage and capacitance-voltage characteristics of Schottky diodes, it was revealed that inductively coupled plasma (ICP) etching causes an increase in series resistance of the Schottky diodes and compensation of acceptors in p-type GaN. We investigated deep levels near the valence band of p-type GaN using current deep level transient spectroscopy (DLTS), and no deep level originating from the ICP etching damage was observed. On the other hand, by capacitance DLTS measurements for n-type GaN, we observed an increase in concentration of a donor-type defect with an activation energy of 0.25 eV after the ICP etching. The origin of this defect would be due to nitrogen vacancies. We also observed this defect by photocapacitance measurements for ICP-etched p-type GaN. For both n- and p-type GaN, we found that the low bias power ICP etching is effective to reduce the concentration of this defect introduced by the high bias power ICP etching

  12. Evolution of titanium residue on the walls of a plasma-etching reactor and its effect on the polysilicon etching rate

    Energy Technology Data Exchange (ETDEWEB)

    Hirota, Kosa, E-mail: hirota-kousa@sme.hitachi-hitec.com; Itabashi, Naoshi; Tanaka, Junichi [Hitachi, Ltd., Central Research Laboratory, 1-280, Higashi-Koigakubo, Kokubunji, Tokyo 185-8601 (Japan)

    2014-11-01

    The variation in polysilicon plasma etching rates caused by Ti residue on the reactor walls was investigated. The amount of Ti residue was measured using attenuated total reflection Fourier transform infrared spectroscopy with the HgCdTe (MCT) detector installed on the side of the reactor. As the amount of Ti residue increased, the number of fluorine radicals and the polysilicon etching rate increased. However, a maximum limit in the etching rate was observed. A mechanism of rate variation was proposed, whereby F radical consumption on the quartz reactor wall is suppressed by the Ti residue. The authors also investigated a plasma-cleaning method for the removal of Ti residue without using a BCl{sub 3} gas, because the reaction products (e.g., boron oxide) on the reactor walls frequently cause contamination of the product wafers during etching. CH-assisted chlorine cleaning, which is a combination of CHF{sub 3} and Cl{sub 2} plasma treatment, was found to effectively remove Ti residue from the reactor walls. This result shows that CH radicals play an important role in deoxidizing and/or defluorinating Ti residue on the reactor walls.

  13. Room temperature inductively coupled plasma etching of InAs/InSb in BCl 3/Cl 2/Ar

    KAUST Repository

    Sun, Jian; Kosel, Jü rgen

    2012-01-01

    Inductively coupled plasma (ICP) etching of InAs and InSb at room temperature has been investigated using BCl 3/Cl 2/Ar plasma. Specifically, the etch rate and post-etching surface morphology were investigated as functions of the gas composition

  14. Measurement of the variable track-etch rate of hydrogen, carbon and oxygen Ions in CR-39

    International Nuclear Information System (INIS)

    Lengar, I.; Skvarc, J.; Ilic, R.

    2003-01-01

    The ratio of the track-etch rate to the bulk-etch rate for hydrogen, carbon and oxygen ions was studied for the CR-39 detector with addition of dioctylphthalate. The response was reconstructed from etch-pit growth curves obtained by the multi-step etching technique. A theoretical analysis of the correctness of the method due to the 'missing track segment' is assessed and utilisation of the results obtained for the calibration of fast neutron dosimetry is discussed. (author)

  15. Etching of Niobium in an Argon-Chlorine Capacitively Coupled Plasma

    Science.gov (United States)

    Radovanov, Svetlana; Samolov, Ana; Upadhyay, Janardan; Peshl, Jeremy; Popovic, Svetozar; Vuskovic, Leposava; Applied Materials, Varian Semiconductor Team; Old Dominion University Team

    2016-09-01

    Ion assisted etching of the inner surfaces of Nb superconducting radio frequency (SRF) cavities requires control of incident ion energies and fluxes to achieve the desired etch rate and smooth surfaces. In this paper, we combine numerical simulation and experiment to investigate Ar /Cl2 capacitively coupled plasma (CCP) in cylindrical reactor geometry. Plasma simulations were done in the CRTRS 2D/3D code that self-consistently solves for CCP power deposition and electrostatic potential. The experimental results are used in combination with simulation predictions to understand the dependence of plasma parameters on the operating conditions. Using the model we were able to determine the ion current and flux at the Nb substrate. Our simulations indicate the relative importance of the current voltage phase shift and displacement current at different pressures and powers. For simulation and the experiment we have used a test structure with a pillbox cavity filled with niobium ring-type samples. The etch rate of these samples was measured. The probe measurements were combined with optical emission spectroscopy in pure Ar for validation of the model. The authors acknowledge Dr Shahid Rauf for developing the CRTRS code. Support DE-SC0014397.

  16. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    International Nuclear Information System (INIS)

    Ji, J; Tay, F E H; Miao Jianmin; Sun Jianbo

    2006-01-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions

  17. Characterization of silicon isotropic etch by inductively coupled plasma etcher for microneedle array fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Ji, J [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Tay, F E H [Mechanical Engineering National University of Singapore, 119260, Singapore (Singapore); Miao Jianmin [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore); Sun Jianbo [MicroMachines Center, School of Mechanical and Aerospace Engineering, Nanyang Technologica l University, 50 Nanyang Avenue, 639798 (Singapore)

    2006-04-01

    This work investigates the isotropic etching properties in inductively coupled plasma (ICP) etcher for microneedle arrays fabrication. The effects of process variables including powers, gas and pressure on needle structure generation are characterized by factorial design of experiment (DOE). The experimental responses of vertical etching depth, lateral etching length, ratio of vertical etching depth to lateral etching length and photoresist etching rate are reported. The relevance of the etching variables is also presented. The obtained etching behaviours for microneedle structure generation will be applied to develop recipes to fabricate microneedles in designed dimensions.

  18. Etching of UO2 in NF3 RF Plasma Glow Discharge

    International Nuclear Information System (INIS)

    John M. Veilleux

    1999-01-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO 2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO 2 from stainless steel substrates. Experiments were conducted using NF 3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Depleted UO 2 samples each containing 129.4 Bq were prepared from 100 microliter solutions of uranyl nitrate hexahydrate solution. The amorphous UO 2 in the samples had a relatively low density of 4.8 gm/cm 3 . Counting of the depleted UO 2 on the substrate following plasma immersion was performed using liquid scintillation counting with alpha/beta discrimination due to the presence of confounding beta emitting daughter products, 234 Th and 234 Pa. The alpha emission peak from each sample was integrated using a gaussian and first order polynomial fit to improve quantification. The uncertainties in the experimental measurement of the etched material were estimated at about ± 2%. Results demonstrated that UO 2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO 2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 microm/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO 2 etching was also noted below 50 W in which etching increased up to a maximum pressure, approximately23 Pa, then decreased with further increases in pressure

  19. Formation of plasma induced surface damage in silica glass etching for optical waveguides

    International Nuclear Information System (INIS)

    Choi, D.Y.; Lee, J.H.; Kim, D.S.; Jung, S.T.

    2004-01-01

    Ge, B, P-doped silica glass films are widely used as optical waveguides because of their low losses and inherent compatibility with silica optical fibers. These films were etched by ICP (inductively coupled plasma) with chrome etch masks, which were patterned by reactive ion etching (RIE) using chlorine-based gases. In some cases, the etched surfaces of silica glass were very rough (root-mean square roughness greater than 100 nm) and we call this phenomenon plasma induced surface damage (PISD). Rough surface cannot be used as a platform for hybrid integration because of difficulty in alignment and bonding of active devices. PISD reduces the etch rate of glass and it is very difficult to remove residues on a rough surface. The objective of this study is to elucidate the mechanism of PISD formation. To achieve this goal, PISD formation during different etching conditions of chrome etch mask and silica glass was investigated. In most cases, PISD sources are formed on a glass surface after chrome etching, and metal compounds are identified in theses sources. Water rinse after chrome etching reduces the PISD, due to the water solubility of metal chlorides. PISD is decreased or even disappeared at high power and/or low pressure in glass etching, even if PISD sources were present on the glass surface before etching. In conclusion, PISD sources come from the chrome etching process, and polymer deposition on these sources during the silica etching cause the PISD sources to grow. In the area close to the PISD source there is a higher ion flux, which causes an increase in the etch rate, and results in the formation of a pit

  20. Dry etching of LaNiO3 thin films using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il; Lee, Cheol-In; Kim, Tae-Hyung

    2006-01-01

    The etching characteristics of LaNiO 3 (LNO) thin films and SiO 2 in Cl 2 /Ar plasma were investigated. LNO etch rates decreased with increasing Cl 2 fraction in Ar plasma and the working pressure. Langmuir probe measurement showed a noticeable influence of Cl 2 /Ar mixing ratio on electron temperature, electron density, and ion current density. The modeling of volume kinetics for charged particles and OES measurements for neutral atoms indicated monotonous changes of both densities and fluxes of active species such as chlorine atoms and positive ions. The LNO etch rate behavior may be explained by physical mechanisms

  1. Reduction of etching damage in lead-zirconate-titanate thin films with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    In this work, we etched lead-zirconate-titanate (PZT) films with various additive gases (O 2 and Ar) in Cl 2 /CF 4 plasmas, while mixing ratio was fixed at 8/2. After the etching, the plasma induced damages are characterized in terms of hysteresis curves, leakage current, retention properties, and switching polarization. When the electrical properties of PZT etched in O 2 or Ar added to Cl 2 /CF 4 were compared, the value of remanent polarization in O 2 added to Cl 2 /CF 4 plasma is higher than that in Ar added plasma. The maximum etch rate of the PZT thin films was 145 nm/min for 30% Ar added Cl 2 /CF 4 gas having mixing ratio of 8/2 and 110 nm/min for 10% O 2 added to that same gas mixture. In order to recover the ferroelectric properties of the PZT thin films after etching, we annealed the etched PZT thin films at 550 deg. C in an O 2 atmosphere for 10 min. From the hysteresis curves, leakage current, retention property, and switching polarization, the reduction of the etching damage and the recovery via the annealing turned out to be more effective when O 2 was added to Cl 2 /CF 4 than Ar. X-ray diffraction showed that the structural damage was lower when O 2 was added to Cl 2 /CF 4 and the improvement in the ferroelectric properties of the annealed samples was consistent with the increased intensities of the (100) and the (200) PZT peaks

  2. Etching mechanism of MgO thin films in inductively coupled Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Efremov, A.M.; Koo, Seong-Mo; Kim, Dong-Pyo; Kim, Kyoung-Tae; Kim, Chang-Il

    2004-01-01

    The etching mechanism of MgO thin films in Cl 2 /Ar plasma was investigated. It was found that the increasing Ar in the mixing ratio of Cl 2 /Ar plasma causes nonmonotonic MgO etch rate, which reaches a maximum value at 70%Ar+30%Cl 2 . Langmuir probe measurement showed the noticeable influence of Cl 2 /Ar mixing ratio on electron temperature and electron density. The zero-dimensional plasma model indicated monotonic changes of both densities and fluxes of active species. At the same time, analyses of surface kinetics showed the possibility of nonmonotonic etch rate behavior due to the concurrence of physical and chemical pathways in ion-assisted chemical reaction

  3. Characterization of the CH4/H2/Ar high density plasma etching process for ZnSe

    Science.gov (United States)

    Eddy, C. R.; Leonhardt, D.; Shamamian, V. A.; Butler, J. E.

    2001-05-01

    High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed.

  4. A Nanoscale Plasma Etching Process for Pole Tip Recession of Perpendicular Recording Magnetic Head

    OpenAIRE

    LIU, Shoubin; HE, Dayao

    2017-01-01

    The pole tip of perpendicular recording head is constructed in a stacked structure with materials of NiCoFe, NiFe, Al2O3 and AlTiC. The surfaces of different materials are set at different heights below the air-bearing surface of slider. This paper presented a plasma dry etching process for Pole Tip Recession (PTR) based on an ion beam etching system. Ar and O2 mixed plasma at small incident angles have a high removal rate to the nonmagnetic material. It was utilised to etch the reference sur...

  5. The effect of SF6 addition in a Cl2/Ar inductively coupled plasma for deep titanium etching

    Science.gov (United States)

    Laudrel, E.; Tillocher, T.; Meric, Y.; Lefaucheux, P.; Boutaud, B.; Dussart, R.

    2018-05-01

    Titanium is a material of interest for the biomedical field and more particularly for body implantable devices. Titanium deep etching by plasma was carried out in an inductively coupled plasma with a chlorine-based chemistry for the fabrication of titanium-based microdevices. Bulk titanium etch rate was first studied in Cl2/Ar plasma mixture versus the source power and the self-bias voltage. The plasma was characterized by Langmuir probe and by optical emission spectroscopy. The addition of SF6 in the plasma mixture was investigated. Titanium etch rate was optimized and reached a value of 2.4 µm · min-1. The nickel hard mask selectivity was also enhanced. The etched titanium surface roughness was reduced significantly.

  6. Investigation of Plasma Etching for Superconducting RF Cavities Surface Preparation. Final Report

    International Nuclear Information System (INIS)

    Vuskovic, Leposava

    2009-01-01

    Our results show that plasma-treated samples are comparable or superior to a BCP sample, both in the size of features and sharpness of the boundaries between individual features at the surface. Plasma treatment of bulk Nb cavities is a promising technique for microwave cavities preparation used in particle acceleration application. Etching rates are sufficiently high to enable efficient removal of mechanically damaged surface layer with high reproducibility. No impurities are deposited on the bulk Nb surface during plasma treatment. Surface topology characteristic are promising for complex cavity geometry, since discharge conforms the profile of the reaction chamber. In view of these experimental results, we propose plasma treatment for producing microwave cavities with high Q factor instead of using bulk Nb treated with wet etching process.

  7. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    Science.gov (United States)

    Luan, P.; Knoll, A. J.; Wang, H.; Kondeti, V. S. S. K.; Bruggeman, P. J.; Oehrlein, G. S.

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O2 and 1% air plasma and OH for Ar/1% H2O plasma, play an essential role for polymer etching. For O2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10-4 to 10-3 is consistent with low pressure plasma research. We also find that adding O2 and H2O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O2/H2O plasma.

  8. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    Science.gov (United States)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  9. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Science.gov (United States)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  10. Comprehensive Study of SF_6/O_2 Plasma Etching for Mc-Silicon Solar Cells

    International Nuclear Information System (INIS)

    Li Tao; Zhou Chun-Lan; Wang Wen-Jing

    2016-01-01

    The mask-free SF_6/O_2 plasma etching technique is used to produce surface texturization of mc-silicon solar cells for efficient light trapping in this work. The SEM images and mc-silicon etching rate show the influence of plasma power, SF_6/O_2 flow ratios and etching time on textured surface. With the acidic-texturing samples as a reference, the reflection and IQE spectra are obtained under different experimental conditions. The IQE spectrum measurement shows an evident increase in the visible and infrared responses. By using the optimized plasma power, SF_6/O_2 flow ratios and etching time, the optimal efficiency of 15.7% on 50 × 50 mm"2 reactive ion etching textured mc-silicon silicon solar cells is achieved, mostly due to the improvement in the short-circuit current density. The corresponding open-circuit voltage, short-circuit current density and fill factor are 611 mV, 33.6 mA/cm"2, 76.5%, respectively. It is believed that such a low-cost and high-performance texturization process is promising for large-scale industrial silicon solar cell manufacturing. (paper)

  11. Fabrication of SiC nanopillars by inductively coupled SF6/O2 plasma etching

    International Nuclear Information System (INIS)

    Choi, J H; Bano, E; Latu-Romain, L; Dhalluin, F; Chevolleau, T; Baron, T

    2012-01-01

    In this paper, we demonstrate a top-down fabrication technique for nanometre scale silicon carbide (SiC) pillars using inductively coupled plasma etching. A set of experiments in SF 6 -based plasma was carried out in order to realize high aspect ratio SiC nanopillars. The etched SiC nanopillars using a small circular mask pattern (115 nm diameter) show high aspect ratio (7.4) with a height of 2.2 µm at an optimum bias voltage (300 V) and pressure (6 mTorr). Under the optimal etching conditions using a large circular mask pattern with 370 nm diameter, the obtained SiC nanopillars exhibit high anisotropy features (6.4) with a large etch depth (>7 µm). The etch characteristic of the SiC nanopillars under these conditions shows a high etch rate (550 nm min -1 ) and a high selectivity (over 60 for Ni). We also studied the etch profile of the SiC nanopillars and mask evolution over the etching time. As the mask pattern size shrinks in nanoscale, vertical and lateral mask erosion plays a crucial role in the etch profile of the SiC nanopillars. Long etching process makes the pillars appear with a hexagonal shape, coming from the crystallographic structure of α-SiC. It is found that the feature of pillars depends not only on the etching process parameters, but also on the crystallographic structure of the SiC phase. (paper)

  12. Plasma surface modification of polypropylene track-etched membrane to improve its performance properties

    Science.gov (United States)

    Kravets, L. I.; Elinson, V. M.; Ibragimov, R. G.; Mitu, B.; Dinescu, G.

    2018-02-01

    The surface and electrochemical properties of polypropylene track-etched membrane treated by plasma of nitrogen, air and oxygen are studied. The effect of the plasma-forming gas composition on the surface morphology is considered. It has been found that the micro-relief of the membrane surface formed under the gas-discharge etching, changes. Moreover, the effect of the non-polymerizing gas plasma leads to formation of oxygen-containing functional groups, mostly carbonyl and carboxyl. It is shown that due to the formation of polar groups on the surface and its higher roughness, the wettability of the plasma-modified membranes improves. In addition, the presence of polar groups on the membrane surface layer modifies its electrochemical properties so that conductivity of plasma-treated membranes increase.

  13. Feedback control of chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Lin Chaung; Leou, K.-C.; Shiao, K.-M.

    2005-01-01

    Feedback control has been applied to poly-Si etch processing using a chlorine inductively coupled plasma. Since the positive ion flux and ion energy incident upon the wafer surface are the key factors that influence the etch rate, the ion current and the root mean square (rms) rf voltage on the wafer stage, which are measured using an impedance meter connected to the wafer stage, are adopted as the controlled variables to enhance etch rate. The actuators are two 13.56 MHz rf power generators, which adjust ion density and ion energy, respectively. The results of closed-loop control show that the advantages of feedback control can be achieved. For example, with feedback control, etch rate variation under the transient chamber wall condition is reduced roughly by a factor of 2 as compared to the open-loop case. In addition, the capability of the disturbance rejection was also investigated. For a gas pressure variation of 20%, the largest etch rate variation is about 2.4% with closed-loop control as compared with as large as about 6% variation using open-loop control. Also the effect of ion current and rms rf voltage on etch rate was studied using 2 2 factorial design whose results were used to derive a model equation. The obtained formula was used to adjust the set point of ion current and rf voltage so that the desired etch rate was obtained

  14. Hydrogen-Etched TiO2−x as Efficient Support of Gold Catalysts for Water–Gas Shift Reaction

    Directory of Open Access Journals (Sweden)

    Li Song

    2018-01-01

    Full Text Available Hydrogen-etching technology was used to prepare TiO2−x nanoribbons with abundant stable surface oxygen vacancies. Compared with traditional Au-TiO2, gold supported on hydrogen-etched TiO2−x nanoribbons had been proven to be efficient and stable water–gas shift (WGS catalysts. The disorder layer and abundant stable surface oxygen vacancies of hydrogen-etched TiO2−x nanoribbons lead to higher microstrain and more metallic Au0 species, respectively, which all facilitate the improvement of WGS catalytic activities. Furthermore, we successfully correlated the WGS thermocatalytic activities with their optoelectronic properties, and then tried to understand WGS pathways from the view of electron flow process. Hereinto, the narrowed forbidden band gap leads to the decreased Ohmic barrier, which enhances the transmission efficiency of “hot-electron flow”. Meanwhile, the abundant surface oxygen vacancies are considered as electron traps, thus promoting the flow of “hot-electron” and reduction reaction of H2O. As a result, the WGS catalytic activity was enhanced. The concept involved hydrogen-etching technology leading to abundant surface oxygen vacancies can be attempted on other supported catalysts for WGS reaction or other thermocatalytic reactions.

  15. The effect of CF4 addition on Ru etching with inductively coupled plasma

    International Nuclear Information System (INIS)

    Lim, Kyu Tae; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il

    2003-01-01

    Ru thin films were etched in CF 4 /O 2 plasma using an ICP (inductively coupled plasma etching) system. The etch rate of Ru thin films was examined as a function of gas mixing ratio. The maximum etch rate of Ru thin films was 168 nm/min at a CF 4 /O 2 gas mixing ratio of 10 %. The selectivity of Ru over SiO 2 was 1.3. From the OES (optical emission spectroscopy), the optical emission intensity of the O radical had a maximum value at 10 % of CF 4 gas concentration and decrease with further addition of CF 4 gas. From XPS (x-ray photoelectron spectroscopy) analysis, Ru-F bonds by the chemical reaction of Ru and F appeared in the surface of the etched Ru thin film in CF 4 /O 2 chemistry. RuF 3-4 compounds were suggested as a surface passivation layer that reduces the chemical reactions between Ru and O radicals. In a FE-SEM (field emission scanning electron microscope) micrograph, we had an almost perpendicular taper angle of 89 .deg.

  16. Hydrogen content in titanium and a titanium–zirconium alloy after acid etching

    Energy Technology Data Exchange (ETDEWEB)

    Frank, Matthias J.; Walter, Martin S. [Department of Biomaterials, Institute for Clinical Dentistry, University of Oslo, P.O. Box 1109, Blindern, NO-0317 Oslo (Norway); Institute of Medical and Polymer Engineering, Chair of Medical Engineering, Technische Universität München, Boltzmannstrasse 15, 85748 Garching (Germany); Lyngstadaas, S. Petter [Department of Biomaterials, Institute for Clinical Dentistry, University of Oslo, P.O. Box 1109, Blindern, NO-0317 Oslo (Norway); Wintermantel, Erich [Institute of Medical and Polymer Engineering, Chair of Medical Engineering, Technische Universität München, Boltzmannstrasse 15, 85748 Garching (Germany); Haugen, Håvard J., E-mail: h.j.haugen@odont.uio.no [Department of Biomaterials, Institute for Clinical Dentistry, University of Oslo, P.O. Box 1109, Blindern, NO-0317 Oslo (Norway)

    2013-04-01

    Dental implant alloys made from titanium and zirconium are known for their high mechanical strength, fracture toughness and corrosion resistance in comparison with commercially pure titanium. The aim of the study was to investigate possible differences in the surface chemistry and/or surface topography of titanium and titanium–zirconium surfaces after sand blasting and acid etching. The two surfaces were compared by X-ray photoelectron spectroscopy, secondary ion mass spectroscopy, scanning electron microscopy and profilometry. The 1.9 times greater surface hydrogen concentration of titanium zirconium compared to titanium was found to be the major difference between the two materials. Zirconium appeared to enhance hydride formation on titanium alloys when etched in acid. Surface topography revealed significant differences on the micro and nanoscale. Surface roughness was increased significantly (p < 0.01) on the titanium–zirconium alloy. High-resolution images showed nanostructures only present on titanium zirconium. - Highlights: ► TiZr alloy showed increased hydrogen levels over Ti. ► The alloying element Zr appeared to catalyze hydrogen absorption in Ti. ► Surface roughness was significantly increased for the TiZr alloy over Ti. ► TiZr alloy revealed nanostructures not observed for Ti.

  17. Model polymer etching and surface modification by a time modulated RF plasma jet: role of atomic oxygen and water vapor

    International Nuclear Information System (INIS)

    Luan, P; Knoll, A J; Wang, H; Oehrlein, G S; Kondeti, V S S K; Bruggeman, P J

    2017-01-01

    The surface interaction of a well-characterized time modulated radio frequency (RF) plasma jet with polystyrene, poly(methyl methacrylate) and poly(vinyl alcohol) as model polymers is investigated. The RF plasma jet shows fast polymer etching but mild chemical modification with a characteristic carbonate ester and NO formation on the etched surface. By varying the plasma treatment conditions including feed gas composition, environment gaseous composition, and treatment distance, we find that short lived species, especially atomic O for Ar/1% O 2 and 1% air plasma and OH for Ar/1% H 2 O plasma, play an essential role for polymer etching. For O 2 containing plasma, we find that atomic O initiates polymer etching and the etching depth mirrors the measured decay of O atoms in the gas phase as the nozzle-surface distance increases. The etching reaction probability of an O atom ranging from 10 −4 to 10 −3 is consistent with low pressure plasma research. We also find that adding O 2 and H 2 O simultaneously into Ar feed gas quenches polymer etching compared to adding them separately which suggests the reduction of O and OH density in Ar/O 2 /H 2 O plasma. (letter)

  18. Research on plasma etching of nuclear fuel material

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Yong Soo; Min, Jin Young [Hanyang University, Seoul (Korea)

    1998-04-01

    Based on the experimental result that the highest etching rate is obtained at 20% O{sub 2} mole fraction regardless of r.f. power and temperature and the RGA analysis result that major reaction product is UF{sub 6}, overall reaction of UO{sub 2} reaction in CF{sub 4}/O{sub 2} plasma is established: 8UO{sub 2} + 12CF{sub 4} + 3O{sub 2} {yields} 8UF{sub 6} + 12CO{sub 2-X} XPS confirms that at lower O{sub 2} mole fraction than 20%, the reaction is retarded by carbon residual on the surface, while XRD demonstrates that at higher O{sub 2} mole fraction than 20% U atom forms hyper-stoichiometric UO{sub 2} such as U{sub 3}O{sub 7}, U{sub 4}O{sub 9}, U{sub 3}O{sub 8}, and UO{sub 3}, rather than interacts to form volatile uranium fluoride. The reaction of UO{sub 2} with CF{sub 4}/O{sub 2} plasma follows a linear kinetics law with time, a surface-reaction controlling step, and the activation energy, 2.98 kcal/mol,is derived at 150 {approx} 450 deg C based on the kinetics. The maximum etching rate is 1100 monolayers/min. at 370 deg C under r.f. power of 150W, which is equivalent to 0.4 {mu}m/min. This etching rate is as fast as that of Si wafer in the semi-conductor processing, therefore, it is conclusively expected that CF{sub 4}/O{sub 2} mixed gas plasma process may be highly applicable to remove TRU coming form DUPIC fuel manufacturing process and enough to reduce residual TRU less than 0.01%. (author). 26 refs., 50 figs., 4 tabs.

  19. Thermodynamic assessment and experimental verification of reactive ion etching of magnetic metal elements

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Taeseung; Chen, Jack Kun-Chieh; Chang, Jane P., E-mail: jpchang@ucla.edu [Chemical and Biomolecular Engineering, UCLA, Los Angeles, California 90095 (United States)

    2014-07-01

    A thermodynamic analysis of etch chemistries for Co, Fe, and Ni using a combination of hydrogen, oxygen, and halogen gases suggested that a single etchant does not work at 300 K; however, a sequential exposure to multiple etchants results in sufficiently high partial pressure of the reaction products for the process to be considered viable. This sequential dose utilized the two reactions, a surface halogenation followed by the secondary etchant exposure. (MX{sub 2} (c) + 3Y →MY(g) + 2XY(g), where M = Co, Fe, Ni; X = F, Cl, Br; Y = O, H) The volatilization reaction induced by sequential plasma exposure changed the equilibrium point, increasing the partial pressure of the etch product. Amongst all combinations, Cl{sub 2} or Br{sub 2} plasmas followed by H{sub 2} plasma were the most effective. From both the gas phase diagnostics and surface composition analysis, H{sub 2} plasma alone could not etch metallic Co, Fe, and Ni films but alternating doses of Cl{sub 2} and H{sub 2} plasmas resulted in more effective removal of chlorinated metals and increased the overall etch rate.

  20. Hydrogen iodide-based dry etching of GaAs, InP, and related compounds

    International Nuclear Information System (INIS)

    Pearton, S.J.; Chakrabarti, U.K.; Hobson, W.S.; Abernathy, C.R.; Katz, A.; Ren, F.; Fullowan, T.R.; Perley, A.P.

    1992-01-01

    In this paper HI/H 2 /Ar discharges are shown to be universal etchants for III-V semiconductors, giving rise to highly anisotropic features with smooth surface morphologies. At low dc Self bias (-V) and low pressure (1 mTorr), etch rates for all III-V materials of >2000 Angstrom · min -1 are possible for high HI percentages in the discharges, whereas rates greater than 1 μm · min -1 are obtained at higher pressures and dc biases. These etch rates are approximately an order of magnitude faster than for CH 4 /H 2 Ar mixtures under the same conditions and there is no polymer deposition on the mask or within the reactor chamber with HI/H 2 /Ar. Auger electron spectroscopy reveals residue-free, stoichiometric surfaces after dry etching in this mixture. As a result, photoluminescent intensities from dry etched samples remain high with little apparent damage introduction. Changes in the near-surface carrier concentration due to hydrogen passivation effects are also negligible with HI-based mixtures in comparison to CH 4 -based dry etching

  1. Silicon dioxide etching process for fabrication of micro-optics employing pulse-modulated electron-beam-excited plasma

    International Nuclear Information System (INIS)

    Takeda, Keigo; Ohta, Takayuki; Ito, Masafumi; Hori, Masaru

    2006-01-01

    Silicon dioxide etching process employing a pulse-modulated electron-beam-excited plasma (EBEP) has been developed for a fabrication process of optical micro-electro-mechanical systems (MEMSs). Nonplanar dielectric materials were etched by using self-bias induced by the electron beam generating the plasma. In order to investigate the effect of pulse modulation on electron beam, plasma diagnostics were carried out in the EBEP employing C 4 F 8 gas diluted with Ar gas by using a Langmuir single probe and time resolved optical emission spectroscopy. It was found that the pulse-modulated EBEP has an excellent potential to reduce the plasma-induced thermal damage on a photoresist film on a substrate to get the uniform etching and the anisotropic SiO 2 etching in comparison with the conventional EBEP. The pulse-modulated EBEP enabled us to get the high etch rate of SiO 2 of 375 nm/min without any additional bias power supply. Furthermore, the microfabrication on the core area of optical fiber was realized. These results indicate that the pulse-modulated EBEP will be a powerful tool for the application to optical MEMS process

  2. The interplay between surface charging and microscale roughness during plasma etching of polymeric substrates

    Science.gov (United States)

    Memos, George; Lidorikis, Elefterios; Kokkoris, George

    2018-02-01

    The surface roughness developed during plasma etching of polymeric substrates is critical for a variety of applications related to the wetting behavior and the interaction of surfaces with cells. Toward the understanding and, ultimately, the manipulation of plasma induced surface roughness, the interplay between surface charging and microscale roughness of polymeric substrates is investigated by a modeling framework consisting of a surface charging module, a surface etching model, and a profile evolution module. The evolution of initially rough profiles during plasma etching is calculated by taking into account as well as by neglecting charging. It is revealed, on the one hand, that the surface charging contributes to the suppression of root mean square roughness and, on the other hand, that the decrease of the surface roughness induces a decrease of the charging potential. The effect of charging on roughness is intense when the etching yield depends solely on the ion energy, and it is mitigated when the etching yield additionally depends on the angle of ion incidence. The charging time, i.e., the time required for reaching a steady state charging potential, is found to depend on the thickness of the polymeric substrate, and it is calculated in the order of milliseconds.

  3. Solid hydrogen-plasma interaction

    International Nuclear Information System (INIS)

    Joergensen, L.W.

    1976-03-01

    A review of the need of refuelling fusion reactors and of the possible refuelling methods, in particular injection of pellets of solid hydrogen isotopes, is given. The interaction between hydrogen pellets and a fusion plasma is investigated and a theoretical model is given. From this it is seen that the necessary injected speed is above 10 4 m/sec. Experiments in which hydrogen pellets are interacting with a rotating test plasma (puffatron plasma) is described. The experimental results partly verify the basic ideas of the theoretical model. (Auth.)

  4. Etch characteristics of (Pb,Sr)TiO3 thin films using CF4/Ar inductively coupled plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2003-01-01

    The investigations of the (Pb,Sr)TiO 3 (PST) etching characteristics in CF 4 /Ar plasma were carried out using the inductively coupled plasma system. Experiments showed that an increase of the Ar mixing ratio under constant pressure and input power conditions leads to increasing etch rate of PST, which reaches a maximum of 740 A/min when the Ar is 80% of the gas mixture. To understand the etching mechanism, the surface state of the etched PST samples was investigated using x-ray photoelectron spectroscopy. It was found that Pb and Ti atoms were removed mainly by the ion-assisted etching mechanism. At the same time, Sr forms extremely low volatile fluorides and therefore can be removed only by physical (sputter) etching

  5. Oxygen and nitrogen plasma etching of three-dimensional hydroxyapatite/chitosan scaffolds fabricated by additive manufacturing

    Science.gov (United States)

    Myung, Sung-Woon; Kim, Byung-Hoon

    2016-01-01

    Three-dimensional (3D) chitosan and hydroxyapatite (HAp)/chitosan (CH) scaffolds were fabricated by additive manufacturing, then their surfaces were etched with oxygen (O2) and nitrogen (N2) plasma. O2 and N2 plasma etching was performed to increase surface properties such as hydrophilicity, roughness, and surface chemistry on the scaffolds. After etching, hydroxyapatite was exposed on the surface of 3D HAp/CH scaffolds. The surface morphology and chemical properties were characterized by contact angle measurement, scanning electron microscopy, X-ray diffraction, and attenuated total reflection Fourier infrared spectroscopy. The cell viability of 3D chitosan scaffolds was examined by 3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide assay. The differentiation of preosteoblast cells was evaluated by alkaline phosphatase assay. The cell viability was improved by O2 and N2 plasma etching of 3D chitosan scaffolds. The present fabrication process for 3D scaffolds might be applied to a potential tool for preparing biocompatible scaffolds.

  6. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    Science.gov (United States)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  7. CoSix contact resistance after etching and ashing plasma exposure

    International Nuclear Information System (INIS)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya

    2009-01-01

    The authors investigated the contact resistance fluctuation caused by CoSi x damage in plasma etching and ashing processes. They found that CoSi x layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH 3 F is used instead of CF 4 during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H 2 /N 2 ashing process in which O 2 was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi x . This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  8. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    Science.gov (United States)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  9. Hydrogen Plasma Processing of Iron Ore

    Science.gov (United States)

    Sabat, Kali Charan; Murphy, Anthony B.

    2017-06-01

    Iron is currently produced by carbothermic reduction of oxide ores. This is a multiple-stage process that requires large-scale equipment and high capital investment, and produces large amounts of CO2. An alternative to carbothermic reduction is reduction using a hydrogen plasma, which comprises vibrationally excited molecular, atomic, and ionic states of hydrogen, all of which can reduce iron oxides, even at low temperatures. Besides the thermodynamic and kinetic advantages of a hydrogen plasma, the byproduct of the reaction is water, which does not pose any environmental problems. A review of the theory and practice of iron ore reduction using a hydrogen plasma is presented. The thermodynamic and kinetic aspects are considered, with molecular, atomic and ionic hydrogen considered separately. The importance of vibrationally excited hydrogen molecules in overcoming the activation energy barriers, and in transferring energy to the iron oxide, is emphasized. Both thermal and nonthermal plasmas are considered. The thermophysical properties of hydrogen and argon-hydrogen plasmas are discussed, and their influence on the constriction and flow in the of arc plasmas is considered. The published R&D on hydrogen plasma reduction of iron oxide is reviewed, with both the reduction of molten iron ore and in-flight reduction of iron ore particles being considered. Finally, the technical and economic feasibility of the process are discussed. It is shown that hydrogen plasma processing requires less energy than carbothermic reduction, mainly because pelletization, sintering, and cokemaking are not required. Moreover, the formation of the greenhouse gas CO2 as a byproduct is avoided. In-flight reduction has the potential for a throughput at least equivalent to the blast furnace process. It is concluded that hydrogen plasma reduction of iron ore is a potentially attractive alternative to standard methods.

  10. Roughness transitions of diamond(100) induced by hydrogen-plasma treatment

    Science.gov (United States)

    Koslowski, B.; Strobel, S.; Wenig, M. J.; Ziemann, P.

    To investigate the influence of hydrogen-plasma treatment on diamond(100) surfaces, heavily boron (B)-doped HPHT diamond crystals were mechanically and chemo-mechanically polished, and exposed to a microwave-assisted hydrogen plasma on a time scale of several minutes. The resulting surface morphology was analyzed on macroscopic scales by stylus profilometry (PFM) and on microscopic scales by STM and AFM. The polished samples have a roughness of typically 100 pmrms (PFM), with no obvious anisotropic structures at the surface. After exposure of the B-doped diamond(100) to the H-plasma, the roughness increases dramatically, and pronounced anisotropic structures appear, these being closely aligned with the crystallographic axis' and planes. An exposure for 3 minutes to the plasma leads to an increase of the roughness to 2-4 nmrms (STM), and a `brick-wall' pattern appears, formed by weak cusps running along . Very frequently, the cusps are replaced by `negative' pyramids that are bordered by {11X} facets. After an exposure of an additional 5 minutes, the surface roughness of the B-doped samples increases further to 20-40 nmrms (STM), and frequently exhibits a regular pattern with structures at a characteristic length scale of about 100 nm. Those structures are aligned approximately with and they are faceted with faces of approximately {XX1}. These results will be discussed in terms of strain relaxation, similar to the surface roughening observed on SiGe/Si and anisotropic etching.

  11. Effective hydrogenation and surface damage induced by MW-ECR plasma of fine-grained polycrystalline silicon

    Energy Technology Data Exchange (ETDEWEB)

    Madi, D. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria); Prathap, P.; Focsa, A.; Slaoui, A. [Institut d' Electronique du Solide et des Systemes (InESS)-CNRS/UdS, Strasbourg (France); Birouk, B. [Universite de Jijel, Laboratoire d' Etudes et de Modelisation en Electrotechnique (LAMEL), Faculte des Sciences de l' Ingenieur, Jijel (Algeria)

    2010-06-15

    This work reports the investigations on the effects of the hydrogenation process of thin film polycrystalline n{sup +}pp{sup +} mesa silicon cells using MW-ECR plasma in a conventional PECVD system. Different operating parameters such as MW-ECR power, annealing temperature and the doping level of the emitter region were varied. The n{sup +}-type emitter regions were obtained by phosphorus diffusion in a conventional furnace using an oxide doping source containing phosphorus (P507 or P509 solutions, from Filmtronics Inc.). The MW hydrogenation was carried out at a sample temperature of 400 C for 60 min. Both types of emitters formed from P507 and P509 showed V{sub oc} of 155 mV and 206 mV, which increased linearly to 305 mV and 331 mV, respectively, after hydrogenation when the MW power varied from 200 to 650 W. However, the sheet resistances of the n{sup +} emitter region showed a slight increase depending upon hydrogenation power because of its etching. In a further study, hydrogenated samples were annealed in neutral or forming gas (FG) and we observed interesting results on V{sub oc} in the presence of FG. The FG annealing temperature study revealed a strong dependence of V{sub oc} on MW power, which affected the etching level of emitter and emitter dopant concentration, which controls the diffusion of hydrogen ions during post-hydrogenation step. The results were explained in detail by combining the effects of MW power and dopant level of the emitter. (orig.)

  12. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    Science.gov (United States)

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  13. Redeposition of etch products on sidewalls during SiO2 etching in a fluorocarbon plasma. I. Effect of particle emission from the bottom surface in a CF4 plasma

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2002-01-01

    The effect of etch-product redeposition on sidewall properties during the etching of step-shaped SiO 2 patterns in a CF 4 plasma was examined using a Faraday cage located in a transformer coupled plasma etcher. Sidewall properties were observed for two cases: with and without particles emitted from the bottom surface in normal contact with the sidewall. Particles sputtered from the bottom surface were redeposited on the sidewall, which contributes to the formation of a passivation layer on the surface of the latter. The passivation layer consisted of silicon oxide, Si x O y , and fluorocarbon, C x F y , the latter comprising the major species. Ar plasma experiments confirmed that C x F y or a fluorocarbon polymer must be present on the sidewall in order for the Si x O y species to be deposited on the surface. The redeposited particles, which were largely F-deficient fluorocarbon species, as evidenced by x-ray photoelectron spectroscopy analyses, functioned as precursors for fluorocarbon polymerization, resulting in a rough sidewall surface. The chemical etch rates of SiO 2 were retarded by the redeposition of particles, which eventually formed a thick layer, eventually covering the bulk SiO 2 . Auger electron spectroscopy analyses of the sidewall surface affected by the emission from the bottom suggest that the surface consists of three distinct layers: a surface-carbon layer, a redeposition-etch combined layer, and bulk SiO 2

  14. Combining retraction edge lithography and plasma etching for arbitrary contour nanoridge fabrication

    Science.gov (United States)

    Zhao, Yiping; Jansen, Henri; de Boer, Meint; Berenschot, Erwin; Bouwes, Dominique; Gironès, Miriam; Huskens, Jurriaan; Tas, Niels

    2010-09-01

    Edge lithography in combination with fluorine-based plasma etching is employed to avoid the dependence on crystal orientation in single crystal silicon to create monolithic nanoridges with arbitrary contours. This is demonstrated by using a mask with circular structures and Si etching at cryogenic temperature with SF6+O2 plasma mixtures. Initially, the explored etch recipe was used with Cr as the masking material. Although nanoridges with perfect vertical sidewalls have been achieved, Cr causes severe sidewall roughness due to line edge roughness. Therefore, an SU-8 polymer is used instead. Although the SU-8 pattern definition needs further improvement, we demonstrate the possibility of fabricating Si nanoridges of arbitrary contours providing a width below 50 nm and a height between 25 and 500 nm with smooth surface finish. Artifacts in the ridge profile are observed and are mainly caused by the bird's beak phenomenon which is characteristic for the used LOCOS process.

  15. Room temperature inductively coupled plasma etching of InAs/InSb in BCl 3/Cl 2/Ar

    KAUST Repository

    Sun, Jian

    2012-10-01

    Inductively coupled plasma (ICP) etching of InAs and InSb at room temperature has been investigated using BCl 3/Cl 2/Ar plasma. Specifically, the etch rate and post-etching surface morphology were investigated as functions of the gas composition, ICP power, process pressure, and RF chuck power. An optimized process has been developed, yielding anisotropic etching and very smooth surfaces with roughnesses of 0.25 nm for InAs, and 0.57 nm for InSb, which is comparable with the surface of epi-ready polished wafers. The process provides moderate etching rates of 820 /min for InAs and 2800 /min for InSb, and the micro-masking effect is largely avoided. © 2012 Elsevier B.V. All rights reserved.

  16. Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

    NARCIS (Netherlands)

    de Boer, Meint J.; Gardeniers, Johannes G.E.; Jansen, Henricus V.; Gilde, M.J.; Roelofs, Gerard; Sasserath, Jay N.; Elwenspoek, Michael Curt

    This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile

  17. Large scale, highly dense nanoholes on metal surfaces by underwater laser assisted hydrogen etching near nanocrystalline boundary

    Energy Technology Data Exchange (ETDEWEB)

    Lin Dong; Zhang, Martin Yi; Ye Chang; Liu Zhikun; Liu, C. Richard [School of Industrial Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47906 (United States); Cheng, Gary J., E-mail: gjcheng@purdue.edu [School of Industrial Engineering and Birck Nanotechnology Center, Purdue University, West Lafayette, IN 47906 (United States)

    2012-03-01

    A new method to generate large scale and highly dense nanoholes is presented in this paper. By the pulsed laser irradiation under water, the hydrogen etching is introduced to form high density nanoholes on the surfaces of AISI 4140 steel and Ti. In order to achieve higher nanohole density, laser shock peening (LSP) followed by recrystallization is used for grain refinement. It is found that the nanohole density does not increase until recrystallization of the substructures after laser shock peening. The mechanism of nanohole generation is studied in detail. This method can be also applied to generate nanoholes on other materials with hydrogen etching effect.

  18. Large scale, highly dense nanoholes on metal surfaces by underwater laser assisted hydrogen etching near nanocrystalline boundary

    International Nuclear Information System (INIS)

    Lin Dong; Zhang, Martin Yi; Ye Chang; Liu Zhikun; Liu, C. Richard; Cheng, Gary J.

    2012-01-01

    A new method to generate large scale and highly dense nanoholes is presented in this paper. By the pulsed laser irradiation under water, the hydrogen etching is introduced to form high density nanoholes on the surfaces of AISI 4140 steel and Ti. In order to achieve higher nanohole density, laser shock peening (LSP) followed by recrystallization is used for grain refinement. It is found that the nanohole density does not increase until recrystallization of the substructures after laser shock peening. The mechanism of nanohole generation is studied in detail. This method can be also applied to generate nanoholes on other materials with hydrogen etching effect.

  19. Acid etching and plasma sterilization fail to improve osseointegration of grit blasted titanium implants

    DEFF Research Database (Denmark)

    Mortensen, Mikkel Saksø; Jakobsen, Stig Storgaard; Saksø, Henrik

    2012-01-01

    Interaction between implant surface and surrounding bone influences implant fixation. We attempted to improve the bone-implant interaction by 1) adding surface micro scale topography by acid etching, and 2) removing surface-adherent pro-inflammatory agents by plasma cleaning. Implant fixation...... was evaluated by implant osseointegration and biomechanical fixation.The study consisted of two paired animal sub-studies where 10 skeletally mature Labrador dogs were used. Grit blasted titanium alloy implants were inserted press fit in each proximal tibia. In the first study grit blasted implants were...... compared with acid etched grit blasted implants. In the second study grit blasted implants were compared with acid etched grit blasted implants that were further treated with plasma sterilization. Implant performance was evaluated by histomorphometrical investigation (tissue-to-implant contact, peri-implant...

  20. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Naddaf, M.; Saloum, S.

    2008-12-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. It has been found that etching parameters can be controlled to produce a considerably low optical reflectivity porous GaAs layer, attractive for use in solar cells. In addition, it has been observed that the deposition of plasma polymerized HMDSO thin film on porous GaAs surface can be utilized to produce a surface with novel optical properties interesting for solar cells and optoelectronic devices. (author)

  1. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    Science.gov (United States)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  2. Magnetically enhanced triode etching of large area silicon membranes in a molecular bromine plasma

    International Nuclear Information System (INIS)

    Wolfe, J.C.; Sen, S.; Pendharkar, S.V.; Mauger, P.; Shimkunas, A.R.

    1992-01-01

    The optimization of a process for etching 125 mm silicon membranes formed on 150 mm wafers and bonded to Pyrex rings is discussed. A magnetically enhanced triode etching system was designed to provide an intense, remote plasma surrounding the membrane while, at the same time, suppressing the discharge over the membrane itself. For the optimized molecular bromine process, the silicon etch rate is 40 nm/min and the selectivity relative to SiO 2 is 160:1. 14 refs., 6 figs

  3. Optimization of time on CF_4/O_2 etchant for inductive couple plasma reactive ion etching of TiO_2 thin film

    International Nuclear Information System (INIS)

    Adzhri, R.; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M.; Arshad, M. K. Md.; Hashim, U.; Ayub, R. M.

    2016-01-01

    In this work, we investigate the optimum etching of titanium dioxide (TiO_2) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF_4/O_2 gases as plasma etchant with ratio of 3:1, three samples of TiO_2 thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF_4 gases with plasma enhancement by O_2 gas able to break the oxide bond of TiO_2 and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  4. High electronegativity multi-dipolar electron cyclotron resonance plasma source for etching by negative ions

    DEFF Research Database (Denmark)

    Stamate, Eugen; Draghici, M.

    2012-01-01

    A large area plasma source based on 12 multi-dipolar ECR plasma cells arranged in a 3 x 4 matrix configuration was built and optimized for silicon etching by negative ions. The density ratio of negative ions to electrons has exceeded 300 in Ar/SF6 gas mixture when a magnetic filter was used...... to reduce the electron temperature to about 1.2 eV. Mass spectrometry and electrostatic probe were used for plasma diagnostics. The new source is free of density jumps and instabilities and shows a very good stability for plasma potential, and the dominant negative ion species is F-. The magnetic field...... in plasma volume is negligible and there is no contamination by filaments. The etching rate by negative ions measured in Ar/SF6/O-2 mixtures was almost similar with that by positive ions reaching 700 nm/min. (C) 2012 American Institute of Physics...

  5. Prevention of sidewall redeposition of etched byproducts in the dry Au etch process

    International Nuclear Information System (INIS)

    Aydemir, A; Akin, T

    2012-01-01

    In this paper we present a new technique of etching thin Au film in a dual frequency inductively coupled plasma (ICP) system on Si substrate to prevent the redeposition of etched Au particles over the sidewall of the masking material known as veils. First, the effect of the lithography step was investigated. Then the effects of etch chemistry and the process parameters on the redeposition of etched Au particles on the sidewall of the masking material were investigated. The redeposition effect was examined by depositing a thin Ti film over the masking material acting as a hard mask. The results showed that depositing a thin Ti film over the masking material prevents the formation of veils after etching Au in plasma environments for submicron size structures. Based on the results of this study, we propose a new technique that completely eliminates formation of veils after etching Au in plasma environments for submicron size structures. (paper)

  6. Hydrogenation properties of Zr films under various conditions of hydrogen plasma

    CERN Document Server

    Yan Guo Qiang; Zhou Zhu Ying; Zhao Guo Qing; Hu Pei Gang; Luo Shun Zhong; Peng Shu Ming; Ding Wei; Long Xing Gui

    2002-01-01

    The hydrogenation properties of Zr samples with and without an Ni overlayer under various plasma conditions were investigated by means of non-Rutherford backscattering and elastic recoil detection analysis. The theoretical maximum hydrogen capacity, 66.7 at%, could be achieved at a hydrogen absolute pressure of approx 2 Pa and a substrate temperature of approx 393K for a plasma irradiation of only 10 min; this was significantly greater than that for gas hydrogenation under the same hydrogen pressure and substrate temperature. It was also found that the C and O contamination on the sample surface strongly influences the hydrogenation, and that the maximum equilibrium hydrogen content drops dramatically with the increasing total contamination. In addition, the influence of the Ni overlayer on the plasma hydrogenation is discussed

  7. A study on decontamination of TRU, Co, and Mo using plasma surface etching technique

    International Nuclear Information System (INIS)

    Seo, Y.D.; Kim, Y.S.; Paek, S.H.; Lee, K.H.; Jung, C.H.; Oh, W.Z.

    2001-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability and the effectiveness of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds and metallic Co and Mo are selected because they are the principal contaminants in the spent nuclear components such as valves and pipes made of stainless steel or INCONEL. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 to CF 4 /O 2 mixture gas is 20 %, regardless of temperature and r.f. power. (author)

  8. Surface Roughening of Polystyrene and Poly(methyl methacrylate in Ar/O2 Plasma Etching

    Directory of Open Access Journals (Sweden)

    Amy E. Wendt

    2010-12-01

    Full Text Available Selectively plasma-etched polystyrene-block-poly(methyl methacrylate (PS-b-PMMA diblock copolymer masks present a promising alternative for subsequent nanoscale patterning of underlying films. Because mask roughness can be detrimental to pattern transfer, this study examines roughness formation, with a focus on the role of cross-linking, during plasma etching of PS and PMMA. Variables include ion bombardment energy, polymer molecular weight and etch gas mixture. Roughness data support a proposed model in which surface roughness is attributed to polymer aggregation associated with cross-linking induced by energetic ion bombardment. In this model, RMS roughness peaks when cross-linking rates are comparable to chain scissioning rates, and drop to negligible levels for either very low or very high rates of cross-linking. Aggregation is minimal for very low rates of cross-linking, while very high rates produce a continuous cross-linked surface layer with low roughness. Molecular weight shows a negligible effect on roughness, while the introduction of H and F atoms suppresses roughness, apparently by terminating dangling bonds. For PS etched in Ar/O2 plasmas, roughness decreases with increasing ion energy are tentatively attributed to the formation of a continuous cross-linked layer, while roughness increases with ion energy for PMMA are attributed to increases in cross-linking from negligible to moderate levels.

  9. High-density plasma-induced etch damage of wafer-bonded AlGaInP/mirror/Si light-emitting diodes

    CERN Document Server

    Wuu, D S; Huang, S H; Chung, C R

    2002-01-01

    Dry etch of wafer-bonded AlGaInP/mirror/Si light-emitting diodes (LEDs) with planar electrodes was performed by high-density plasma using an inductively coupled plasma (ICP) etcher. The etching characteristics were investigated by varying process parameters such as Cl sub 2 /N sub 2 gas combination, chamber pressure, ICP power and substrate-bias power. The corresponding plasma properties (ion flux and dc bias), in situ measured by a Langmuir probe, show a strong relationship to the etch results. With a moderate etch rate of 1.3 mu m/min, a near vertical and smooth sidewall profile can be achieved under a Cl sub 2 /(Cl sub 2 +N sub 2) gas mixture of 0.5, ICP power of 800 W, substrate-bias power of 100 W, and chamber pressure of 0.67 Pa. Quantitative analysis of the plasma-induced damage was attempted to provide a means to study the mechanism of leakage current and brightness with various dc bias voltages (-110 to -328 V) and plasma duration (3-5 min) on the wafer-bonded LEDs. It is found that the reverse leaka...

  10. Three-dimensional photonic crystals created by single-step multi-directional plasma etching.

    Science.gov (United States)

    Suzuki, Katsuyoshi; Kitano, Keisuke; Ishizaki, Kenji; Noda, Susumu

    2014-07-14

    We fabricate 3D photonic nanostructures by simultaneous multi-directional plasma etching. This simple and flexible method is enabled by controlling the ion-sheath in reactive-ion-etching equipment. We realize 3D photonic crystals on single-crystalline silicon wafers and show high reflectance (>95%) and low transmittance (photonic bandgap. Moreover, our method simply demonstrates Si-based 3D photonic crystals that show the photonic bandgap effect in a shorter wavelength range around 0.6 μm, where further fine structures are required.

  11. Dry etching technology for semiconductors

    CERN Document Server

    Nojiri, Kazuo

    2015-01-01

    This book is a must-have reference to dry etching technology for semiconductors, which will enable engineers to develop new etching processes for further miniaturization and integration of semiconductor integrated circuits.  The author describes the device manufacturing flow, and explains in which part of the flow dry etching is actually used. The content is designed as a practical guide for engineers working at chip makers, equipment suppliers and materials suppliers, and university students studying plasma, focusing on the topics they need most, such as detailed etching processes for each material (Si, SiO2, Metal etc) used in semiconductor devices, etching equipment used in manufacturing fabs, explanation of why a particular plasma source and gas chemistry are used for the etching of each material, and how to develop etching processes.  The latest, key technologies are also described, such as 3D IC Etching, Dual Damascene Etching, Low-k Etching, Hi-k/Metal Gate Etching, FinFET Etching, Double Patterning ...

  12. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    Science.gov (United States)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  13. Model etch profiles for ion energy distribution functions in an inductively coupled plasma reactor

    International Nuclear Information System (INIS)

    Chen, W.; Abraham-Shrauner, B.; Woodworth, J.R.

    1999-01-01

    Rectangular trench profiles are modeled with analytic etch rates determined from measured ion distribution functions. The pattern transfer step for this plasma etch is for trilayer lithography. Argon and chlorine angular ion energy distribution functions measured by a spherical collector ring analyzer are fit to a sum of drifting Maxwellian velocity distribution functions with anisotropic temperatures. The fit of the model ion distribution functions by a simulated annealing optimization procedure converges adequately for only two drifting Maxwellians. The etch rates are proportional to analytic expressions for the ion energy flux. Numerical computation of the etch profiles by integration of the characteristic equations for profile points and connection of the profiles points is efficient. copyright 1999 American Vacuum Society

  14. Silicon etch process

    International Nuclear Information System (INIS)

    Day, D.J.; White, J.C.

    1984-01-01

    A silicon etch process wherein an area of silicon crystal surface is passivated by radiation damage and non-planar structure produced by subsequent anisotropic etching. The surface may be passivated by exposure to an energetic particle flux - for example an ion beam from an arsenic, boron, phosphorus, silicon or hydrogen source, or an electron beam. Radiation damage may be used for pattern definition and/or as an etch stop. Ethylenediamine pyrocatechol or aqueous potassium hydroxide anisotropic etchants may be used. The radiation damage may be removed after etching by thermal annealing. (author)

  15. Effect of oxygen plasma etching on pore size-controlled 3D polycaprolactone scaffolds for enhancing the early new bone formation in rabbit calvaria.

    Science.gov (United States)

    Kook, Min-Suk; Roh, Hee-Sang; Kim, Byung-Hoon

    2018-05-02

    This study was to investigate the effects of O 2 plasma-etching of the 3D polycaprolactone (PCL) scaffold surface on preosteoblast cell proliferation and differentiation, and early new bone formation. The PCL scaffolds were fabricated by 3D printing technique. After O 2 plasma treatment, surface characterizations were examined by scanning electron microscopy, atomic force microscopy, and contact angle. MTT assay was used to determine cell proliferation. To investigate the early new bone formation, rabbits were sacrificed at 2 weeks for histological analyses. As the O 2 plasma etching time is increased, roughness and hydrophilicity of the PCL scaffold surface increased. The cell proliferation and differentiation on plasma-etched samples was significantly increased than on untreated samples. At 2 weeks, early new bone formation in O 2 plasma-etched PCL scaffolds was the higher than that of untreated scaffolds. The O 2 plasma-etched PCL scaffolds showed increased preosteoblast differentiation as well as increased new bone formation.

  16. Relationship between deprotection and film thickness loss during plasma etching of positive tone chemically amplified resists

    International Nuclear Information System (INIS)

    Mahorowala, A.P.; Medeiros, D.R.

    2001-01-01

    Positive tone chemically amplified (CA) resists have demonstrated the sensitivity, contrast, and resolution necessary to print state-of-the-art subwavelength features using 248 nm and more recently 193 nm lithography. These materials are also being considered for printing sub-100 nm features with 157 nm and next-generation lithography technologies such as extreme ultraviolet and electron beam projection lithography. The basis for solubility differential and image formation in these resists is the acid catalyzed deprotection of labile protecting groups of an inherently base soluble polymer. The deprotection is effected by the photochemical generation of strong acid during the exposure process. Such acid-catalyzed deprotection reactions can also occur in unexposed resist areas when etched in a plasma. This can be due to UV exposure, high-energy ion bombardment, elevated substrate temperatures, or interaction of the resist surface with plasma species to form acidic moieties. Deprotection has been associated with resist mass loss and film shrinkage during plasma etching, leaving inadequate masking material for the entire etch step. In this article, we report the film thickness loss of several unexposed CA resists as a function of etch time in a variety of plasmas and correlate these data with film composition, monitored by Fourier transform infrared spectroscopy. These results are compared with theoretical predictions based on generally accepted deprotection mechanisms. Our findings indicate that the 'acidic' nature of certain plasmas such as Cl 2 /O 2 can result in deprotection in the resist film, even in the absence of a photoacid generator. Additionally, the data suggest that the nature of the resist polymer and, in turn, the identity of the deprotection products directly influence resist mass loss and etch rate linearity, both of which can be controlled by careful selection of resist materials

  17. Etching Enhancement Followed by Nitridation on Low-k SiOCH Film in Ar/C5F10O Plasma

    Science.gov (United States)

    Miyawaki, Yudai; Shibata, Emi; Kondo, Yusuke; Takeda, Keigo; Kondo, Hiroki; Ishikawa, Kenji; Okamoto, Hidekazu; Sekine, Makoto; Hori, Masaru

    2013-02-01

    The etching rates of low-dielectric-constant (low-k), porous SiOCH (p-SiOCH) films were increased by nitrogen-added Ar/C5F10O plasma etching in dual-frequency (60 MHz/2 MHz)-excited parallel plate capacitively coupled plasma. Previously, perfluoropropyl vinyl ether [C5F10O] provided a very high density of CF3+ ions [Nagai et al.: Jpn. J. Appl. Phys. 45 (2006) 7100]. Surface nitridation on the p-SiOCH surface exposed to Ar/N2 plasma led to the etching of larger amounts of p-SiOCH in Ar/C5F10O plasma, which depended on the formation of bonds such as =C(sp2)=N(sp2)- and -C(sp)≡N(sp).

  18. Dry Phosphorus silicate glass etching and surface conditioning and cleaning for multi-crystalline silicon solar cell processing

    International Nuclear Information System (INIS)

    Kagilik, Ahmed S.

    2014-01-01

    As an alternative to the wet chemical etching method, dry chemical etching processes for Phosphorus silicate glass [PSG} layer removal using Trifluormethane/Sulfur Hexafluoride (CHF 3 / SF 6 ) gas mixture in commercial silicon-nitride plasma enhanced chemical vapour deposition (SiN-PECVD) system is applied. The dependence of the solar cell performance on the etching temperature is investigated and optimized. It is found that the SiN-PECVD system temperature variation has a significant impact on the whole solar cell characteristics. A dry plasma cleaning treatment of the Si wafer surface after the PSG removal step is also investigated and developed. The cleaning step is used to remove the polymer film which is formed during the PSG etching using both oxygen and hydrogen gases. By applying an additional cleaning step, the polymer film deposited on the silicon wafer surface after PSG etching is eliminated. The effect of different plasma cleaning conditions on solar cell performance is investigated. After optimization of the plasma operating conditions, the performance of the solar cell is improved and the overall gain in efficiency of 0.6% absolute is yielded compared to a cell without any further cleaning step. On the other hand, the best solar cell characteristics can reach values close to that achieved by the conventional wet chemical etching processes demonstrating the effectiveness of the additional O 2 /H 2 post cleaning treatment.(author)

  19. Mechanisms for plasma etching of HfO{sub 2} gate stacks with Si selectivity and photoresist trimming

    Energy Technology Data Exchange (ETDEWEB)

    Shoeb, Juline; Kushner, Mark J. [Department of Electrical and Computer Engineering, Iowa State University, Ames, Iowa 50011 (United States); Department of Electrical Engineering and Computer Science, University of Michigan, Ann Arbor, Michigan 48109-2122 (United States)

    2009-11-15

    To minimize leakage currents resulting from the thinning of the insulator in the gate stack of field effect transistors, high-dielectric constant (high-k) metal oxides, and HfO{sub 2} in particular, are being implemented as a replacement for SiO{sub 2}. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, antireflection layers, and dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl{sub 3}/Cl{sub 2} mixtures effectively etches HfO{sub 2} while having good selectivity to Si. In this article, results from integrated reactor and feature scale modeling of gate-stack etching in Ar/BCl{sub 3}/Cl{sub 2} plasmas, preceded by photoresist trimming in Ar/O{sub 2} plasmas, are discussed. It was found that BCl{sub n} species react with HfO{sub 2}, which under ion impact, form volatile etch products such as B{sub m}OCl{sub n} and HfCl{sub n}. Selectivity to Si is achieved by creating Si-B bonding as a precursor to the deposition of a BCl{sub n} polymer which slows the etch rate relative to HfO{sub 2}. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from literature. The effect of bias voltage and key reactant probabilities on etch rate, selectivity, and profile are discussed.

  20. Etching of UO2 in NF3 RF Plasma Glow Discharge

    Energy Technology Data Exchange (ETDEWEB)

    Veilleux, John M. [Univ. of California, Berkeley, CA (United States)

    1999-08-01

    A series of room temperature, low pressure (10.8 to 40 Pa), low power (25 to 210 W) RF plasma glow discharge experiments with UO2 were conducted to demonstrate that plasma treatment is a viable method for decontaminating UO2 from stainless steel substrates. Experiments were conducted using NF3 gas to decontaminate depleted uranium dioxide from stainless-steel substrates. Depleted UO2 samples each containing 129.4 Bq were prepared from 100 microliter solutions of uranyl nitrate hexahydrate solution. The amorphous UO2 in the samples had a relatively low density of 4.8 gm/cm3. Counting of the depleted UO2 on the substrate following plasma immersion was performed using liquid scintillation counting with alpha/beta discrimination due to the presence of confounding beta emitting daughter products, 234Th and 234Pa. The alpha emission peak from each sample was integrated using a gaussian and first order polynomial fit to improve quantification. The uncertainties in the experimental measurement of the etched material were estimated at about ± 2%. Results demonstrated that UO2 can be completely removed from stainless-steel substrates after several minutes processing at under 200 W. At 180 W and 32.7 Pa gas pressure, over 99% of all UO2 in the samples was removed in just 17 minutes. The initial etch rate in the experiments ranged from 0.2 to 7.4 μm/min. Etching increased with the plasma absorbed power and feed gas pressure in the range of 10.8 to 40 Pa. A different pressure effect on UO2 etching was also noted below 50 W in which etching increased up to a maximum pressure, ~23 Pa, then decreased with further increases in pressure.

  1. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    Science.gov (United States)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  2. Temperature dependence on plasma-induced damage and chemical reactions in GaN etching processes using chlorine plasma

    Science.gov (United States)

    Liu, Zecheng; Ishikawa, Kenji; Imamura, Masato; Tsutsumi, Takayoshi; Kondo, Hiroki; Oda, Osamu; Sekine, Makoto; Hori, Masaru

    2018-06-01

    Plasma-induced damage (PID) on GaN was optimally reduced by high-temperature chlorine plasma etching. Energetic ion bombardments primarily induced PID involving stoichiometry, surface roughness, and photoluminescence (PL) degradation. Chemical reactions under ultraviolet (UV) irradiation and chlorine radical exposure at temperatures higher than 400 °C can be controlled by taking into account the synergism of simultaneous photon and radical irradiations to effectively reduce PID.

  3. Plasma treatment of porous GaAs surface formed by electrochemical etching method: Characterization and properties

    International Nuclear Information System (INIS)

    Saloum, S.; Naddaf, M.

    2010-01-01

    Porous GaAs samples were formed by electrochemical anodic etching of Zn doped p-type GaAs (100) wafers at different etching parameters (time, mode of applied voltage or current and electrolyte). The effect of etching parameters and plasma surface treatment on the optical properties of the prepared sample has been investigated by using room temperature photoluminescence (PL), Raman spectroscopy and reflectance spectroscopic measurements in the range (400-800 nm). The surface morphological changes were studied by using atomic force microscope. (author)

  4. Particle behavior in an ECR plasma etch tool

    International Nuclear Information System (INIS)

    Blain, M.G.; Tipton, G.D.; Holber, W.M.; Westerfield, P.L.; Maxwell, K.L.

    1993-01-01

    Sources of particles in a close-coupled electron cyclotron resonance (ECR) polysilicon plasma etch source include flaking of films deposited on chamber surfaces, and shedding of material from electrostatic wafer chucks. A large, episodic increase in the number of particles added to a wafer in a clean system is observed more frequently for a plasma-on than for a gas-only source condition. For polymer forming process conditions, particles were added to wafers by a polymer film which was observed to fracture and flake away from chamber surfaces. The presence of a plasma, especially when rf bias is applied to the wafer, caused more particles to be ejected from the walls and added to wafers than the gas-only condition; however, no significant influence was observed with different microwave powers. A study of effect of electrode temperatures on particles added showed that thermophoretic forces are not significant for this ECR configuration. Particles originating from the electrostatic chuck were observed to be deposited on wafers in much larger numbers in the presence of the plasma as compared to gas-only conditions

  5. The effect of plasma etching on the surface topography of niobium superconducting radio frequency cavities

    Science.gov (United States)

    Radjenović, B.; Radmilović-Radjenović, M.

    2014-11-01

    In this letter the evolution of the surface topography of a niobium superconducting radio frequency cavity caused by different plasma etching modes (isotropic and anisotropic) is studied by the three-dimensional level set method. The initial rough surface is generated starting from an experimental power spectral density. The time dependence of the rms roughness is analyzed and the growth exponential factors β are determined for two etching modes (isotropic and anisotropic) assuming that isotropic etching is a much more effective mechanism of smoothing. The obtained simulation results could be useful for optimizing the parameters of the etching processes needed to obtain high quality niobium surfaces for superconducting radio frequency cavities.

  6. Etch Defect Characterization and Reduction in Hard-Mask-Based Al Interconnect Etching

    International Nuclear Information System (INIS)

    Lee, H.J.; Hung, C.L.; Leng, C.H.; Lian, N.T.; Young, L.W.

    2009-01-01

    This paper identifies the defect adders, for example, post hard-mask etch residue, post metal etch residue, and blocked etch metal island and investigates the removal characteristics of these defects within the oxide-masked Al etching process sequence. Post hard-mask etch residue containing C atom is related to the hardening of photoresist after the conventional post-RIE ashing at 275 degree C. An in situ O 2 -based plasma ashing on RIE etcher was developed to prevent the photoresist hardening from the high-ashing temperature; followed wet stripping could successfully eliminate such hardened polymeric residue. Post metal etch residue was caused from the attack of the Al sidewall by Cl atoms, and too much CHF 3 addition in the Al main etch step passivated the surface of Al resulting in poor capability to remove the Al-containing residue. The lower addition of CHF 3 in the Al main etch step would benefit from the residue removal. One possibility of blocked etch metal island creating was due to the micro masking formed on the opening of Ti N during the hard-mask patterning. We report that an additional Ti N surface pretreatment with the Ar/CHF 3 /N 2 plasmas could reduce the impact of the micro masking residues on blocked metal etch.

  7. Ripple formation on Si surfaces during plasma etching in Cl2

    Science.gov (United States)

    Nakazaki, Nobuya; Matsumoto, Haruka; Sonobe, Soma; Hatsuse, Takumi; Tsuda, Hirotaka; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2018-05-01

    Nanoscale surface roughening and ripple formation in response to ion incidence angle has been investigated during inductively coupled plasma etching of Si in Cl2, using sheath control plates to achieve the off-normal ion incidence on blank substrate surfaces. The sheath control plate consisted of an array of inclined trenches, being set into place on the rf-biased electrode, where their widths and depths were chosen in such a way that the sheath edge was pushed out of the trenches. The distortion of potential distributions and the consequent deflection of ion trajectories above and in the trenches were then analyzed based on electrostatic particle-in-cell simulations of the plasma sheath, to evaluate the angular distributions of ion fluxes incident on substrates pasted on sidewalls and/or at the bottom of the trenches. Experiments showed well-defined periodic sawtooth-like ripples with their wave vector oriented parallel to the direction of ion incidence at intermediate off-normal angles, while relatively weak corrugations or ripplelike structures with the wave vector perpendicular to it at high off-normal angles. Possible mechanisms for the formation of surface ripples during plasma etching are discussed with the help of Monte Carlo simulations of plasma-surface interactions and feature profile evolution. The results indicate the possibility of providing an alternative to ion beam sputtering for self-organized formation of ordered surface nanostructures.

  8. Angular dependence of the redeposition rates during SiO2 etching in a CF4 plasma

    International Nuclear Information System (INIS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Lee, Gyeo-Re; Moon, Sang Heup

    2001-01-01

    The angular dependence of the redeposition rates during SiO 2 etching in a CF 4 plasma was studied using three types of Faraday cages located in a transformer coupled plasma etcher. The SiO 2 substrates were fixed on sample holder slopes that have different angles to the cathode. The substrate was subjected to one of three processes depending on the design of the Faraday cage, i.e., redeposition of sputtered particles from the SiO 2 bottom surface (case I), substrate etching by incident ions (case II), or simultaneous etching and redeposition (case III). Both the redeposition and the etch rates were measured by changing the substrate-surface angle and the self-bias voltage in the range of -100 to -800 V. The redeposition-only rates (case I) at -450 and -800 V closely followed the quadratic curve of the angle whereas the rates at -100 V followed the cubic curve, indicating different mechanisms of the bottom SiO 2 etching depending on the energy regimes. The steep increase of the redeposition rate with the angle was attributed to three factors: the substrate-bottom distance, the angular distribution of emitted particles from the bottom surface, and the particle incident angle on the substrate surface. The etch-only rate curves (case II) closely followed the cosine of the surface angle. The etch-rate curve changed into a reverse-S shape when the substrate was subjected to simultaneous etching and redeposition (case III). The net etch rate for case III decreased drastically above 60 deg. , showing a negative value, i.e., a net redeposition, beyond 75 deg. . The drastic decrease in the net etch rate coincided with the steep increase in the redeposition rate, implying the significant effect of redeposition

  9. Plasma etching of (Ba,Sr)TiO3 thin films using inductively coupled Cl2/Ar and BCl3/Cl2/Ar plasma

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2005-01-01

    BST thin films were etched with inductively coupled plasmas. A chemically assisted physical etch of BST was experimentally confirmed by ICP under various gas mixtures. After a 20% addition of BCl 3 to the Cl 2 /Ar mixture, resulting in an increased the chemical effect. As increases of RF power and substrate power, and decrease of working pressure, the ion energy flux and chlorine atoms density increased. The maximum etch rate of the BST thin films was 90.1 nm/min, and at the RF power, substrate power, and working pressure were 700 W, 300 W, and 1.6 Pa, respectively. It was proposed that sputter etching is dominant etching mechanism while the contribution of chemical reaction is relatively low due to low volatility of etching products

  10. Self-formation of a nanonet of fluorinated carbon nanowires on the Si surface by combined etching in fluorine-containing plasma

    Science.gov (United States)

    Amirov, I. I.; Gorlachev, E. S.; Mazaletskiy, L. A.; Izyumov, M. O.; Alov, N. V.

    2018-03-01

    In this work, we report a technique of the self-formation of a nanonet of fluorinated carbon nanowires on the Si surface using a combined etching in fluorine-containing C4F8/Ar and SF6 plasmas. Using scanning electron microscopy, atomic force microscopy and x-ray photoelectron spectroscopy, we show that after the etching of Si in the C4F8/Ar plasma, a fluorinated carbon film of nanometer-scale thickness is formed on its surface and its formation accelerates at elevated temperatures. After a subsequent short-term etching in the SF6 plasma, the film is modified into a nanonet of self-formed fluorinated carbon nanowires.

  11. Towards the perfect three-way junction: plasma etching and planar optical waveguides

    International Nuclear Information System (INIS)

    Boswell, R.W.; Love, J.D.

    1989-01-01

    A research program is presented in which plasma etching techniques on a microscopic scale will be used to manufacture multiple low-loss wavelength independent Y-junctions, so the optical signals they carry are efficiently coupled, meaning that signals losses should be minimal

  12. Effect of Cl2- and HBr-based inductively coupled plasma etching on InP surface composition analyzed using in situ x-ray photoelectron spectroscopy

    International Nuclear Information System (INIS)

    Bouchoule, S.; Vallier, L.; Patriarche, G.; Chevolleau, T.; Cardinaud, C.

    2012-01-01

    A Cl 2 -HBr-O 2 /Ar inductively coupled plasma (ICP) etching process has been adapted for the processing of InP-based heterostructures in a 300-mm diameter CMOS etching tool. Smooth and anisotropic InP etching is obtained at moderate etch rate (∼600 nm/min). Ex situ x-ray energy dispersive analysis of the etched sidewalls shows that the etching anisotropy is obtained through a SiO x passivation mechanism. The stoichiometry of the etched surface is analyzed in situ using angle-resolved x-ray photoelectron spectroscopy. It is observed that Cl 2 -based ICP etching results in a significantly P-rich surface. The phosphorous layer identified on the top surface is estimated to be ∼1-1.3-nm thick. On the other hand InP etching in HBr/Ar plasma results in a more stoichiometric surface. In contrast to the etched sidewalls, the etched surface is free from oxides with negligible traces of silicon. Exposure to ambient air of the samples submitted to Cl 2 -based chemistry results in the complete oxidation of the P-rich top layer. It is concluded that a post-etch treatment or a pure HBr plasma step may be necessary after Cl 2 -based ICP etching for the recovery of the InP material.

  13. CoSi{sub x} contact resistance after etching and ashing plasma exposure

    Energy Technology Data Exchange (ETDEWEB)

    Katahira, Ken; Fukasawa, Masanaga; Kobayashi, Shoji; Takizawa, Toshifumi; Isobe, Michio; Hamaguchi, Satoshi; Nagahata, Kazunori; Tatsumi, Tetsuya [Nagasaki Production Division 1, Sony Semiconductor Kyushu Corporation, 1883-43 Tsukuba-machi, Isahaya-shi, Nagasaki 854-0065 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Center for Atomic and Molecular Technologies, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871 (Japan); Semiconductor Technology Development Division, Semiconductor Business Group, Sony Corporation, 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)

    2009-07-15

    The authors investigated the contact resistance fluctuation caused by CoSi{sub x} damage in plasma etching and ashing processes. They found that CoSi{sub x} layers damaged by plasma process exposure are readily oxidized when exposed to air resulting in increased resistance. They also found that the contact resistance increases more when CH{sub 3}F is used instead of CF{sub 4} during etching process. The lower the mass number of dominant ions becomes, the deeper the ions penetrate. Molecular dynamics simulation revealed that dissociated species from lighter ions penetrate deeper and that this stimulates deeper oxidation. They also found that contact resistance further increased by using postetch ashing plasma even in an H{sub 2}/N{sub 2} ashing process in which O{sub 2} was not used. Here, too, the reason for this is that the ion penetration causes deep oxidation. They observed that the contact resistance has a linear relationship with the oxide concentration in CoSi{sub x}. This leads to the conclusion that it is essential to precisely control the ion energy as well as to properly select the ion species in the plasma process in the fabrication of next-generation semiconductor devices.

  14. Dry Etching

    DEFF Research Database (Denmark)

    Stamate, Eugen; Yeom, Geun Young

    2016-01-01

    generation) to 2,200 × 2,500 mm (eighth generation), and the substrate size is expected to increase further within a few years. This chapter aims to present relevant details on dry etching including the phenomenology, materials to be etched with the different recipes, plasma sources fulfilling the dry...

  15. Hydrogen manufacturing using plasma reformers

    Energy Technology Data Exchange (ETDEWEB)

    Bromberg, L.; Cohn, D.R.; Rabinovich, A.; Hochgreb, S.; O`Brien, C. [Massachusetts Institute of Technology, Cambridge, MA (United States)

    1996-10-01

    Manufacturing of hydrogen from hydrocarbon fuels is needed for a variety of applications. These applications include fuel cells used in stationary electric power production and in vehicular propulsion. Hydrogen can also be used for various combustion engine systems. There is a wide range of requirements on the capacity of the hydrogen manufacturing system, the purity of the hydrogen fuel, and capability for rapid response. The overall objectives of a hydrogen manufacturing facility are to operate with high availability at the lowest possible cost and to have minimal adverse environmental impact. Plasma technology has potential to significantly alleviate shortcomings of conventional means of manufacturing hydrogen. These shortcomings include cost and deterioration of catalysts; limitations on hydrogen production from heavy hydrocarbons; limitations on rapid response; and size and weight requirements. In addition, use of plasma technology could provide for a greater variety of operating modes; in particular the possibility of virtual elimination of CO{sub 2} production by pyrolytic operation. This mode of hydrogen production may be of increasing importance due to recent additional evidence of global warming.

  16. Formation of hydrogen-related traps in electron-irradiated n-type silicon by wet chemical etching

    International Nuclear Information System (INIS)

    Tokuda, Yutaka; Shimada, Hitoshi

    1998-01-01

    Interaction of hydrogen atoms and vacancy-related defects in 10 MeV electron-irradiated n-type silicon has been studied by deep-level transient spectroscopy. Hydrogen has been incorporated into electron-irradiated n-type silicon by wet chemical etching. The reduction of the concentration of the vacancy-oxygen pair and divacancy occurs by the incorporation of hydrogen, while the formation of the NH1 electron trap (E c - 0.31 eV) is observed. Further decrease of the concentration of the vacancy-oxygen pair and further increase of the concentration of the NH1 trap are observed upon subsequent below-band-gap light illumination. It is suggested that the trap NH1 is tentatively ascribed to the vacancy-oxygen pair which is partly saturated with hydrogen

  17. Roughness generation during Si etching in Cl{sub 2} pulsed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Mourey, Odile; Petit-Etienne, Camille; Cunge, Gilles, E-mail: gilles.cunge@cea.fr; Darnon, Maxime; Despiau-Pujo, Emilie; Brichon, Paulin; Lattu-Romain, Eddy; Pons, Michel; Joubert, Olivier [Univ. Grenoble Alpes, CNRS, CEA-Leti Minatec, LTM, F-38054 Grenoble Cedex (France)

    2016-07-15

    Pulsed plasmas are promising candidates to go beyond limitations of continuous waves' plasma. However, their interaction with surfaces remains poorly understood. The authors investigated the silicon etching mechanism in inductively coupled plasma (ICP) Cl{sub 2} operated either in an ICP-pulsed mode or in a bias-pulsed mode (in which only the bias power is pulsed). The authors observed systematically the development of an important surface roughness at a low duty cycle. By using plasma diagnostics, they show that the roughness is correlated to an anomalously large (Cl atoms flux)/(energetic ion flux) ratio in the pulsed mode. The rational is that the Cl atom flux is not modulated on the timescale of the plasma pulses although the ion fluxes and energy are modulated. As a result, a very strong surface chlorination occurs during the OFF period when the surface is not exposed to energetic ions. Therefore, each energetic ion in the ON period will bombard a heavily chlorinated silicon surface, leading to anomalously high etching yield. In the ICP pulsed mode (in which the ion energy is high), the authors report yields as high as 40, which mean that each individual ion impacts will generate a “crater” of about 2 nm depth at the surface. Since the ion flux is very small in the pulsed ICP mode, this process is stochastic and is responsible for the roughness initiation. The roughness expansion can then be attributed partly to the ion channeling effect and is probably enhanced by the formation of a SiClx reactive layer with nonhomogeneous thickness over the topography of the surface. This phenomenon could be a serious limitation of pulsed plasma processes.

  18. Patterning functional materials using channel diffused plasma-etched self-assembled monolayer templates

    NARCIS (Netherlands)

    George, A.; Maijenburg, A.W.; Maas, M.G.; Blank, David H.A.; ten Elshof, Johan E.

    2011-01-01

    A simple and cost-effective methodology for large-area micrometer-scale patterning of a wide range of metallic and oxidic functional materials is presented. Self-assembled monolayers (SAM) of alkyl thiols on Au were micropatterned by channel-diffused oxygen plasma etching, a method in which selected

  19. Optimization of time on CF{sub 4}/O{sub 2} etchant for inductive couple plasma reactive ion etching of TiO{sub 2} thin film

    Energy Technology Data Exchange (ETDEWEB)

    Adzhri, R., E-mail: adzhri@gmail.com; Fathil, M. F. M.; Ruslinda, A. R.; Gopinath, Subash C. B.; Voon, C. H.; Foo, K. L.; Nuzaihan, M. N. M.; Azman, A. H.; Zaki, M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); Arshad, M. K. Md., E-mail: mohd.khairuddin@unimap.edu.my; Hashim, U.; Ayub, R. M. [Institute of Nano Electronic Engineering (INEE), Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia); School of Microelectronic Engineering, Universiti Malaysia Perlis (UniMAP), Perlis (Malaysia)

    2016-07-06

    In this work, we investigate the optimum etching of titanium dioxide (TiO{sub 2}) using inductive couple plasma reactive ion etching (ICP-RIE) on our fabricated devices. By using a combination of CF{sub 4}/O{sub 2} gases as plasma etchant with ratio of 3:1, three samples of TiO{sub 2} thin film were etched with different time duration of 10 s, 15 s and 20 s. The ion bombardment of CF{sub 4} gases with plasma enhancement by O{sub 2} gas able to break the oxide bond of TiO{sub 2} and allow anisotropic etch profile with maximum etch rate of 18.6 nm/s. The sample was characterized by using optical profilometer to determine the depth of etched area and scanning electron microscopy (SEM) for etch profile characterization.

  20. Mechanisms and selectivity for etching of HfO2 and Si in BCl3 plasmas

    International Nuclear Information System (INIS)

    Wang Chunyu; Donnelly, Vincent M.

    2008-01-01

    The authors have investigated plasma etching of HfO 2 , a high dielectric constant material, and poly-Si in BCl 3 plasmas. Etching rates were measured as a function of substrate temperature (T s ) at several source powers. Activation energies range from 0.2 to 1.0 kcal/mol for HfO 2 and from 0.8 to 1.8 kcal/mol for Si, with little or no dependence on source power (20-200 W). These low activation energies suggest that product removal is limited by chemical sputtering of the chemisorbed Hf or Si-containing layer, with a higher T s only modestly increasing the chemical sputtering rate. The slightly lower activation energy for HfO 2 results in a small improvement in selectivity over Si at low temperature. The surface layers formed on HfO 2 and Si after etching in BCl 3 plasmas were also investigated by vacuum-transfer x-ray photoelectron spectroscopy. A thin boron-containing layer was observed on partially etched HfO 2 and on poly-Si after etching through HfO 2 films. For HfO 2 , a single B(1s) feature at 194 eV was ascribed to a heavily oxidized species with bonding similar to B 2 O 3 . B(1s) features were observed for poly-Si surfaces at 187.6 eV (B bound to Si), 189.8 eV, and 193 eV (both ascribed to BO x Cl y ). In the presence of a deliberately added 0.5% air, the B-containing layer on HfO 2 is largely unaffected, while that on Si converts to a thick layer with a single B(1s) peak at 194 eV and an approximate stoichiometry of B 3 O 4 Cl

  1. Population inversion in recombining hydrogen plasma

    International Nuclear Information System (INIS)

    Furukane, Utaro; Yokota, Toshiaki; Oda, Toshiatsu.

    1978-11-01

    The collisional-radiative model is applied to a recombining hydrogen plasma in order to investigate the plasma condition in which the population inversion between the energy levels of hydrogen can be generated. The population inversion is expected in a plasma where the three body recombination has a large contribution to the recombining processes and the effective recombination rate is beyond a certain value for a given electron density and temperature. Calculated results are presented in figures and tables. (author)

  2. Ultralow field emission from thinned, open-ended, and defected carbon nanotubes by using microwave hydrogen plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Jian-Hua, E-mail: jhdeng1983@163.com [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Lin; Wang, Fan-Jie; Yu, Bin; Li, Guo-Zheng; Li, De-Jun [College of Physics and Materials Science, Tianjin Normal University, Tianjin 300387 (China); Cheng, Guo-An [Key Laboratory of Beam Technology and Material Modification of Ministry of Education, Beijing Normal University, Beijing 100875 (China)

    2015-01-01

    Graphical abstract: Thinned, open-ended, and defected carbon nanotubes were prepared by using hydrogen plasma processing. The processed carbon nanotubes have far better field emission performance than that of the pristine ones. - Highlights: • CVD prepared CNT arrays were processed by microwave hydrogen plasma. • Thinned, open-ended, and defected CNTs were obtained. • Processed CNTs have far better field emission performance than the pristine ones. • Processed CNTs have applicable emission stability after being perfectly aged. - Abstract: Ultralow field emission is achieved from carbon nanotubes (CNTs) by using microwave hydrogen plasma processing. After the processing, typical capped CNT tips are removed, with thinned, open-ended, and defected CNTs left. Structural analyses indicate that the processed CNTs have more SP{sup 3}-hybridized defects as compared to the pristine ones. The morphology of CNTs can be readily controlled by adjusting microwave powers, which change the shape of CNTs by means of hydrogen plasma etching. Processed CNTs with optimal morphology are found to have an ultralow turn-on field of 0.566 V/μm and threshold field of 0.896 V/μm, much better than 0.948 and 1.559 V/μm of the as-grown CNTs, respectively. This improved FE performance is ascribed to the structural changes of CNTs after the processing. The thinned and open-ended shape of CNTs can facilitate electron tunneling through barriers and additionally, the increased defects at tube walls can serve as new active emission sites. Furthermore, our plasma processed CNTs exhibit excellent field emission stability at a large emission current density of 10.36 mA/cm{sup 2} after being perfectly aged, showing promising prospects in applications as high-performance vacuum electron sources.

  3. Performance enhancement of membrane electrode assemblies with plasma etched polymer electrolyte membrane in PEM fuel cell

    Energy Technology Data Exchange (ETDEWEB)

    Cho, Yong-Hun; Yoon, Won-Sub [School of Advanced Materials Engineering, Kookmin University, 861-1 Jeongneung-dong, Seongbuk-gu, Seoul 136-702 (Korea); Bae, Jin Woo; Cho, Yoon-Hwan; Lim, Ju Wan; Ahn, Minjeh; Jho, Jae Young; Sung, Yung-Eun [World Class University (WCU) program of Chemical Convergence for Energy and Environment (C2E2), School of Chemical and Biological Engineering, College of Engineering, Seoul National University (SNU), 599 Gwanak-Ro, Gwanak-gu, Seoul 151-744 (Korea); Kwon, Nak-Hyun [Fuel Cell Vehicle Team 3, Advanced Technology Center, Corporate Research and Development Division, Hyundai-Kia Motors, 104 Mabuk-dong, Giheung-gu, Yongin-si, Gyeonggi-do 446-912 (Korea)

    2010-10-15

    In this work, a surface modified Nafion 212 membrane was fabricated by plasma etching in order to enhance the performance of a membrane electrode assembly (MEA) in a polymer electrolyte membrane fuel cell. Single-cell performance of MEA at 0.7 V was increased by about 19% with membrane that was etched for 10 min compared to that with untreated Nafion 212 membrane. The MEA with membrane etched for 20 min exhibited a current density of 1700 mA cm{sup -2} at 0.35 V, which was 8% higher than that of MEA with untreated membrane (1580 mA cm{sup -2}). The performances of MEAs containing etched membranes were affected by complex factors such as the thickness and surface morphology of the membrane related to etching time. The structural changes and electrochemical properties of the MEAs with etched membranes were characterized by field emission scanning electron microscopy, Fourier transform-infrared spectrometry, electrochemical impedance spectroscopy, and cyclic voltammetry. (author)

  4. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    International Nuclear Information System (INIS)

    Altamore, C; Tringali, C; Sparta', N; Marco, S Di; Grasso, A; Ravesi, S

    2010-01-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10 5 ) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10 1 Hz to 10 6 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl 2 /Ar chemistry. The relationship between the etch rate and the Cl 2 /Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl 2 /Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  5. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Altamore, C; Tringali, C; Sparta' , N; Marco, S Di; Grasso, A; Ravesi, S [STMicroelectronics, Industial and Multi-segment Sector R and D, Catania (Italy)

    2010-02-15

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (10{sup 5}) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 10{sup 1} Hz to 10{sup 6} Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl{sub 2}/Ar chemistry. The relationship between the etch rate and the Cl{sub 2}/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl{sub 2}/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  6. Layer-by-layer thinning of MoSe_2 by soft and reactive plasma etching

    International Nuclear Information System (INIS)

    Sha, Yunfei; Xiao, Shaoqing; Zhang, Xiumei; Qin, Fang; Gu, Xiaofeng

    2017-01-01

    Highlights: • Soft plasma etching technique using SF_6 + N_2 as precursors for layer-by-layer thinning of MoSe_2 was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe_2 were also demonstrated. • Equal numbers of MoSe_2 layers can be removed uniformly without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe_2) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe_2 can be changed from the indirect band gap to the direct band gap when MoSe_2 changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe_2 layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe_2 nanaosheets down to monolayer by using SF_6 + N_2 plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe_2 layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO_2 substrate and the remaining MoSe_2 layers. By adjusting the etching rates we can achieve complete MoSe_2 removal and any disired number of MoSe_2 layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  7. Etching conditions and shape of tracks

    International Nuclear Information System (INIS)

    Kudo, Shuichi

    1979-01-01

    The etching effect of hydrogen fluoride (HF) solution of 5%, 10%, 20% and 46% was investigated, using the perlite dug out at Wada-toge, Japan. They were studied by the progressive etching at 30 deg C, after the perlite was subjected to thermal neutron irradiation for 8 hours in the research reactor of the Institute for Atomic Energy of St. Paul (Rikkyo) University. Observation was performed mainly by replica, and false tracks, which are difficult to be judged whether they are the tracks or not, didn't appear as far as this experiment was concerned. Measurements of etch-pits and track density were carried out. The results of these investigations were considered and analyzed to describe them in five sections. The conclusions are as follows: (1) Regarding the ease of etch-pit observation and the adjustment of etching time, etching with 5% HF solution is most advantageous among four solutions of 5, 10, 20 and 46% HF. (2) The measurement of track density is more affected by the difference in counting criteria than the difference in etching conditions. The data on the size of etch-pits are required to discuss the problems of track density and counting efficiency. (3) If linear tracks are to be observed using hydrogen fluoride, it is necessary to investigate the etching characteristics with the solution of lower concentration. (Wakatsuki, Y.)

  8. Surface etching technologies for monocrystalline silicon wafer solar cells

    Science.gov (United States)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  9. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    Science.gov (United States)

    Chen, Z.; Yin, C.; Wang, S.; Ito, K.; Fu, Q. M.; Deng, Q. R.; Fu, P.; Lin, Z. D.; Zhang, Y.

    2017-01-01

    A polysulfone/TiO2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result.

  10. Antifouling enhancement of polysulfone/TiO2 nanocomposite separation membrane by plasma etching

    International Nuclear Information System (INIS)

    Chen, Z; Yin, C; Wang, S; Fu, Q M; Deng, Q R; Fu, P; Lin, Z D; Zhang, Y; Ito, K

    2017-01-01

    A polysulfone/TiO 2 nanocomposite membrane was prepared via casting method, followed by the plasma etching of the membrane surface. Doppler broadened energy spectra vs. positron incident energy were employed to elucidate depth profiles of the nanostructure for the as-prepared and treated membranes. The results confirmed that the near-surface of the membrane was modified by the plasma treatment. The antifouling characteristics for the membranes, evaluated using the degradation of Rhodamin B, indicated that the plasma treatment enhances the photo catalytic ability of the membrane, suggesting that more TiO 2 nanoparticles are exposed at the membrane surface after the plasma treatment as supported by the positron result. (paper)

  11. Microwave plasma for hydrogen production from liquids

    Directory of Open Access Journals (Sweden)

    Czylkowski Dariusz

    2016-06-01

    Full Text Available The hydrogen production by conversion of liquid compounds containing hydrogen was investigated experimentally. The waveguide-supplied metal cylinder-based microwave plasma source (MPS operated at frequency of 915 MHz at atmospheric pressure was used. The decomposition of ethanol, isopropanol and kerosene was performed employing plasma dry reforming process. The liquid was introduced into the plasma in the form of vapour. The amount of vapour ranged from 0.4 to 2.4 kg/h. Carbon dioxide with the flow rate ranged from 1200 to 2700 NL/h was used as a working gas. The absorbed microwave power was up to 6 kW. The effect of absorbed microwave power, liquid composition, liquid flow rate and working gas fl ow rate was analysed. All these parameters have a clear influence on the hydrogen production efficiency, which was described with such parameters as the hydrogen production rate [NL(H2/h] and the energy yield of hydrogen production [NL(H2/kWh]. The best achieved experimental results showed that the hydrogen production rate was up to 1116 NL(H2/h and the energy yield was 223 NL(H2 per kWh of absorbed microwave energy. The results were obtained in the case of isopropanol dry reforming. The presented catalyst-free microwave plasma method can be adapted for hydrogen production not only from ethanol, isopropanol and kerosene, but also from different other liquid compounds containing hydrogen, like gasoline, heavy oils and biofuels.

  12. Hydrogen production using plasma processing

    International Nuclear Information System (INIS)

    Wagner, D.; Whidden, T.K.

    2006-01-01

    Plasma processing is a promising method of extracting hydrogen from natural gas while avoiding the greenhouse gas (GHG) production typical of other methods such as steam methane reforming. This presentation describes a plasma discharge process based that, in a single reactor pass, can yield hydrogen concentrations of up to 50 % by volume in the product gas mixture. The process is free of GHG's, does not require catalysts and is easily scalable. Chemical and morphological analyses of the gaseous and solid products of the process by gas-chromatography/mass-spectrometry, microscopic Raman analyses and electron microscopy respectively are reviewed. The direct production of hydrogen-enriched natural gas (HENG) as a fuel for low pollution internal combustion engines and its purification to high-purity hydrogen (99.99%) from the product gas by pressure swing adsorption (PSA) purifier beds are reviewed. The presentation reviews potential commercial applications for the technology

  13. Hydrogen sulfide waste treatment by microwave plasma-chemistry

    Energy Technology Data Exchange (ETDEWEB)

    Harkness, J.B.L.; Doctor, R.D.

    1994-03-01

    A waste-treatment process that recovers both hydrogen and sulfur from industrial acid-gas waste streams is being developed to replace the Claus technology, which recovers only sulfur. The proposed process is derived from research reported in the Soviet technical literature and uses microwave (or radio-frequency) energy to initiate plasma-chemical reactions that dissociate hydrogen sulfide into elemental hydrogen and sulfur. This process has several advantages over the current Claus-plus-tail-gas-cleanup technology, which burns the hydrogen to water. The primary advantage of the proposal process is its potential for recovering and recycling hydrogen more cheaply than the direct production of hydrogen. Since unconverted hydrogen sulfide is recycled to the plasma reactor, the plasma-chemical process has the potential for sulfur recoveries in excess of 99% without the additional complexity of the tail-gas-cleanup processes associated with the Claus technology. There may also be some environmental advantages to the plasma-chemical process, because the process purge stream would primarily be the carbon dioxide and water contained in the acid-gas waste stream. Laboratory experiments with pure hydrogen sulfide have demonstrated the ability of the process to operate at or above atmospheric pressure with an acceptable hydrogen sulfide dissociation energy. Experiments with a wide range of acid-gas compositions have demonstrated that carbon dioxide and water are compatible with the plasma-chemical dissociation process and that they do not appear to create new waste-treatment problems. However, carbon dioxide does have negative impacts on the overall process. First, it decreases the hydrogen production, and second, it increases the hydrogen sulfide dissociation energy.

  14. Improvement in ferroelectric properties of Pt/PZT/Pt capacitors etched as a function of Ar/O2 gas mixing ratio into Cl2/CF4 plasma

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Koo, Seong-Mo; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    In this work, to investigate improvement of the damage using oxygen containing plasma, we etched PZT films as a function of Ar (x%)/O 2 (y%) gas mixing ratio in Cl 2 (56%)/CF 4 (14%) plasma (where the sum of x and y is 30). The maximum etch rate of the PZT thin films was 146 nm/min for Ar (30%)/O 2 (0%) added into the Cl 2 /CF 4 plasma. After the etching, the plasma-induced damages were characterized in terms of hysteresis curves, leakage current, switching polarization and retention capacity as a function of the gas mixing ratio. When the ferroelectric properties of PZT films were etched as a function of O 2 and Ar and the gas mixing ratios were compared, the value of remnant polarization in O 2 (30%) added Cl 2 /CF 4 plasma is higher than that in Ar (30%). The results showed that after the etching the charges accumulated by oxygen vacancies prevented further domain switching at the top electrode-ferroelectric interface and created leakage current because of modification of the interfacial Schottky barrier during the etching process. The physical damage to the near surface and the crystal structure of the etched PZT thin films was evaluated by using X-ray diffraction (XRD). The remnant polarization, leakage current, retention and fatigue properties are improved with increasing O 2 content. From XRD results, the improvement in the ferroelectric properties of PZT capacitors etched in O 2 containing plasma was consistent with the increased intensities of the (100) and (200) peaks

  15. Plasma etching to enhance the surface insulating stability of alumina for fusion applications

    Directory of Open Access Journals (Sweden)

    M. Malo

    2016-12-01

    Full Text Available A significant increase in the surface electrical conductivity of alumina, considered one of the most promising insulating materials for numerous applications in fusion devices, has been observed during ion bombardment in vacuum due to oxygen loss by preferential sputtering. Although this is expected to cause serious limitations to insulating components functionality, recent studies showed it is possible to restore the damaged lattice by oxygen reincorporation during thermal treatments in air. These studies also revealed a correlation between conductivity and ion beam induced luminescence, which is being used to monitor surface electrical conductivity degradation and help qualify the post irradiation recovery. Work now carried out for Wesgo alumina considers oxygen implantation and plasma etching as additional methods to improve recovered layer depth and quality. Both conductivity and luminescence results indicate the potential use of plasma etching not only for damage recovery, but also as a pre-treatment to enhance material stability during irradiation.

  16. No positive effect of Acid etching or plasma cleaning on osseointegration of titanium implants in a canine femoral condyle press-fit model

    DEFF Research Database (Denmark)

    Saksø, Henrik; Jakobsen, Thomas Vestergaard; Mortensen, Mikkel Saksø

    2013-01-01

    Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants.......Implant surface treatments that improve early osseointegration may prove useful in long-term survival of uncemented implants. We investigated Acid Etching and Plasma Cleaning on titanium implants....

  17. Predictable topography simulation of SiO2 etching by C5F8 gas combined with a plasma simulation, sheath model and chemical reaction model

    International Nuclear Information System (INIS)

    Takagi, S; Onoue, S; Iyanagi, K; Nishitani, K; Shinmura, T; Kanoh, M; Itoh, H; Shioyama, Y; Akiyama, T; Kishigami, D

    2003-01-01

    We have developed a simulation for predicting reactive ion etching (RIE) topography, which is a combination of plasma simulation, the gas reaction model, the sheath model and the surface reaction model. The simulation is applied to the SiO 2 etching process of a high-aspect-ratio contact hole using C 5 F 8 gas. A capacitively coupled plasma (CCP) reactor of an 8-in. wafer was used in the etching experiments. The baseline conditions are RF power of 1500 W and gas pressure of 4.0 Pa in a gas mixture of Ar, O 2 and C 5 F 8 . The plasma simulation reproduces the tendency that CF 2 radical density increases rapidly and the electron density decreases gradually with increasing gas flow rate of C 5 F 8 . In the RIE topography simulation, the etching profiles such as bowing and taper shape at the bottom are reproduced in deep holes with aspect ratios greater than 19. Moreover, the etching profile, the dependence of the etch depth on the etching time, and the bottom diameter can be predicted by this simulation

  18. Aligned Carbon Nanotubes Array by DC Glow Plasma Etching for Supercapacitor

    Directory of Open Access Journals (Sweden)

    Yongfeng Luo

    2013-01-01

    Full Text Available To open the end of carbon nanotubes and make these ends connect with functional carboxyl group, aligned carbon nanotubes (CNTs arrays was etched by DC glow oxygen-argon plasma. With these open-ended carbon nanotubes array as electrode materials to build supercapacitor, we found that the capacity (32.2 F/g increased significantly than that of pure carbon nanotubes (6.7 F/g.

  19. Experimental investigation of a hydrogen plasma railgun

    International Nuclear Information System (INIS)

    Harden, B.; Howell, J.R.

    1991-01-01

    This paper reports that the plasma velocity and temperature and composition distributions in a hydrogen plasma railgun were measured. Typical velocities near the muzzle were ∼95 km/s at an initial capacitor bank stored energy of 4.1 kJ. Temperatures ranged from a peak of ∼24000 K in the current-carrying plasma, to ∼85000 K in the tail. The current-carrying plasma was composed of roughly equal parts of hydrogen and copper. Also, computer modeling of armature B probe signals has yielded a simple interpretation of the signal

  20. Growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy

    Science.gov (United States)

    Oshima, Yuichi; Ahmadi, Elaheh; Kaun, Stephen; Wu, Feng; Speck, James S.

    2018-01-01

    We investigated the homoepitaxial growth and etching characteristics of (001) β-Ga2O3 by plasma-assisted molecular beam epitaxy. The growth rate of β-Ga2O3 increased with increasing Ga-flux, reaching a clear plateau of 56 nm h-1, and then decreased at higher Ga-flux. The growth rate decreased from 56 to 42 nm h-1 when the substrate temperature was increased from 750 °C to 800 °C. The growth rate was negative (net etching) when only Ga-flux was supplied. The etching rate proportionally increased with increasing the Ga-flux, reaching 84 nm h-1. The etching was enhanced at higher temperatures. It was found that Ga-etching of (001) β-Ga2O3 substrates prior to the homoepitaxial growth markedly improved the surface roughness of the film.

  1. Electronegativity-dependent tin etching from thin films

    Energy Technology Data Exchange (ETDEWEB)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de; Lee, C. J.; Bijkerk, F. [Industrial Focus Group XUV Optics, MESA+ Institute for Nanotechnology, University of Twente, Drienerlolaan 5, Enschede (Netherlands)

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that, due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.

  2. Development of the DC-RF Hybrid Plasma Source and the Application to the Etching and Texturing of the Silicon Surface

    International Nuclear Information System (INIS)

    Kim, Ji Hun

    2011-02-01

    Plasma used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in general. However, low pressure processing is very costly due to the use of vacuum equipment and vacuum components. Subsequent wet processing is environmentally undesirable due to the use of a large amount of chemicals. Also, the usage of vacuum processing increases fabrication cost and decreases productivity. If stable atmospheric plasmas can be used, not only the decrease in processing costs but also the increase in productivity could be obtained. New DC arc plasmatron with a hot rod cathode and cold nozzle anode was developed and its V-A (Volt-Ampere) characteristics were investigated. Outlook of the measured V-A characteristics cleared the question of the stability of arc burning in the new DC arc plasmatron. The plasmatron that has a stable operations with distributed anode spot could possibly be applied to plasma chemical processing. And measured value of the erosion rate for copper anode is m Cu 3.6x10 -10 g/C which is better than corresponding data for thermo-ionic emission cathodes made of tungsten mw ∼10 -9 g/C. These facts mean that plasmatron durability reaches ∼10 3 h. The low anode erosion rate is related to the large surface of arc-anode contact due to distributed anode arc spot, which reduces the current density. Unique characteristics of the new plasma source concerning its durability and plasma purity at rather low temperatures make it an interesting tool for technical applications, such as etching/deposition and chemical reaction. To apply a plasma processing, the T-type plasmatron was modified to A-type. The A-type plasmatron was used to activate the CF 4 and SF 6 gases in etching experiments at atmospheric and low pressure. To reduce the recombination rate of the activated gas particles inside a plasmatron and let them preserve their activated state outside, the whole device was installed outside of

  3. Dry etching of thin chalcogenide films

    Energy Technology Data Exchange (ETDEWEB)

    Petkov, Kiril [Acad. J. Malinowski Central Laboratory of Photoprocesses, Bulgarian Academy of Sciences, Acad. G. Bonchev Str., Bl. 109, 1113 Sofia (Bulgaria); Vassilev, Gergo; Vassilev, Venceslav, E-mail: kpetkov@clf.bas.b [Department of Semiconductors, University of Chemical Technology and Metallurgy, 8 Kl. Ohridsky Blvd., 1756 Sofia (Bulgaria)

    2010-04-01

    Fluorocarbon plasmas (pure and mixtures with Ar) were used to investigate the changes in the etching rate depending on the chalcogenide glasses composition and light exposure. The experiments were performed on modified commercial HZM-4 vacuum equipment in a diode electrode configuration. The surface microstructure of thin chalcogenide layers and its change after etching in CCl{sub 2}F{sub 2} and CF{sub 4} plasmas were studied by SEM. The dependence of the composition of As-S-Ge, As-Se and multicomponent Ge-Se-Sb-Ag-I layers on the etching rate was discussed. The selective etching of some glasses observed after light exposure opens opportunities for deep structure processing applications.

  4. A study on etching of UO2, Co, and Mo surface with R.F. plasma using CF4 and O2

    International Nuclear Information System (INIS)

    Kim, Yong Soo; Seo, Yong Dae

    2003-01-01

    Recently dry decontamination/surface-cleaning technology using plasma etching has been focused in the nuclear industry. In this study, the applicability of this new dry processing technique are experimentally investigated by examining the etching reaction of UO 2 , Co, and Mo in r.f. plasma with the etchant gas of CF 4 /O 2 mixture. UO 2 is chosen as a representing material for uranium and TRU (TRans-Uranic) compounds while metallic Co and Mo are selected because they are the principal contaminants in the used metallic nuclear components such as valves and pipes made of stainless steel or Inconel. Results show that in all cases maximum etching rate is achieved when the mole fraction of O 2 in CF 4 /O 2 mixture gas is 20%, regardless of temperature and r.f. power. In case of UO 2 , the highest etching reaction rate is greater than 1000 monolayers/min. at 370 .deg. C under 150 W r.f. power which is equivalent to 0.4 μm/min. As for Co, etching reaction begins to take place significantly when the temperature exceeds 350 .deg. C. Maximum etching rate achieved at 380 .deg. C is 0.06 μm/min. Mo etching reaction takes place vigorously even at relatively low temperature and the reaction rate increases drastically with increasing temperature. Highest etching rate at 380 .deg. C is 1.9 μm /min. According to OES (Optical Emission Spectroscopy) and AES (Auger Electron Spectroscopy) analysis, primary reaction seems to be a fluorination reaction, but carbonyl compound formation reaction may assist the dominant reaction, especially in case of Co and Mo. Through this basic study, the feasibility and the applicability of plasma decontamination technique are demonstrated

  5. Plasma promoted manufacturing of hydrogen and vehicular applications

    Science.gov (United States)

    Bromberg, Leslie

    2003-10-01

    Plasmas can be used for promoting reformation of fuels. Plasma-based reformers developed at MIT use a low temperature, low power, low current electrical discharge to promote partial oxidation conversion of hydrocarbon fuels into hydrogen and CO. The very fuel rich mixture is hard to ignite, and the plasmatron provides a volume-ignition. To minimize erosion and to simplify the power supply, a low current high voltage discharge is used, with wide area electrodes. The plasmatron fuel reformer operates at or slightly above atmospheric pressure. The plasma-based reformer technology provides the advantages of rapid startup and transient response; efficient conversion of the fuel to hydrogen rich gas; compact size; relaxation or elimination of reformer catalyst requirements; and capability to process difficult to reform fuels. These advantages enable use of hydrogen-manufacturing reformation technology in cars using available fuels, such as gasoline and diesel. This plasma-based reformer technology can provide substantial throughputs even without the use of a catalyst. The electrical power consumption of the device is minimized by design and operational characteristics (less than 500 W peak and 200 W average). The product from these plasma reactors is a hydrogen rich mixture that can be used for combustion enhancement and emissions aftertreatment in vehicular applications. By converting a small fraction of the fuel to hydrogen rich gas, in-cylinder combustion can be improved. With minor modification of the engine, use of hydrogen rich gas results in increased fuel efficiency and decreased emissions of smog producing gases. The status of plasma based reformer technology and its application to vehicles will be described.

  6. Hydrogen retention in carbon-tungsten co-deposition layer formed by hydrogen RF plasma

    International Nuclear Information System (INIS)

    Katayama, K.; Kawasaki, T.; Manabe, Y.; Nagase, H.; Takeishi, T.; Nishikawa, M.

    2006-01-01

    Carbon-tungsten co-deposition layers (C-W layers) were formed by sputtering method using hydrogen or deuterium RF plasma. The deposition rate of the C-W layer by deuterium plasma was faster than that by hydrogen plasma, where the increase of deposition rate of tungsten was larger than that of carbon. This indicates that the isotope effect on sputtering-depositing process for tungsten is larger than that for carbon. The release curve of hydrogen from the C-W layer showed two peaks at 400 deg. C and 700 deg. C. Comparing the hydrogen release from the carbon deposition layer and the tungsten deposition layer, it is considered that the increase of the release rate at 400 deg. C is affected by tungsten and that at 700 deg. C is affected by carbon. The obtained hydrogen retention in the C-W layers which have over 60 at.% of carbon was in the range between 0.45 and 0.16 as H/(C + W)

  7. Effect of deposition temperature and thermal annealing on the dry etch rate of a-C: H films for the dry etch hard process of semiconductor devices

    International Nuclear Information System (INIS)

    Lee, Seung Moo; Won, Jaihyung; Yim, Soyoung; Park, Se Jun; Choi, Jongsik; Kim, Jeongtae; Lee, Hyeondeok; Byun, Dongjin

    2012-01-01

    thermal annealing of the high density, as-deposited a-C:H films. Furthermore, not only the density itself but also the variation of density with thermal annealing need to be elucidated in order to understand the dry etch properties of annealed a-C:H films. - Highlights: ► A-C:H(amorphous carbon) films are grown for using hard mask in dry etch process by plasma-enhanced chemical vapor deposition and annealed. ► Physical, chemical and mechanical properties of grown amorphous carbon films are changed by hydrogen and hydrocarbon contents, be determined by deposition and annealing temperature. ► Dry etch rate of a-C:H films is decreased and the film density increased through thermal annealing with high density, low hydrogen content, as-deposited film.

  8. Characterization of electron cyclotron resonance hydrogen plasmas

    International Nuclear Information System (INIS)

    Outten, C.A.

    1990-01-01

    Electron cyclotron resonance (ECR) plasmas yield low energy and high ion density plasmas. The characteristics downstream of an ECR hydrogen plasma were investigated as a function of microwave power and magnetic field. A fast-injection Langmuir probe and a carbon resistance probe were used to determine plasma potential (V p ), electron density (N e ), electron temperature (T e ), ion energy (T i ), and ion fluence. Langmuir probe results showed that at 17 cm downstream from the ECR chamber the plasma characteristics are approximately constant across the center 7 cm of the plasma for 50 Watts of absorbed power. These results gave V p = 30 ± 5 eV, N e = 1 x 10 8 cm -3 , and T e = 10--13 eV. In good agreement with the Langmuir probe results, carbon resistance probes have shown that T i ≤ 50 eV. Also, based on hydrogen chemical sputtering of carbon, the hydrogen (ion and energetic neutrals) fluence rate was determined to be 1 x 10 16 /cm 2 -sec. at a pressure of 1 x 10 -4 Torr and for 50 Watts of absorbed power. 19 refs

  9. InAs0.45P0.55/InP strained multiple quantum wells intermixed by inductively coupled plasma etching

    International Nuclear Information System (INIS)

    Cao, Meng; Wu, Hui-Zhen; Lao, Yan-Feng; Cao, Chun-Fang; Liu, Cheng

    2009-01-01

    The intermixing effect on InAs 0.45 P 0.55 /InP strained multiple quantum wells (SMQWs) by inductively coupled plasma (ICP) etching and rapid thermal annealing (RTA) is investigated. Experiments show that the process of ICP etching followed RTA induces the blue shift of low temperature photoluminescence (PL) peaks of QWs. With increasing etching depth, the PL intensities are firstly enhanced and then diminished. This phenomenon is attributed to the variation of surface roughness and microstructure transformation inside the QW structure during ICP processing.

  10. Fluorocarbon polymer formation, characterization, and reduction in polycrystalline-silicon etching with CF4-added plasma

    International Nuclear Information System (INIS)

    Xu Songlin; Sun Zhiwen; Chen Arthur; Qian Xueyu; Podlesnik, Dragan

    2001-01-01

    Addition of CF 4 into HBr-based plasma for polycrystalline-silicon gate etching reduces the deposition of an etch byproduct, silicon oxide, onto the chamber wall but tends to generate organic polymer. In this work, a detailed study has been carried out to analyze the mechanism of polymerization and to characterize the polymer composition and quantity. The study has shown that the polymer formation is due to the F-radical depletion by H atoms dissociated from HBr. The composition of the polymer changes significantly with CF 4 concentration in the gas feed, and the polymer deposition rate depends on CF 4 % and other process conditions such as source power, bias power, and pressure. Surface temperature also affects the polymer deposition rate. Adding O 2 into the plasma can clean the organic polymer, but the O 2 amount has to be well controlled in order to prevent the formation of silicon oxide. Based on a series of tests to evaluate polymer deposition and oxide cleaning with O 2 addition, an optimized process regime in terms of O 2 -to-CF 4 ratio has been identified to simultaneously suppress the polymer and oxide deposition so that the etch process becomes self-cleaning

  11. Plasma-neutral gas interaction in a tokamak divertor: effects of hydrogen molecules and plasma recombination

    International Nuclear Information System (INIS)

    Krasheninnikov, S.I.; Pigarov, A.Yu.; Soboleva, T.K.; Sigmar, D.J.

    1997-01-01

    We investigate the influence of hydrogen molecules on plasma recombination using a collisional-radiative model for multispecies hydrogen plasmas and tokamak detached divertor parameters. The rate constant found for molecular activated recombination of a plasma can be as high as 2 x 10 -10 cm 3 /s, confirming our pervious estimates. We investigate the effects of hydrogen molecules and plasma recombination on self-consistent plasma-neutral gas interactions in the recycling region of a tokamak divertor. We treat the plasma flow in a fluid approximation retaining the effects of plasma recombination and employing a Knudsen neutral transport model for a 'gas box' divertor geometry. For the model of plasma-neutral interactions we employ we find: (a) molecular activated recombination is a dominant channel of divertor plasma recombination; and (b) plasma recombination is a key element leading to a decrease in the plasma flux onto the target and substantial plasma pressure drop which are the main features of detached divertor regimes. (orig.)

  12. Plasma phase transition in dense hydrogen and electron-hole plasmas

    CERN Document Server

    Filinov, V S; Levashov, P R; Fortov, V E; Ebeling, W; Schlanges, M; Koch, S W

    2003-01-01

    Plasma phase transitions in dense hydrogen and electron-hole plasmas are investigated by direct path integral Monte Carlo methods. The phase boundary of the electron-hole liquid in germanium is calculated and is found to agree reasonably well with the known experimental results. Analogous behaviour is found for high-density hydrogen. For a temperature of T = 10 000 K it is shown that the internal energy is lowered due to droplet formation for densities between 10 sup 2 sup 3 cm sup - sup 3 and 10 sup 2 sup 4 cm sup - sup 3.

  13. Production of hydrogen via conversion of hydrocarbons using a microwave plasma

    International Nuclear Information System (INIS)

    Jasinski, Mariusz; Dors, Miroslaw; Nowakowska, Helena; Mizeraczyk, Jerzy; Nichipor, Gerietta V

    2011-01-01

    In this paper, results of hydrogen production from hydrocarbons in an atmospheric pressure microwave plasma are presented. As sources of hydrogen, both methane CH 4 and tetrafluoroethane C 2 H 2 F 4 were tested. A new waveguide-based nozzleless cylinder-type microwave plasma source was used to convert hydrocarbons into hydrogen. The processed gaseous hydrocarbons were introduced into the plasma by four gas ducts which formed a swirl flow in the plasma reactor. The absorbed microwave power was up to 5 kW. The gas flow rate was up to 212 L min -1 . The hydrogen mass yield rate and the corresponding energetic hydrogen mass yield were up to 866 g[H 2 ] h -1 and 577 g [H 2 ] kWh -1 of microwave energy absorbed by the plasma, respectively. These parameters are better than our previous results when nitrogen was used as a swirl gas and much better than those typical for other plasma methods of hydrogen production (electron beam, gliding arc, plasmatron).

  14. Anisotropic etching of tungsten-nitride with ICP system

    CERN Document Server

    Lee, H G; Moon, H S; Kim, S H; Ahn, J; Sohn, S

    1998-01-01

    Inductively Coupled Plasma ion streaming etching of WN sub x film is investigated for preparing x-ray mask absorber patterns. SF sub 6 gas plasma provides for effective etching of WN sub x , and the addition of Ar and N sub 2 results in higher dissociation of SF sub 6 and sidewall passivation effect, respectively. Microloading effect observed for high aspect ratio patterns is minimized by multi-step etching and O sub 2 plasma treatment process. As a result, 0.18 mu m WN sub x line and space patterns with vertical sidewall profile are successfully fabricated.

  15. Production method of hydrogen jet plasma process in hydro machinery

    International Nuclear Information System (INIS)

    Amini, F.

    2007-01-01

    The purpose of present paper is to the process of plasma formation in hydro machinery when a hydro turbine operates at various conditions and load rejection. By investigation the power, shock pressure , and impact effects of hydro machinery, it is revealed that energy and hydrogen are generated by the plasma process. The investigation on several turbines of various hydro power plants reveals that cold fusion process in hydro machinery generates hydrogen. The hypothesis concerning the participation of alkaline metals in river water and the atomic nuclei of the runner blade material in the formation of hydrogen are considered. It is possible to assume hydrogen, deuterium, helium, and tritium atoms (based on Dr. Mizuno and Dr. Kanarev theories) that are formed, diffuse into cavitation bubbles. The plasma is generated during the collapse of the bubble; thus, the quantity of burnt hydrogen determine the volume of generating hydrogen and the impact force caused by hydrogen explosion (noise).There are five main notions, which can determine hydrogen and plasma process: (1) turbine power effect, (2) high shock pressure, (3) crack on turbine parts, (4) impacts effects and (4) the lift of rotating parts. The frequency of the excitation lies in a range from 0.786 to 1.095 Hz.In future, it may be possible to design hydro turbines based on the plasma process that generates hydrogen; or there may exist turbines that rotate with a mixture of hydrogen explosion and water energies

  16. Layer-by-layer thinning of MoSe{sub 2} by soft and reactive plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Sha, Yunfei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Xiao, Shaoqing, E-mail: larring0078@hotmail.com [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Zhang, Xiumei [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China); Qin, Fang [Analysis & Testing Center, Jiangnan University, Wuxi 214122 (China); Gu, Xiaofeng, E-mail: xfgu@jiangnan.edu.cn [Engineering Research Center of IoT Technology Applications (Ministry of Education), Department of Electronic Engineering, Jiangnan University, Wuxi 214122 (China)

    2017-07-31

    Highlights: • Soft plasma etching technique using SF{sub 6} + N{sub 2} as precursors for layer-by-layer thinning of MoSe{sub 2} was adopted in this work. • Optical microscopy, Raman, photoluminescence and atomic force microscopy measurements were used to confirm the thickness change. • Layer-dependent vibrational and photoluminescence spectra of the etched MoSe{sub 2} were also demonstrated. • Equal numbers of MoSe{sub 2} layers can be removed uniformly without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. - Abstract: Two-dimensional (2D) transition metal dichalcogenides (TMDs) like molybdenum diselenide (MoSe{sub 2}) have recently gained considerable interest since their properties are complementary to those of graphene. Unlike gapless graphene, the band structure of MoSe{sub 2} can be changed from the indirect band gap to the direct band gap when MoSe{sub 2} changed from bulk material to monolayer. This transition from multilayer to monolayer requires atomic-layer-precision thining of thick MoSe{sub 2} layers without damaging the remaining layers. Here, we present atomic-layer-precision thinning of MoSe{sub 2} nanaosheets down to monolayer by using SF{sub 6} + N{sub 2} plasmas, which has been demonstrated to be soft, selective and high-throughput. Optical microscopy, atomic force microscopy, Raman and photoluminescence spectra suggest that equal numbers of MoSe{sub 2} layers can be removed uniformly regardless of their initial thickness, without affecting the underlying SiO{sub 2} substrate and the remaining MoSe{sub 2} layers. By adjusting the etching rates we can achieve complete MoSe{sub 2} removal and any disired number of MoSe{sub 2} layers including monolayer. This soft plasma etching method is highly reliable and compatible with the semiconductor manufacturing processes, thereby holding great promise for various 2D materials and TMD-based devices.

  17. Selective dry etching of silicon containing anti-reflective coating

    Science.gov (United States)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  18. Thinning of N-face GaN (0001) samples by inductively coupled plasma etching and chemomechanical polishing

    International Nuclear Information System (INIS)

    Rizzi, F.; Gu, E.; Dawson, M. D.; Watson, I. M.; Martin, R. W.; Kang, X. N.; Zhang, G. Y.

    2007-01-01

    The processing of N-polar GaN (0001) samples has been studied, motivated by applications in which extensive back side thinning of freestanding GaN (FS-GaN) substrates is required. Experiments were conducted on FS-GaN from two commercial sources, in addition to epitaxial GaN with the N-face exposed by a laser lift-off process. The different types of samples produced equivalent results. Surface morphologies were examined over relatively large areas, using scanning electron microscopy and stylus profiling. The main focus of this study was on inductively coupled plasma (ICP) etch processes, employing Cl 2 /Ar or Cl 2 /BCl 3 Ar gas mixtures. Application of a standard etch recipe, optimized for feature etching of Ga-polar GaN (0001) surfaces, caused severe roughening of N-polar samples and confirmed the necessity for specific optimization of etch conditions for N-face material. A series of recipes with a reduced physical (sputter-based) contribution to etching allowed average surface roughness values to be consistently reduced to below 3 nm. Maximum N-face etch rates of 370-390 nm/min have been obtained in recipes examined to date. These are typically faster than etch rates obtained on Ga-face samples under the same conditions and adequate for the process flows of interest. Mechanistic aspects of the ICP etch process and possible factors contributing to residual surface roughness are discussed. This study also included work on chemomechanical polishing (CMP). The optimized CMP process had stock removal rates of ∼500 nm/h on the GaN N face. This was much slower than the ICP etching but showed the important capability of recovering smooth surfaces on samples roughened in previous processing. In one example, a surface roughened by nonoptimized ICP etching was smoothed to give an average surface roughness of ∼2 nm

  19. Sputtering yields and surface chemical modification of tin-doped indium oxide in hydrocarbon-based plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hu; Karahashi, Kazuhiro; Hamaguchi, Satoshi, E-mail: hamaguch@ppl.eng.osaka-u.ac.jp [Center for Atomic and Molecular Technologies, Osaka University, Yamadaoka 2-1, Suita 565-0871 (Japan); Fukasawa, Masanaga; Nagahata, Kazunori; Tatsumi, Tetsuya [Device and Material R& D Group, RDS Platform, Sony Corporation, Kanagawa 243-0014 (Japan)

    2015-11-15

    Sputtering yields and surface chemical compositions of tin-doped indium oxide (or indium tin oxide, ITO) by CH{sup +}, CH{sub 3}{sup +}, and inert-gas ion (He{sup +}, Ne{sup +}, and Ar{sup +}) incidence have been obtained experimentally with the use of a mass-selected ion beam system and in-situ x-ray photoelectron spectroscopy. It has been found that etching of ITO is chemically enhanced by energetic incidence of hydrocarbon (CH{sub x}{sup +}) ions. At high incident energy incidence, it appears that carbon of incident ions predominantly reduce indium (In) of ITO and the ITO sputtering yields by CH{sup +} and CH{sub 3}{sup +} ions are found to be essentially equal. At lower incident energy (less than 500 eV or so), however, a hydrogen effect on ITO reduction is more pronounced and the ITO surface is more reduced by CH{sub 3}{sup +} ions than CH{sup +} ions. Although the surface is covered more with metallic In by low-energy incident CH{sub 3}{sup +} ions than CH{sup +} ions and metallic In is in general less resistant against physical sputtering than its oxide, the ITO sputtering yield by incident CH{sub 3}{sup +} ions is found to be lower than that by incident CH{sup +} ions in this energy range. A postulation to account for the relation between the observed sputtering yield and reduction of the ITO surface is also presented. The results presented here offer a better understanding of elementary surface reactions observed in reactive ion etching processes of ITO by hydrocarbon plasmas.

  20. Low-temperature plasma etching of high aspect-ratio densely packed 15 to sub-10 nm silicon features derived from PS-PDMS block copolymer patterns

    International Nuclear Information System (INIS)

    Liu, Zuwei; Sassolini, Simone; Olynick, Deirdre L; Gu, Xiaodan; Hwu, Justin

    2014-01-01

    The combination of block copolymer (BCP) lithography and plasma etching offers a gateway to densely packed sub-10 nm features for advanced nanotechnology. Despite the advances in BCP lithography, plasma pattern transfer remains a major challenge. We use controlled and low substrate temperatures during plasma etching of a chromium hard mask and then the underlying substrate as a route to high aspect ratio sub-10 nm silicon features derived from BCP lithography. Siloxane masks were fabricated using poly(styrene-b-siloxane) (PS-PDMS) BCP to create either line-type masks or, with the addition of low molecular weight PS-OH homopolymer, dot-type masks. Temperature control was essential for preventing mask migration and controlling the etched feature’s shape. Vertical silicon wire features (15 nm with feature-to-feature spacing of 26 nm) were etched with aspect ratios up to 17 : 1; higher aspect ratios were limited by the collapse of nanoscale silicon structures. Sub-10 nm fin structures were etched with aspect ratios greater than 10 : 1. Transmission electron microscopy images of the wires reveal a crystalline silicon core with an amorphous surface layer, just slightly thicker than a native oxide. (paper)

  1. Etching characteristic and mechanism of BST thin films using inductively coupled Cl2/Ar plasma with additive CF4 gas

    International Nuclear Information System (INIS)

    Kim, Gwan-Ha; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    BST thin films were etched with inductively coupled CF 4 /(Cl 2 +Ar) plasmas. The maximum etch rate of the BST thin films was 53.6 nm/min for a 10% CF 4 to the Cl 2 /Ar gas mixture at RF power of 700 W, DC bias of -150 V, and chamber pressure of 2 Pa. Small addition of CF 4 to the Cl 2 /Ar mixture increased chemical effect. Consequently, the increased chemical effect caused the increase in the etch rate of the BST thin films. To clarify the etching mechanism, the surface reaction of the BST thin films was investigated by X-ray photoelectron spectroscopy

  2. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    International Nuclear Information System (INIS)

    Draghici, M.; Stamate, E.

    2010-01-01

    Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF 6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive and negative ions are evaluated on silicon substrate for different Ar/SF 6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.

  3. Properties and etching rates of negative ions in inductively coupled plasmas and dc discharges produced in Ar/SF6

    DEFF Research Database (Denmark)

    Draghici, Mihai; Stamate, Eugen

    2010-01-01

    of negative ion to electron exceeded 300 in dc mode while it was below 100 in the ICP mode. The possibility to apply a large positive bias to an electrode without affecting the plasma potential and the transition from a negative sheath to anodic glow are also investigated. The etching rates by positive...... and negative ions are evaluated on silicon substrate for different Ar/SF6 gas ratios. The etching rate by negative ions was with less than 5% smaller than that by positive ions.......Negative ion production is investigated in a chamber with transversal magnetic filter operated in dc or inductively coupled plasma (ICP) modes in Ar/SF6 gas mixtures. Plasma parameters are evaluated by mass spectrometry and Langmuir probe for different discharge conditions. The density ratio...

  4. Surface changes of biopolymers PHB and PLLA induced by Ar+ plasma treatment and wet etching

    Science.gov (United States)

    Slepičková Kasálková, N.; Slepička, P.; Sajdl, P.; Švorčík, V.

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar+ plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers - polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  5. Hydrogen and helium trapping in tungsten deposition layers formed by RF plasma sputtering

    International Nuclear Information System (INIS)

    Kazunari Katayama; Kazumi Imaoka; Takayuki Okamura; Masabumi Nishikawa

    2006-01-01

    Understanding of tritium behavior in plasma facing materials is an important issue for fusion reactor from viewpoints of fuel control and radiation safety. Tungsten is used as a plasma facing material in the divertor region of ITER. However, investigation of hydrogen isotope behavior in tungsten deposition layer is not sufficient so far. It is also necessary to evaluate an effect of helium on a formation of deposition layer and an accumulation of hydrogen isotopes because helium generated by fusion reaction exists in fusion plasma. In this study, tungsten deposition layers were formed by sputtering method using hydrogen and helium RF plasma. An erosion rate and a deposition rate of tungsten were estimated by weight measurement. Hydrogen and helium retention were investigated by thermal desorption method. Tungsten deposition was performed using a capacitively-coupled RF plasma device equipped with parallel-plate electrodes. A tungsten target was mounted on one electrode which is supplied with RF power at 200 W. Tungsten substrates were mounted on the other electrode which is at ground potential. The plasma discharge was continued for 120 hours where pressure of hydrogen or helium was controlled to be 10 Pa. The amounts of hydrogen and helium released from deposition layers was quantified by a gas chromatograph. The erosion rate of target tungsten under helium plasma was estimated to be 1.8 times larger than that under hydrogen plasma. The deposition rate on tungsten substrate under helium plasma was estimated to be 4.1 times larger than that under hydrogen plasma. Atomic ratio of hydrogen to tungsten in a deposition layer formed by hydrogen plasma was estimated to be 0.17 by heating to 600 o C. From a deposition layer formed by helium plasma, not only helium but also hydrogen was released by heating to 500 o C. Atomic ratios of helium and hydrogen to tungsten were estimated to be 0.080 and 0.075, respectively. The trapped hydrogen is probably impurity hydrogen

  6. Hydrogen gas driven permeation through tungsten deposition layer formed by hydrogen plasma sputtering

    International Nuclear Information System (INIS)

    Uehara, Keiichiro; Katayama, Kazunari; Date, Hiroyuki; Fukada, Satoshi

    2015-01-01

    Highlights: • H permeation tests for W layer formed by H plasma sputtering are performed. • H permeation flux through W layer is larger than that through W bulk. • H diffusivity in W layer is smaller than that in W bulk. • The equilibrium H concentration in W layer is larger than that in W bulk. - Abstract: It is important to evaluate the influence of deposition layers formed on plasma facing wall on tritium permeation and tritium retention in the vessel of a fusion reactor from a viewpoint of safety. In this work, tungsten deposition layers having different thickness and porosity were formed on circular nickel plates by hydrogen RF plasma sputtering. Hydrogen permeation experiment was carried out at the temperature range from 250 °C to 500 °C and at hydrogen pressure range from 1013 Pa to 101,300 Pa. The hydrogen permeation flux through the nickel plate with tungsten deposition layer was significantly smaller than that through a bare nickel plate. This indicates that a rate-controlling step in hydrogen permeation was not permeation through the nickel plate but permeation though the deposition layer. The pressure dependence on the permeation flux differed by temperature. Hydrogen permeation flux through tungsten deposition layer is larger than that through tungsten bulk. From analysis of the permeation curves, it was indicated that hydrogen diffusivity in tungsten deposition layer is smaller than that in tungsten bulk and the equilibrium hydrogen concentration in tungsten deposition layer is enormously larger than that in tungsten bulk at same hydrogen pressure.

  7. Production of hydrogen via conversion of hydrocarbons using a microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jasinski, Mariusz; Dors, Miroslaw; Nowakowska, Helena; Mizeraczyk, Jerzy [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Nichipor, Gerietta V, E-mail: mj@imp.gda.pl [Joint Institute of Power and Nuclear Research, Academy of Sciences of Belarus, Minsk, Sosny 220109 (Belarus)

    2011-05-18

    In this paper, results of hydrogen production from hydrocarbons in an atmospheric pressure microwave plasma are presented. As sources of hydrogen, both methane CH{sub 4} and tetrafluoroethane C{sub 2}H{sub 2}F{sub 4} were tested. A new waveguide-based nozzleless cylinder-type microwave plasma source was used to convert hydrocarbons into hydrogen. The processed gaseous hydrocarbons were introduced into the plasma by four gas ducts which formed a swirl flow in the plasma reactor. The absorbed microwave power was up to 5 kW. The gas flow rate was up to 212 L min{sup -1}. The hydrogen mass yield rate and the corresponding energetic hydrogen mass yield were up to 866 g[H{sub 2}] h{sup -1} and 577 g [H{sub 2}] kWh{sup -1} of microwave energy absorbed by the plasma, respectively. These parameters are better than our previous results when nitrogen was used as a swirl gas and much better than those typical for other plasma methods of hydrogen production (electron beam, gliding arc, plasmatron).

  8. Micro-structuring of thick NdFeB films using high-power plasma etching for magnetic MEMS application

    International Nuclear Information System (INIS)

    Jiang, Yonggang; Fujita, Takayuki; Higuchi, Kohei; Maenaka, Kazusuke; Masaoka, Shingo; Uehara, Minoru

    2011-01-01

    This paper describes the micro-patterning of thick NdFeB magnetic films using a high-power plasma etching method. The effects of RF bias power and gas composition on the selectivity and etching rate are experimentally studied. A maximum etching rate of 60 nm min −1 is achieved with an inductively coupled plasma power of 500 W and a RF bias power of 200 W. A maximum selectivity of 0.26 between hard baked AZP4903 photoresist and NdFeB magnetic films is achieved when volumetric Cl 2 concentration is 2.5%. NdFeB micro-magnets as thick as 4.2 µm are achieved by using AZP4903 photoresist. Magnetic film as thick as 10 µm can be patterned by using SU-8 photoresist with a thickness of 100 µm as the mask. The magnetic property of patterned microstructures is characterized using a vibrating sample magnetometer and the magnetic field distribution is measured using a Hall effect sensor IC. The characterization results indicate that the patterned magnetic microstructures have a high magnetic remanance of 1.0 T, which is comparable to that of the non-patterned NdFeB films.

  9. Mechanical Stress in InP Structures Etched in an Inductively Coupled Plasma Reactor with Ar/Cl2/CH4 Plasma Chemistry

    Science.gov (United States)

    Landesman, Jean-Pierre; Cassidy, Daniel T.; Fouchier, Marc; Pargon, Erwine; Levallois, Christophe; Mokhtari, Merwan; Jimenez, Juan; Torres, Alfredo

    2018-02-01

    We investigated the crystal lattice deformation that can occur during the etching of structures in bulk InP using SiNx hard masks with Ar/Cl2/CH4 chemistries in an inductively coupled plasma reactor. Two techniques were used: degree of polarization (DOP) of the photo-luminescence, which gives information on the state of mechanical stress present in the structures, and spectrally resolved cathodo-luminescence (CL) mapping. This second technique also provides elements on the mechanical stress in the samples through analysis of the spectral shift of the CL intrinsic emission lines. Preliminary DOP mapping experiments have been conducted on the SiNx hard mask patterns without etching the underlying InP. This preliminary study demonstrated the potential of DOP to map mechanical stress quantitatively in the structures. In a second step, InP patterns with various widths between 1 μm and 20 μm, and various depths between 1 μm and 6 μm, were analyzed by the 2 techniques. DOP measurements were made both on the (100) top surface of the samples and on the (110) cleaved cross section. CL measurements were made only from the (100) surface. We observed that inside the etched features, close to the vertical etched walls, there is always some compressive deformation, while it is tensile just outside the etched features. The magnitude of these effects depends on the lateral and depth dimensions of the etched structures, and on the separation between them (the tensile deformation increases between them due to some kind of proximity effect when separation decreases).

  10. Monitoring of PVD, PECVD and etching plasmas using Fourier components of RF voltage

    International Nuclear Information System (INIS)

    Dvorak, P; Vasina, P; Bursikova, V; Zemlicka, R

    2010-01-01

    Fourier components of discharge voltages were measured in two different reactive plasmas and their response to the creation or destruction of a thin film was studied. In reactive magnetron sputtering the effect of transition from the metallic to the compound mode accompanied by the creation of a compound film on the sputtered target was observed. Further, deposition and etching of a diamond-like carbon film and their effects on amplitudes of Fourier components of the discharge voltage were studied. It was shown that the Fourier components, including higher harmonic frequencies, sensitively react to the presence of a film. Therefore, they can be used as a powerful tool for the monitoring of deposition and etching processes. It was demonstrated that the behaviour of the Fourier components was caused in both experiments by the presence of the film. It was not caused by changes in the chemical composition of the gas phase induced by material etched from the film or decrease in gettering rate. Further, the observed behaviour was not affected by the film conductivity. The behaviour of the Fourier components can be explained by the difference between the coefficients of secondary electron emission of the film and its underlying material.

  11. Laser-heating of hydrogen plasma

    International Nuclear Information System (INIS)

    Foeldes, I.B.; Ignacz, P.N.; Kocsis, G.

    1990-10-01

    The possibility of creating a fully ionized hydrogen plasma to investigate the capture of slow antiprotons is discussed. Laser heating of the initially discharge-created arc or Z-pinch plasma is proposed. Within the framework of a simple 1-dimensional model based on the energy balance equation alone it is shown that plasma equilibrium can be sustained for 10 μs. A simple pulsed CO 2 laser with this pulse duration and an energy of about 10-30 J is sufficient for heating. (author) 16 refs.; 3 figs

  12. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Science.gov (United States)

    Upadhyay, J.; Palczewski, A.; Popović, S.; Valente-Feliciano, A.-M.; Im, Do; Phillips, H. L.; Vušković, L.

    2017-12-01

    An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF) accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity's inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  13. Cryogenic rf test of the first SRF cavity etched in an rf Ar/Cl2 plasma

    Directory of Open Access Journals (Sweden)

    J. Upadhyay

    2017-12-01

    Full Text Available An apparatus and a method for etching of the inner surfaces of superconducting radio frequency (SRF accelerator cavities are described. The apparatus is based on the reactive ion etching performed in an Ar/Cl2 cylindrical capacitive discharge with reversed asymmetry. To test the effect of the plasma etching on the cavity rf performance, a 1497 MHz single cell SRF cavity was used. The single cell cavity was mechanically polished and buffer chemically etched and then rf tested at cryogenic temperatures to provide a baseline characterization. The cavity’s inner wall was then exposed to the capacitive discharge in a mixture of Argon and Chlorine. The inner wall acted as the grounded electrode, while kept at elevated temperature. The processing was accomplished by axially moving the dc-biased, corrugated inner electrode and the gas flow inlet in a step-wise manner to establish a sequence of longitudinally segmented discharges. The cavity was then tested in a standard vertical test stand at cryogenic temperatures. The rf tests and surface condition results, including the electron field emission elimination, are presented.

  14. Improvement of crystalline silicon surface passivation by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Martin, I.; Vetter, M.; Orpella, A.; Voz, C.; Puigdollers, J.; Alcubilla, R.; Kharchenko, A.V.; Roca i Cabarrocas, P.

    2004-01-01

    A completely dry low-temperature process has been developed to passivate 3.3 Ω cm p-type crystalline silicon surface with excellent results. Particularly, we have investigated the use of a hydrogen plasma treatment, just before hydrogenated amorphous silicon carbide (a-SiC x :H) deposition, without breaking the vacuum. We measured effective lifetime, τ eff , through a quasi-steady-state photoconductance technique. Experimental results show that hydrogen plasma treatment improves surface passivation compared to classical HF dip. S eff values lower than 19 cm s -1 were achieved using a hydrogen plasma treatment and an a-SiC x :H film deposited at 300 deg. C

  15. Plasma-surface interaction in negative hydrogen ion sources

    Science.gov (United States)

    Wada, Motoi

    2018-05-01

    A negative hydrogen ion source delivers more beam current when Cs is introduced to the discharge, but a continuous operation of the source reduces the beam current until more Cs is added to the source. This behavior can be explained by adsorption and ion induced desorption of Cs atoms on the plasma grid surface of the ion source. The interaction between the ion source plasma and the plasma grid surface of a negative hydrogen ion source is discussed in correlation to the Cs consumption of the ion source. The results show that operation with deuterium instead of hydrogen should require more Cs consumption and the presence of medium mass impurities as well as ions of the source wall materials in the arc discharge enlarges the Cs removal rate during an ion source discharge.

  16. Genotoxicity studies in semiconductor industry. 1. In vitro mutagenicity and genotoxicity studies of waste samples resulting from plasma etching

    Energy Technology Data Exchange (ETDEWEB)

    Braun, R.; Huettner, E.M.; Merten, H.; Raabe, F. (Institute of Plant Genetics and Crop Plant Research, Gatersleben (Germany))

    1993-07-01

    Solid waste samples taken from the etching reactor, the turbo pump, and the waste air system of a plasma etching technology line in semiconductor production were studied as to their genotoxic properties in a bacterial repair test, in the Ames/Salmonella microsome assay, in the SOS chromotest, in primary mouse hepatocytes, and in Chinese hamster V79 cell cultures. All three waste samples were found to be active by inducing of unscheduled DNA-synthesis in mouse hepatocytes in vitro. In the bacterial rec-type repair test with Proteus mirabilis, waste samples taken from the turbo pump and the vacuum pipe system were not genotoxic. The waste sample taken from the chlorine-mediated plasma reactor was clearly positive in the bacterial repair assay and in the SOS chromotest with Escherichia coli. Mutagenic activity was demonstrated for all samples in the presence and absence of S9 mix made from mouse liver homogenate. Again, highest mutagenic activity was recorded for the waste sample taken from the plasma reactor, while samples collected from the turbo pump and from the waste air system before dilution and liberation of the air were less mutagenic. For all samples chromosomal damage in V79 cells was not detected, indicating absence of clastogenic activity in vitro. Altogether, these results indicate generation of genotoxic and mutagenic products as a consequence of chlorine-mediated plasma etching in the microelectronics industry and the presence of genotoxins even in places distant from the plasma reactor. Occupational exposure can be expected both from the precipitated wastes and from chemicals reaching the environment with the air stream.

  17. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    Science.gov (United States)

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  18. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    Science.gov (United States)

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  19. Anomalously deep penetration of hydrogen into niobium under action of pulse high temperature hydrogen plasma

    International Nuclear Information System (INIS)

    Didyk, A.Yu.

    2011-01-01

    The method of elastic recoil detection (ERD) has been used for the study of storage and redistribution processes of hydrogen atoms under the influence of pulse high temperature hydrogen plasma obtained using the 'Plasma Focus' PF-4 set-up in three high purity niobium foils. It was established that with an increase of number of PF-4 set-up pulses there occur spreading and transfer of implanted hydrogen atoms to large depths in three Nb-foils which are significantly larger than the projected range of hydrogen ions (with the velocity ∼ 10 8 cm/s). The maximum hydrogen concentration up to 60 at. % is reached in the nearest to Ph-4 surface of the third Nb-foil at 20 impulses of the Ph-4 set-up. The observed phenomenon can be described by transfer of implanted hydrogen atoms under the action of powerful shock waves, created by pulse hydrogen plasma and (or) by accelerating hydrogen atom diffusion under the influence of compression straining wave at the front of the shock wave at redistribution of hydrogen atoms at large depths. Similar behavior was discovered and described also in series of nickel, vanadium, niobium and tantalum foils (two or three foils and more in a series) including series of foils from heterogeneous (different) materials, which were studied, too

  20. Etch characteristics of BCB film using inductively coupled plasma

    International Nuclear Information System (INIS)

    Kang, Pil Seung; Kim, Dong Pyo; Kim, Kyoung Tae; Kim, Chang Il; Kim, Sang Gi

    2003-01-01

    The etching characteristics and mechanism of BCB thin films were investigated as a function of CF 4 /O 2 mixing ratio in ICP system. Maximum etch rate of 830 nm/min is obtained at the mixture of O 2 /CF 4 (=80%/20%). OES actinometry results showed that volume density of oxygen atoms fallows the same extreme behavior with the BCB etch rate, while the density of fluorine atoms changes monotonously. Therefore chemical destruction of BCB by oxygen atoms was proposed as the dominant etch mechanism. XPS analysis showed that the addition of CF 4 to O 2 helps to volatilize silicon atoms containing in BCB but leads to the formation of F-containing polymer layer. The profile of etched BCB film was close to 90 .deg. and the surface was clean

  1. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    Czech Academy of Sciences Publication Activity Database

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, Václav; Doležalová, Eva; Šimek, Milan; Biederman, H.

    2017-01-01

    Roč. 50, č. 13 (2017), č. článku 135201. ISSN 0022-3727 R&D Projects: GA MŠk(CZ) LD13010 Grant - others:European Cooperation in Science and Technology(XE) COST MP1101 Program:Materials, Physical and Nanosciences COST Action MP1101 Institutional support: RVO:61389021 Keywords : dielectric barrier discharges (DBD) * bio-decontamination * etching * polymers * biomolecules * spores * surface treatment Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.588, year: 2016 http://iopscience.iop.org/article/10.1088/1361-6463/aa5c21/meta

  2. Surface changes of biopolymers PHB and PLLA induced by Ar{sup +} plasma treatment and wet etching

    Energy Technology Data Exchange (ETDEWEB)

    Slepičková Kasálková, N. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Slepička, P., E-mail: petr.slepicka@vscht.cz [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Sajdl, P. [Department of Power Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic); Švorčík, V. [Department of Solid State Engineering, Institute of Chemical Technology, 166 28 Prague (Czech Republic)

    2014-08-01

    Polymers, especially group of biopolymers find potential application in a wide range of disciplines due to their biodegradability. In biomedical applications these materials can be used as a scaffold or matrix. In this work, the influence of the Ar{sup +} plasma treatment and subsequent wet etching (acetone/water) on the surface properties of polymers were studied. Two biopolymers – polyhydroxybutyrate with 8% polyhydroxyvalerate (PHB) and poly-L-lactic acid (PLLA) were used in these experiments. Modified surface layers were analyzed by different methods. Surface wettability was characterized by determination of water contact angle. Changes in elemental composition of modified surfaces were performed by X-ray Photoelectron Spectroscopy (XPS). Surface morphology and roughness was examined using Atomic Force Microscopy (AFM). Gravimetry method was used to study the mass loss. It was found that the modification from both with plasma and wet etching leads to dramatic changes of surface properties (surface chemistry, morphology and roughness). Rate of changes of these features strongly depends on the modification parameters.

  3. CoPt/TiN films nanopatterned by RF plasma etching towards dot-patterned magnetic media

    Science.gov (United States)

    Szívós, János; Pothorszky, Szilárd; Soltys, Jan; Serényi, Miklós; An, Hongyu; Gao, Tenghua; Deák, András; Shi, Ji; Sáfrán, György

    2018-03-01

    CoPt thin films as possible candidates for Bit Patterned magnetic Media (BPM) were prepared and investigated by electron microscopy techniques and magnetic measurements. The structure and morphology of the Direct Current (DC) sputtered films with N incorporation were revealed in both as-prepared and annealed state. Nanopatterning of the samples was carried out by means of Radio Frequency (RF) plasma etching through a Langmuir-Blodgett film of silica nanospheres that is a fast and high throughput technique. As a result, the samples with hexagonally arranged 100 nm size separated dots of fct-phase CoPt were obtained. The influence of the order of nanopatterning and anneling on the nanostructure formation was revealed. The magnetic properties of the nanopatterned fct CoPt films were investigated by Vibrating Sample Magnetometer (VSM) and Magnetic Force Microscopy (MFM). The results show that CoPt thin film nanopatterned by means of the RF plasma etching technique is promising candidate to a possible realization of BPM. Furthermore, this technique is versatile and suitable for scaling up to technological and industrial applications.

  4. Realization of thermally durable close-packed 2D gold nanoparticle arrays using self-assembly and plasma etching

    International Nuclear Information System (INIS)

    Sivaraman, Sankar K; Santhanam, Venugopal

    2012-01-01

    Realization of thermally and chemically durable, ordered gold nanostructures using bottom-up self-assembly techniques are essential for applications in a wide range of areas including catalysis, energy generation, and sensing. Herein, we describe a modular process for realizing uniform arrays of gold nanoparticles, with interparticle spacings of 2 nm and above, by using RF plasma etching to remove ligands from self-assembled arrays of ligand-coated gold nanoparticles. Both nanoscale imaging and macroscale spectroscopic characterization techniques were used to determine the optimal conditions for plasma etching, namely RF power, operating pressure, duration of treatment, and type of gas. We then studied the effect of nanoparticle size, interparticle spacing, and type of substrate on the thermal durability of plasma-treated and untreated nanoparticle arrays. Plasma-treated arrays showed enhanced chemical and thermal durability, on account of the removal of ligands. To illustrate the application potential of the developed process, robust SERS (surface-enhanced Raman scattering) substrates were formed using plasma-treated arrays of silver-coated gold nanoparticles that had a silicon wafer or photopaper as the underlying support. The measured value of the average SERS enhancement factor (2 × 10 5 ) was quantitatively reproducible on both silicon and paper substrates. The silicon substrates gave quantitatively reproducible results even after thermal annealing. The paper-based SERS substrate was also used to swab and detect probe molecules deposited on a solid surface. (paper)

  5. Aerosol chemistry in Titan's ionosphere: simultaneous growth and etching processes

    Science.gov (United States)

    Carrasco, Nathalie; Cernogora, Guy; Jomard, François; Etcheberry, Arnaud; Vigneron, Jackie

    2016-10-01

    Since the Cassini-CAPS measurements, organic aerosols are known to be present and formed at high altitudes in the diluted and partially ionized medium that is Titan's ionosphere [1]. This unexpected chemistry can be further investigated in the laboratory with plasma experiments simulating the complex ion-neutral chemistry starting from N2-CH4 [2]. Two sorts of solid organic samples can be produced in laboratory experiments simulating Titan's atmospheric reactivity: grains in the volume and thin films on the reactor walls. We expect that grains are more representative of Titan's atmospheric aerosols, but films are used to provide optical indices for radiative models of Titan's atmosphere.The aim of the present study is to address if these two sorts of analogues are chemically equivalent or not, when produced in the same N2-CH4 plasma discharge. The chemical compositions of both these materials are measured by using elemental analysis, XPS analysis and Secondary Ion Mass Spectrometry. We find that films are homogeneous but significantly less rich in nitrogen and hydrogen than grains produced in the same experimental conditions. This surprising difference in their chemical compositions is explained by the efficient etching occurring on the films, which stay in the discharge during the whole plasma duration, whereas the grains are ejected after a few minutes [3]. The impact for our understanding of Titan's aerosols chemical composition is important. Our study shows that chemical growth and etching process are simultaneously at stake in Titan's ionosphere. The more the aerosols stay in the ionosphere, the more graphitized they get through etching process. In order to infer Titan's aerosols composition, our work highlights a need for constraints on the residence time of aerosols in Titan's ionosphere. [1] Waite et al. (2009) Science , 316, p. 870[2] Szopa et al. (2006) PSS, 54, p. 394[3] Carrasco et al. (2016) PSS, 128, p. 52

  6. Surface reactions during low-k etching using H2/N2 plasma

    International Nuclear Information System (INIS)

    Fukasawa, Masanaga; Tatsumi, Tetsuya; Oshima, Keiji; Nagahata, Kazunori; Uchida, Saburo; Takashima, Seigo; Hori, Masaru; Kamide, Yukihiro

    2008-01-01

    We investigated the relationship between the hard mask faceting that occurs during organic low-k etching and the ion energy distribution function of a capacitively coupled plasma reactor. We minimized the hard mask faceting by precisely controlling the ion energy. This precise control was obtained by selecting the optimum bottom frequency and bias power. We measured the amount of damage done to a SiOCH film exposed to H 2 /N 2 plasma in order to find the H 2 /N 2 ratio at which the plasma caused the least damage. The amount of moisture uptake by the damaged SiOCH film is the dominant factor controlling the dielectric constant increase (Δk). To suppress Δk, the incident ion species and ion energies have to be precisely controlled. This reduces the number of adsorption sites in the bulk SiOCH and maintains the hydrophobic surface that suppresses water permeation during air exposure

  7. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    Science.gov (United States)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  8. Investigating the flow dynamics and chemistry of an expanding thermal plasma through CH(A-X) emission spectra

    NARCIS (Netherlands)

    Hansen, T. A. R.; Colsters, P. G. J.; M. C. M. van de Sanden,; Engeln, R.

    2011-01-01

    The gas flow in a linear plasma reactor and the plasma chemistry during hydrogenated amorphous carbon and graphite etching are investigated via time and spatially resolved measurements of the ion density and CH emission. A convolution of the ion and hydrocarbon density shows the importance of charge

  9. Copper-assisted, anti-reflection etching of silicon surfaces

    Science.gov (United States)

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  10. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed

    2014-07-29

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  11. Silicon germanium mask for deep silicon etching

    KAUST Repository

    Serry, Mohamed; Rubin, Andrew; Refaat, Mohamed; Sedky, Sherif; Abdo, Mohammad

    2014-01-01

    Polycrystalline silicon germanium (SiGe) can offer excellent etch selectivity to silicon during cryogenic deep reactive ion etching in an SF.sub.6/O.sub.2 plasma. Etch selectivity of over 800:1 (Si:SiGe) may be achieved at etch temperatures from -80 degrees Celsius to -140 degrees Celsius. High aspect ratio structures with high resolution may be patterned into Si substrates using SiGe as a hard mask layer for construction of microelectromechanical systems (MEMS) devices and semiconductor devices.

  12. Hydrogen in tungsten as plasma-facing material

    Science.gov (United States)

    Roth, Joachim; Schmid, Klaus

    2011-12-01

    Materials facing plasmas in fusion experiments and future reactors are loaded with high fluxes (1020-1024 m-2 s-1) of H, D and T fuel particles at energies ranging from a few eV to keV. In this respect, the evolution of the radioactive T inventory in the first wall, the permeation of T through the armour into the coolant and the thermo-mechanical stability after long-term exposure are key parameters determining the applicability of a first wall material. Tungsten exhibits fast hydrogen diffusion, but an extremely low solubility limit. Due to the fast diffusion of hydrogen and the short ion range, most of the incident ions will quickly reach the surface and recycle into the plasma chamber. For steady-state operation the solute hydrogen for the typical fusion reactor geometry and wall conditions can reach an inventory of about 1 kg. However, in short-pulse operation typical of ITER, solute hydrogen will diffuse out after each pulse and the remaining inventory will consist of hydrogen trapped in lattice defects, such as dislocations, grain boundaries and irradiation-induced traps. In high-flux areas the hydrogen energies are too low to create displacement damage. However, under these conditions the solubility limit will be exceeded within the ion range and the formation of gas bubbles and stress-induced damage occurs. In addition, simultaneous neutron fluxes from the nuclear fusion reaction D(T,n)α will lead to damage in the materials and produce trapping sites for diffusing hydrogen atoms throughout the bulk. The formation and diffusive filling of these different traps will determine the evolution of the retained T inventory. This paper will concentrate on experimental evidence for the influence different trapping sites have on the hydrogen inventory in W as studied in ion beam experiments and low-temperature plasmas. Based on the extensive experimental data, models are validated and applied to estimate the contribution of different traps to the tritium inventory in

  13. Thinning of multilayer graphene to monolayer graphene in a plasma environment

    International Nuclear Information System (INIS)

    Hazra, K S; Misra, D S; Rafiee, J; Rafiee, M A; Koratkar, N; Mathur, A; Roy, S S; McLauhglin, J

    2011-01-01

    We present a facile approach to transform multilayer graphene to single-layer graphene in a gradual thinning process. Our technique is based upon gradual etching of multilayer graphene in a hydrogen and nitrogen plasma environment. High resolution transmission microscopy, selected area electron diffraction and Raman spectroscopy confirm the transformation of multilayer graphene to monolayer graphene at a substrate temperature of ∼ 400 0 C. The shift in the position of the G-band peak shows a perfect linear dependence with substrate temperature, which indicates a controlled gradual etching process. Selected area electron diffraction also confirmed the removal of functional groups from the graphene surface due to the plasma treatment. We also show that plasma treatment can be used to engineer graphene nanomesh structures.

  14. Ablation of Hydrogen Pellets in Hydrogen and Helium Plasmas

    DEFF Research Database (Denmark)

    Jørgensen, L W; Sillesen, Alfred Hegaard; Øster, Flemming

    1975-01-01

    Measurements on the interaction between solid hydrogen pellets and rotating plasmas are reported. The investigations were carried out because of the possibility of refuelling fusion reactors by the injection of pellets. The ablation rate found is higher than expected on the basis of a theory...

  15. Plasma density measurements on refuelling by solid hydrogen pellets in a rotating plasma

    International Nuclear Information System (INIS)

    Joergensen, L.W.; Sillesen, A.H.

    1978-01-01

    The refuelling of a plasma by solid hydrogen pellets situated in the plasma is investigated. Nearly half of the pellet material is evaporated and seems to be completely ionized, resulting in an increase of the amount of plasma equivalent to one third of the total amount of plasma without refuelling. The gross behaviour of the plasma is not changed. (author)

  16. Hydrogen pumping and release by graphite under high flux plasma bombardment

    International Nuclear Information System (INIS)

    Hirooka, Y.; Leung, W.K.; Conn, R.W.; Goebel, D.M.; LaBombard, B.; Nygren, R.; Wilson, K.L.

    1988-01-01

    Inert gas (helium or argon) plasma bombardment has been found to increase the surface gas adsorptivity of isotropic graphite (POCO-graphite), which can then getter residual gases in a high vacuum system. The inert gas plasma bombardment was carried out at a flux ≅ 1 x 10 18 ions s -1 cm -2 to a fluence of the order of 10 21 ions/cm 2 and at temperatures around 800 0 C. The gettering capability of graphite can be easily recovered by repeating inert gas plasma bombardment. The activated graphite surface exhibits a smooth, sponge-like morphology with significantly increased pore openings, which correlates with the observed increase in the surface gas adsorptivity. The activated graphite surface has been observed to pump hydrogen plasma particles as well. From calibrated H-alpha measurements, the dynamic hydrogen retention capacity is evaluated to be as large as 2 x 10 18 H/cm 2 at temperatures below 100 0 C and at a plasma bombarding energy of 300 eV. The graphite temperature was varied between 15 and 480 0 C. Due to the plasma particle pumping capability, hydrogen recycling from the activated graphite surface is significantly reduced, relative to that from a pre-saturated surface. A pre-saturated surface was also observed to reproducibly pump a hydrogen plasma to a concentration of 9.5 x 10 17 H/cm 2 . The hydrogen retention capacity of graphite is found to decrease with increasing temperature. A transient pumping mechanism associated with the sponge-like surface morphology is conjectured to explain the large hydrogen retention capacity. Hydrogen release behavior under helium and argon plasma bombardment was also investigated, and the result indicated the possibility of some in-pore retrapping effect. 43 refs., 11 figs

  17. Etching Effect of an Atmospheric DC Arc Plasmatron

    International Nuclear Information System (INIS)

    Chun, Se Min; Kim, Ji Hun; Kang, In Je; Lee, Heon Ju

    2010-01-01

    Thermal plasmas (especially arc plasma) were extensively industrialized, principally by aeronautic sector. Cold plasma technologies have been developed in the microelectronics but their vacuum equipment limits their implantation. Plasmas used in dry etching, thin film deposition and surface treatment for display or semiconductor industries are operating at low pressures in very costly due to the use of vacuum equipment and vacuum components. Use of DC arc plasmatrons in welding, soldering, and cutting of metals is well known. A DC-arc plasmatron with high durability was reported to be a suitable device for etching silicon and photo-resist surfaces

  18. Electron beam induced fluorescence measurements of the degree of hydrogen dissociation in hydrogen plasmas

    NARCIS (Netherlands)

    Smit, C.; Brussaard, G.J.H.; de Beer, E.C.M.; Schram, D.C.; Sanden, van de M.C.M.

    2004-01-01

    The degree of dissociation of hydrogen in a hydrogen plasma has been measured using electron beam induced fluorescence. A 20 kV, 1 mA electron beam excites both the ground state H atom and H2 molecule into atomic hydrogen in an excited state. From the resulting fluorescence the degree of

  19. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    Science.gov (United States)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  20. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    Science.gov (United States)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  1. Mask-free surface structuring of micro- and nanocrystalline diamond films by reactive ion plasma etching

    Czech Academy of Sciences Publication Activity Database

    Domonkos, Mária; Ižák, Tibor; Babchenko, Oleg; Varga, Marián; Hruška, Karel; Kromka, Alexander

    2014-01-01

    Roč. 6, č. 7 (2014), s. 780-784 ISSN 2164-6627 R&D Projects: GA ČR GAP108/12/0910; GA ČR GAP108/12/0996; GA MPO FR-TI2/736 Institutional support: RVO:68378271 Keywords : micro- and nanocrystalline diamond * capacitively coupled plasma * reactive ion etching * nanostructuring * scanning electron microscopy Subject RIV: BM - Solid Matter Physics ; Magnetism

  2. Real-time control of ion density and ion energy in chlorine inductively coupled plasma etch processing

    International Nuclear Information System (INIS)

    Chang, C.-H.; Leou, K.-C.; Lin Chaung; Lin, T.-L.; Tseng, C.-W.; Tsai, C.-H.

    2003-01-01

    In this study, we have experimentally demonstrated the real-time closed-loop control of both ion density and ion energy in a chlorine inductively coupled plasma etcher. To measure positive ion density, the trace rare gases-optical emission spectroscopy is used to measure the chlorine positive ion density. An rf voltage probe is adopted to measure the root-mean-square rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator to drive the inductive coil seated on a ceramic window. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck. The closed-loop controller is designed to compensate for process drift, process disturbance, and pilot wafer effect and to minimize steady-state error of plasma parameters. This controller has been used to control the etch process of unpatterned polysilicon. The experimental results showed that the closed-loop control had a better repeatability of plasma parameters compared with open-loop control. The closed-loop control can eliminate the process disturbance resulting from reflected power. In addition, experimental results also demonstrated that closed-loop control has a better reproducibility in etch rate as compared with open-loop control

  3. Effect of additive gases and injection methods on chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F2 remote plasmas

    International Nuclear Information System (INIS)

    Yun, Y. B.; Park, S. M.; Kim, D. J.; Lee, N.-E.; Kim, K. S.; Bae, G. H.

    2007-01-01

    The authors investigated the effects of various additive gases and different injection methods on the chemical dry etching of silicon nitride, silicon oxynitride, and silicon oxide layers in F 2 remote plasmas. N 2 and N 2 +O 2 gases in the F 2 /Ar/N 2 and F 2 /Ar/N 2 /O 2 remote plasmas effectively increased the etch rate of the layers. The addition of direct-injected NO gas increased the etch rates most significantly. NO radicals generated by the addition of N 2 and N 2 +O 2 or direct-injected NO molecules contributed to the effective removal of nitrogen and oxygen in the silicon nitride and oxide layers, by forming N 2 O and NO 2 by-products, respectively, and thereby enhancing SiF 4 formation. As a result of the effective removal of the oxygen, nitrogen, and silicon atoms in the layers, the chemical dry etch rates were enhanced significantly. The process regime for the etch rate enhancement of the layers was extended at elevated temperature

  4. Enhanced field emission from carbon nanotubes by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Zhi, C.Y.; Bai, X.D.; Wang, E.G.

    2002-01-01

    The field emission capability of the carbon nanotubes (CNTs) has been improved by hydrogen plasma treatment, and the enhanced emission mechanism has been studied systematically using Fourier-transform infrared spectroscopy, Raman, and transmission electron microscopy. The hydrogen concentration in the samples increases with increasing plasma treatment duration. A C δ- -H δ+ dipole layer may form on CNTs' surface and a high density of defects results from the plasma treatment, which is likely to make the external surface of CNTs more active to emit electrons after treatment. In addition, the sharp edge of CNTs' top, after removal of the catalyst particles, may increase the local electronic field more effectively. The present study suggests that hydrogen plasma treatment is a useful method for improving the field electron emission property of CNTs

  5. Thermal de-isolation of silicon microstructures in a plasma etching environment

    International Nuclear Information System (INIS)

    Lee, Yong-Seok; Jang, Yun-Ho; Kim, Yong-Kweon; Kim, Jung-Mu

    2013-01-01

    This paper presents a theoretical and experimental strategy for thermal de-isolation of silicon microstructures during a plasma etching process. Heat sinking blocks and thin metal layers are implemented around a thermally isolated mass to avoid severe spring width losses by a steep temperature rise. Thermal de-isolation significantly reduces the fabrication errors from −51.0% to −9.0% and from −39.5% to −6.7% for spring widths and resonant frequencies, respectively. Thermal de-isolation also reduces the standard deviation of resonant frequencies from 8.7% to 1.5% across a wafer, which clearly demonstrates the proposed method. (paper)

  6. Optical and passivating properties of hydrogenated amorphous silicon nitride deposited by plasma enhanced chemical vapour deposition for application on silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Wight, Daniel Nilsen

    2008-07-01

    quality, etch rate. The response of these parameters to high temperature anneals were correlated with structural changes in the silicon nitride films as measured by using the hydrogen bond concentration. Plasma enhanced chemical vapour deposition allows continuous variation in nearly all deposition parameters. The parameters studied in this work are the gas flow ratios and excitation power. In both direct and remote deposition systems, the increase in deposition power density lead to higher activation of ammonia which in turn lead to augmented incorporation of nitrogen into the films and thus lower refractive index. For a direct system, the same parameter change lead to a drastic fall in passivation quality of Czochralski silicon attributed to an increase in ion bombardment as well as the general observation that as deposited passivation tends to increase with refractive index. Silicon nitride films with variations in refractive index were also made by varying the silane-to-ammonia gas flow ratio. This simple parameter adjustment makes plasma enhanced chemical vapour deposited silicon nitride applicable to double layer anti-reflective coatings simulated in this work. The films were found to have an etch rate in 5% hydrofluoric acid that decreased with increasing refractive index. This behaviour is attributed to the decreasing concentration of nitrogen-to-hydrogen bonds in the films. Such bonds at the surface of silicon nitride have been suggested to be involved in the main reaction mechanism when etching silicon nitride in hydrofluoric acid. Annealing the films lead to a drastic fall in etch rates and was linked to the release of hydrogen from the nitrogen-hydrogen bonds. (author). 115 refs., 35 figs., 6 tabs

  7. Nanoparticle and nanosphere mask for etching of ITO nanostructures and their reflection properties

    International Nuclear Information System (INIS)

    Xu, Cigang; Deng, Ligang; Holder, Adam; Bailey, Louise R.; Proudfoot, Gary; Thomas, Owain; Gunn, Robert; Cooke, Mike; Leendertz, Caspar; Bergmann, Joachim

    2015-01-01

    Au nanoparticles and polystyrene nanospheres were used as mask for plasma etching of indium tin oxide (ITO) layer. By reactive ion etching (RIE) processes, the morphology of polystyrene nanospheres can be tuned through chemical or physical etching, and Au nanoparticle mask can result in ITO nanostructures with larger aspect ratio than nanosphere mask. During inductively coupled plasma (ICP) processes, Au nanoparticle mask was not affected by the thermal effect of plasma, whereas temperature of the substrate was essential to protect nanospheres from the damaging effect of plasma. Physical bombardment in the plasma can also modify the nanospheres. It was observed that under the same process conditions, the ratio of CH 4 and H 2 in the process gas can affect the etching rate of ITO without completely etching the nanospheres. The morphology of ITO nanostructures also depends on process conditions. The resulting ITO nanostructures show lower reflection in a spectral range of 400-1000 nm than c-Si and conventional antireflection layer of SiN x film. ITO nanostructures obtained after etching (scale bar = 200 nm). (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  8. Highly selective etching of silicon nitride to physical-vapor-deposited a-C mask in dual-frequency capacitively coupled CH2F2/H2 plasmas

    International Nuclear Information System (INIS)

    Kim, J. S.; Kwon, B. S.; Heo, W.; Jung, C. R.; Park, J. S.; Shon, J. W.; Lee, N.-E.

    2010-01-01

    A multilevel resist (MLR) structure can be fabricated based on a very thin amorphous carbon (a-C) layer ( congruent with 80 nm) and Si 3 N 4 hard-mask layer ( congruent with 300 nm). The authors investigated the selective etching of the Si 3 N 4 layer using a physical-vapor-deposited (PVD) a-C mask in a dual-frequency superimposed capacitively coupled plasma etcher by varying the process parameters in the CH 2 F 2 /H 2 /Ar plasmas, viz., the etch gas flow ratio, high-frequency source power (P HF ), and low-frequency source power (P LF ). They found that under certain etch conditions they obtain infinitely high etch selectivities of the Si 3 N 4 layers to the PVD a-C on both the blanket and patterned wafers. The etch gas flow ratio played a critical role in determining the process window for infinitely high Si 3 N 4 /PVD a-C etch selectivity because of the change in the degree of polymerization. The etch results of a patterned ArF photoresisit/bottom antireflective coating/SiO x /PVD a-C/Si 3 N 4 MLR structure supported the idea of using a very thin PVD a-C layer as an etch-mask layer for the Si 3 N 4 hard-mask pattern with a pattern width of congruent with 80 nm and high aspect ratio of congruent with 5.

  9. Novel Cyclosilazane-Type Silicon Precursor and Two-Step Plasma for Plasma-Enhanced Atomic Layer Deposition of Silicon Nitride.

    Science.gov (United States)

    Park, Jae-Min; Jang, Se Jin; Lee, Sang-Ick; Lee, Won-Jun

    2018-03-14

    We designed cyclosilazane-type silicon precursors and proposed a three-step plasma-enhanced atomic layer deposition (PEALD) process to prepare silicon nitride films with high quality and excellent step coverage. The cyclosilazane-type precursor, 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2), has a closed ring structure for good thermal stability and high reactivity. CSN-2 showed thermal stability up to 450 °C and a sufficient vapor pressure of 4 Torr at 60 °C. The energy for the chemisorption of CSN-2 on the undercoordinated silicon nitride surface as calculated by density functional theory method was -7.38 eV. The PEALD process window was between 200 and 500 °C, with a growth rate of 0.43 Å/cycle. The best film quality was obtained at 500 °C, with hydrogen impurity of ∼7 atom %, oxygen impurity less than 2 atom %, low wet etching rate, and excellent step coverage of ∼95%. At 300 °C and lower temperatures, the wet etching rate was high especially at the lower sidewall of the trench pattern. We introduced the three-step PEALD process to improve the film quality and the step coverage on the lower sidewall. The sequence of the three-step PEALD process consists of the CSN-2 feeding step, the NH 3 /N 2 plasma step, and the N 2 plasma step. The H radicals in NH 3 /N 2 plasma efficiently remove the ligands from the precursor, and the N 2 plasma after the NH 3 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. The films deposited at 300 °C using the novel precursor and the three-step PEALD process showed a significantly improved step coverage of ∼95% and an excellent wet etching resistance at the lower sidewall, which is only twice as high as that of the blanket film prepared by low-pressure chemical vapor deposition.

  10. Hydrogen Pellet-Rotating Plasma Interaction

    DEFF Research Database (Denmark)

    Jørgensen, L. W.; Sillesen, Alfred Hegaard; Øster, Flemming

    1977-01-01

    Spectroscopic measurements on the interaction between solid hydrogen pellets and rotating plasmas are reported. It was found that the light emitted is specific to the pellet material, and that the velocity of the ablated H-atoms is of the order of l0^4 m/s. The investigation was carried out...

  11. Energy distribution and transfer in flowing hydrogen microwave plasmas

    International Nuclear Information System (INIS)

    Chapman, R.A.

    1987-01-01

    This thesis is an experimental investigation of the physical and chemical properties of a hydrogen discharge in a flowing microwave plasma system. The plasma system is the mechanisms utilized in an electrothermal propulsion concept to convert electromagnetic energy into the kinetic energy of flowing hydrogen gas. The plasmas are generated inside a 20-cm ID resonant cavity at a driving frequency of 2.45 GHz. The flowing gas is contained in a coaxially positioned 22-mm ID quartz discharge tube. The physical and chemical properties are examined for absorbed powers of 20-100 W, pressures of 0.5-10 torr, and flow rates of 0-10,000 μ-moles/sec. A calorimetry system enclosing the plasma system to accurately measure the energy inputs and outputs has been developed. The rate of energy that is transferred to the hydrogen gas as it flows through the plasma system is determined as a function of absorbed power, pressure, and flow rate to +/-1.8 W from an energy balance around the system. The percentage of power that is transferred to the gas is found to increase with increasing flow rate, decrease with increasing pressure, and to be independent of absorbed power

  12. Study on low temperature plasma driven permeation of hydrogen

    Energy Technology Data Exchange (ETDEWEB)

    Takizawa, Masayuki [Japan Atomic Energy Research Inst., Tokai, Ibaraki (Japan). Tokai Research Establishment

    1998-03-01

    It is one of the most important problem in PWI of fusion devices from the point of view of tritium leakage that hydrogen diffuses in the wall of the device and permeates through it, which results in hydrogen being released to the coolant side. In this study, plasma driven permeation experiments were carried out with several kinds of metal membranes in the low temperature plasma where ionic and atomic hydrogen as well as electron existed in order to survey PDP mechanism from the many view points. In addition, incident flux rate from the plasma to the membrane surface was evaluated by calculation analysis. As a result the mechanism of low temperature PDP was found out and described as PDP models. The simulation of the membrane pump system was executed and the system performance was estimated with the models. (author). 135 refs.

  13. Study on low temperature plasma driven permeation of hydrogen

    International Nuclear Information System (INIS)

    Takizawa, Masayuki

    1998-03-01

    It is one of the most important problem in PWI of fusion devices from the point of view of tritium leakage that hydrogen diffuses in the wall of the device and permeates through it, which results in hydrogen being released to the coolant side. In this study, plasma driven permeation experiments were carried out with several kinds of metal membranes in the low temperature plasma where ionic and atomic hydrogen as well as electron existed in order to survey PDP mechanism from the many view points. In addition, incident flux rate from the plasma to the membrane surface was evaluated by calculation analysis. As a result the mechanism of low temperature PDP was found out and described as PDP models. The simulation of the membrane pump system was executed and the system performance was estimated with the models. (author). 135 refs

  14. CH4/H2/Ar electron cyclotron resonance plasma etching for GaAs-based field effect transistors

    NARCIS (Netherlands)

    Hassel, van J.G.; Es, van C.M.; Nouwens, P.A.M.; Maahury, J.H.; Kaufmann, L.M.F.

    1995-01-01

    Electron cyclotron resonance (ECR) plasma etch processes with CH4/H2/AR have been investigated on different III–Vsemiconductor materials (GaAs, AlGaAs, InGaAs, and InP). The passivation depth as a function of the GaAs carrierconcentration and the recovery upon annealing at different temperatures

  15. Fluorocarbon assisted atomic layer etching of SiO{sub 2} and Si using cyclic Ar/C{sub 4}F{sub 8} and Ar/CHF{sub 3} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Metzler, Dominik; Oehrlein, Gottlieb S., E-mail: oehrlein@umd.edu [Department of Materials Science and Engineering, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Li, Chen [Department of Physics, and Institute for Research in Electronics and Applied Physics, University of Maryland, College Park, Maryland 20740 (United States); Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A. [IBM T. J. Watson Research Center, Yorktown Heights, New York 10598 (United States)

    2016-01-15

    The need for atomic layer etching (ALE) is steadily increasing as smaller critical dimensions and pitches are required in device patterning. A flux-control based cyclic Ar/C{sub 4}F{sub 8} ALE based on steady-state Ar plasma in conjunction with periodic, precise C{sub 4}F{sub 8} injection and synchronized plasma-based low energy Ar{sup +} ion bombardment has been established for SiO{sub 2} [Metzler et al., J. Vac. Sci. Technol. A 32, 020603 (2014)]. In this work, the cyclic process is further characterized and extended to ALE of silicon under similar process conditions. The use of CHF{sub 3} as a precursor is examined and compared to C{sub 4}F{sub 8}. CHF{sub 3} is shown to enable selective SiO{sub 2}/Si etching using a fluorocarbon (FC) film build up. Other critical process parameters investigated are the FC film thickness deposited per cycle, the ion energy, and the etch step length. Etching behavior and mechanisms are studied using in situ real time ellipsometry and x-ray photoelectron spectroscopy. Silicon ALE shows less self-limitation than silicon oxide due to higher physical sputtering rates for the maximum ion energies used in this work, ranged from 20 to 30 eV. The surface chemistry is found to contain fluorinated silicon oxide during the etching of silicon. Plasma parameters during ALE are studied using a Langmuir probe and establish the impact of precursor addition on plasma properties.

  16. Dry etching technologies for reflective multilayer

    Science.gov (United States)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  17. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    Science.gov (United States)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  18. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    International Nuclear Information System (INIS)

    Lu, J.; Meng, X.; SpringThorpe, A.J.; Shepherd, F.R.; Poirier, M.

    2004-01-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated 'T electrodes' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl 2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ∼0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl 2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 deg. C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes

  19. Removing of oxides from Fe-Ni alloys by hydrogen plasma treatment

    International Nuclear Information System (INIS)

    Vesel, A.; Drenik, A.; Mozetic, M.

    2007-01-01

    Plasma wall interaction is one of the key issues in fusion research for ITER application. The first-wall materials in tokamaks and in other high temperature plasma reactors are subject to and to continuous degradation due to the ion bombardment. Furthermore the release of the eroded wall material leads to their redeposition to other parts of the fusion reactor and they can be even transported into the core plasma where they cause dilution of the plasma fuel and cooling of the plasma itself. One possible solution for removal of deposits formed during operation of the fusion devices is oxygen plasma treatment. A drawback of the oxygen plasma is that it causes formation of oxides on the surface of the materials. These oxides can be reduced by further hydrogen plasma treatment. A study on reduction of an oxide layer from Fe-Ni alloys was performed. The samples were exposed to low pressure weakly ionized hydrogen plasma for different periods. A density of hydrogen plasma was 8x10 15 m -3 , an electron temperature was 6 eV, and a degree of dissociation was about 30%. After plasma treatment the samples were analyzed by Auger Electron Spectroscopy (AES). The results showed that the complete reduction of an initial oxide layer with the thickness of about 30 nm occurred after 20 s of exposure to hydrogen plasma, when AES showed no more oxygen on the surface of Fe-Ni alloy. During the exposure of the samples to the plasma their temperature was measured. The temperature first rised with time, reached the maximum value, and than dropped as soon as the layer of an oxide on the surface was reduced. (author)

  20. Transport of high fluxes of hydrogen plasma in a linear plasma generator

    NARCIS (Netherlands)

    Vijvers, W.A.J.; Al, R.S.; Lopes Cardozo, N.J.; Goedheer, W.J.; Groot, de B.; Kleyn, A.W.; Meiden, van der H.J.; Peppel, van de R.J.E.; Schram, D.C.; Shumack, A.E.; Westerhout, J.; Rooij, van G.J.; Schmidt, J.; Simek, M.; Pekarek, S.; Prukner, V.

    2007-01-01

    A study was made to quantify the losses during the convective hydrogen plasma transport in the linear plasma generator Pilot-PSI due to volume recombination. A transport efficiency of 35% was achieved at neutral background pressures below ~7 Pa in a magnetic field of 1.2 T. This efficiency decreased

  1. Modeling of block copolymer dry etching for directed self-assembly lithography

    Science.gov (United States)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  2. Spectroscopic ellipsometry on Si/SiO2/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    International Nuclear Information System (INIS)

    Eren, Baran; Fu, Wangyang; Marot, Laurent; Calame, Michel; Steiner, Roland; Meyer, Ernst

    2015-01-01

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation

  3. Residual gas entering high density hydrogen plasma: rarefaction due to rapid heating

    NARCIS (Netherlands)

    N. den Harder,; D.C. Schram,; W. J. Goedheer,; de Blank, H. J.; M. C. M. van de Sanden,; van Rooij, G. J.

    2015-01-01

    The interaction of background molecular hydrogen with magnetized (0.4 T) high density (1–5 × 10 20  m −3 ) low temperature (∼3 eV) hydrogen plasma was inferred from the Fulcher band emission in the linear plasma generator Pilot-PSI. In the plasma center,

  4. Damage-free plasma etching of porous organo-silicate low-k using micro-capillary condensation above -50 °C.

    Science.gov (United States)

    Chanson, R; Zhang, L; Naumov, S; Mankelevich, Yu A; Tillocher, T; Lefaucheux, P; Dussart, R; Gendt, S De; Marneffe, J-F de

    2018-01-30

    The micro-capillary condensation of a new high boiling point organic reagent (HBPO), is studied in a periodic mesoporous oxide (PMO) with ∼34 % porosity and k-value ∼2.3. At a partial pressure of 3 mT, the onset of micro-capillary condensation occurs around +20 °C and the low-k matrix is filled at -20 °C. The condensed phase shows high stability from -50 < T ≤-35 °C, and persists in the pores when the low-k is exposed to a SF 6 -based plasma discharge. The etching properties of a SF 6 -based 150W-biased plasma discharge, using as additive this new HBPO gas, shows that negligible damage can be achieved at -50 °C, with acceptable etch rates. The evolution of the damage depth as a function of time was studied without bias and indicates that Si-CH 3 loss occurs principally through Si-C dissociation by VUV photons.

  5. Cold plasmas

    International Nuclear Information System (INIS)

    Franz, G.

    1990-01-01

    This textbook discusses the following topics: Phenomenological description of a direct current glow discharge; the plasma (temperature distribution and measurement, potential variation, electron energy distribution function, charge neutralization, wall potentials, plasma oscillations); Production of charge carriers (ions, electrons, ionization in the cathode zone, negative glowing zone, Faraday dark space, positive column, anode zone, hollow cathode discharges); RF-discharges (charge carrier production, RF-Shields, scattering mechanisms); Sputtering (ion-surface interaction, kinetics, sputtering yield and energy distribution, systems and conditions, film formation and stresses, contamination, bias techniques, multicomponent film deposition, cohesion, magnetrons, triode systems, plasma enhanced chemical vapor deposition); Dry etching (sputter etching, reactive etching, topography, process control, quantitative investigations); Etching mechanisms (etching of Si and SiO 2 with CF 4 , of III/V-compound-semiconductors, combination of isotrope and anisotrope etching methods, surface cleaning); ion beam systems (applications, etching); Dyclotron-resonance-systems (electron cyclotron resonance systems, whistler-sources and 'resonant inductive plasma etching'); Appendix (electron energy distribution functions, Bohm's transition zone, plasma oscillations, scattering cross sections and mean free path, metastable states, Child-Langmuir-Schottky equation, loss mechanisms, charge carrier distribution in the positive column, breakdown at high frequencies, motion in a magnetic field, skin depth of an electric field for a HF-discharge, whistler waves, dispersion relations for plane wave propagation). (orig.) With 138 figs

  6. Formation dynamics of UV and EUV induced hydrogen plasma

    NARCIS (Netherlands)

    Dolgov, A.A.; Lee, Christopher James; Yakushev, O.; Lopaev, D.V.; Abrikosov, A.; Krivtsun, V.M.; Zotovich, A.; Bijkerk, F.

    2014-01-01

    The comparative study of the dynamics of ultraviolet (UV) and extreme ultraviolet (EUV) induced hydrogen plasma was performed. It was shown that for low H2 pressures and bias voltages, the dynamics of the two plasmas are significantly different. In the case of UV radiation, the plasma above the

  7. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  8. Precision Recess of AlGaN/GaN with Controllable Etching Rate Using ICP-RIE Oxidation and Wet Etching

    NARCIS (Netherlands)

    Sokolovskij, R.; Sun, J.; Santagata, F.; Iervolino, E.; Li, S.; Zhang, G.Y.; Sarro, P.M.; Zhang, G.Q.

    2016-01-01

    A method for highly controllable etching of AlGaN/GaN for the fabrication of high sensitivity HEMT based sensors is developed. The process consists of cyclic oxidation of nitride with O2 plasma using ICP-RIE etcher followed by wet etching of the oxidized layer. Previously reported

  9. Stability of AlGaN/GaN heterostructures after hydrogen plasma treatment

    Energy Technology Data Exchange (ETDEWEB)

    Babchenko, O., E-mail: oleg.babchenko@savba.sk [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Dzuba, J.; Lalinský, T. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia); Vojs, M. [Institute of Electronics and Photonics STU, Ilkovičova 3, 812 19 Bratislava (Slovakia); Vincze, A. [International Laser Centre, Ilkovičova 3, 841 04 Bratislava (Slovakia); Ižák, T. [Institute of Physics AS CR, v.v.i., Cukrovarnicka 10, 162 53 Prague (Czech Republic); Vanko, G. [Institute of Electrical Engineering SAV, Dúbravská cesta 9, 841 04 Bratislava (Slovakia)

    2017-02-15

    Highlights: • AlGaN/GaNheterostructures with electric contacts were treated by hydrogen plasma. • No surface degradation after treatment was detected by SEM. • Hydrogen plasma caused increasing of sheet resistance up to 3.5 times after 60 min. • Incorporation of hydrogen in AlGaN sub-surface region was observed by SIMS. • Electrical measurements indicate hydrogen induced Schottky barrier lowering. - Abstract: We report on the investigation of low temperature (300 °C) hydrogen plasma treatment influence on the AlGaN/GaN heterostructures. This issue was raised in the frame of study on processes related to hybrid integration of diamond with GaN-based devices. At the same time, the capabilities of thin SiN{sub x} covering were investigated. The samples were exposed to low pressure hydrogen plasma ignited in the linear plasma system at low temperature. We analyze the surface morphology of samples by scanning electron microscopy while microstructural changes down to AlGaN/GaN interface were studied using secondary ion mass spectrometry. The sheet resistance, monitored using circular transmission line measurements, increases more than 3.5 times after 60 min treatment. The basic transport properties of the fabricated circular high electron mobility transistors after H{sub 2} plasma treatment were analyzed. The sheet resistance increasing was attributed to the decrease of effective mobility. Whilst, the observed Schottky barrier lowering indicates necessity of gate contact protection.

  10. Prediction of UV spectra and UV-radiation damage in actual plasma etching processes using on-wafer monitoring technique

    International Nuclear Information System (INIS)

    Jinnai, Butsurin; Fukuda, Seiichi; Ohtake, Hiroto; Samukawa, Seiji

    2010-01-01

    UV radiation during plasma processing affects the surface of materials. Nevertheless, the interaction of UV photons with surface is not clearly understood because of the difficulty in monitoring photons during plasma processing. For this purpose, we have previously proposed an on-wafer monitoring technique for UV photons. For this study, using the combination of this on-wafer monitoring technique and a neural network, we established a relationship between the data obtained from the on-wafer monitoring technique and UV spectra. Also, we obtained absolute intensities of UV radiation by calibrating arbitrary units of UV intensity with a 126 nm excimer lamp. As a result, UV spectra and their absolute intensities could be predicted with the on-wafer monitoring. Furthermore, we developed a prediction system with the on-wafer monitoring technique to simulate UV-radiation damage in dielectric films during plasma etching. UV-induced damage in SiOC films was predicted in this study. Our prediction results of damage in SiOC films shows that UV spectra and their absolute intensities are the key cause of damage in SiOC films. In addition, UV-radiation damage in SiOC films strongly depends on the geometry of the etching structure. The on-wafer monitoring technique should be useful in understanding the interaction of UV radiation with surface and in optimizing plasma processing by controlling UV radiation.

  11. Angular dependence of SiO2 etch rate at various bias voltages in a high density CHF3 plasma

    International Nuclear Information System (INIS)

    Lee, Gyeo-Re; Hwang, Sung-Wook; Min, Jae-Ho; Moon, Sang Heup

    2002-01-01

    The dependence of the SiO 2 etch rate on the angle of ions incident on the substrate surface was studied over a bias voltage range from -20 to -600 V in a high-density CHF 3 plasma using a Faraday cage to control the ion incident angle. The effect of the bottom plane on the sidewall etching was also examined. Differences in the characteristics of the etch rate as a function of the ion angle were observed for different bias voltage regions. When the absolute value of the bias voltage was smaller than 200 V, the normalized etch rate (NER) defined as the etch rate normalized by the rate on the horizontal surface, changed following a cosine curve with respect to the ion incident angle, defined as the angle between the ion direction and the normal of the substrate surface. When the magnitude of the bias voltage was larger than 200 V, the NER was deviated to higher values from those given by a cosine curve at ion angles between 30 deg. and 70 deg. , and then drastically decreased at angles higher than 70 deg. until a net deposition was observed at angles near 90 deg. . The characteristic etch-rate patterns at ion angles below 70 deg. were determined by the ion energy transferred to the surface, which affected the SiO 2 etch rate and, simultaneously, the rate of removal of a fluorocarbon polymer film formed on the substrate surface. At high ion angles, particles emitted from the bottom plane contributed to polymer formation on and affected the etching characteristics of the substrate

  12. Plasma treatment of polymers for improved adhesion

    International Nuclear Information System (INIS)

    Kelber, J.A.

    1988-01-01

    A variety of plasma treatments of polymer sufaces for improved adhesion are reviewed: noble and reactive has treatment of fluoropolymers; noble and reactive treatment of polyolefins, and plasma-induced amination of polymer fibers. The plasma induced surface chemical and morphological changer are discussed, as are the mechanisms of adhersion to polymeric adhesives, particularly epoxy. Noble has plasma eching of fluoropolymers produces a partially defluorinated, textured surface. The mechanical interlocking of this textured surface is the primary cause of improved adhsion to epoxy. Reactive has plasma also induce defluorination, but oxygen containing gases cause continual ablation of the fluoropolymer surface. Noble and reactive gas (except for hydrogen) etching of polyolefins results in surface oxidation and imrprove adhesion via hydrogen bonding of these exygen containing groups across the interface. The introduction of amine groups to a polymer surface by ammonia or amine plasma treatment generally results in improved adhesion to epoxy. However, amine-epoxy ring interactions can be severely effected by steric factors due to chemical group surrounding the amine

  13. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-03-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future neutral beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H - production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment

  14. Electrical disruption in toroidal plasma of hydrogen

    International Nuclear Information System (INIS)

    Roberto, M.; Silva, C.A.B.; Goes, L.C.S.; Sudano, J.P.

    1991-01-01

    The initial phase of ionization of a toroidal plasma produced in hydrogen was investigated using zero-dimensional model. The model describes the temporal evolution of plasma by spatial medium of particle density and temperature, on whole plasma volume. The energy and particle (electrons and ions) balance equations are considered. The electron loss is due to ambipolar diffusion in the presence of magnetic field. The electron energy loss involves ionization, Coulomb interaction and diffusion. The ohmic heating converter gives the initial voltage necessary to disruption. (M.C.K.)

  15. Silicon nitride and silicon etching by CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams

    Energy Technology Data Exchange (ETDEWEB)

    Kaler, Sanbir S.; Lou, Qiaowei; Donnelly, Vincent M., E-mail: vmdonnelly@uh.edu; Economou, Demetre J., E-mail: economou@uh.edu [Department of Chemical and Biomolecular Engineering, Plasma Processing Laboratory, University of Houston, Houston, Texas 77204 (United States)

    2016-07-15

    Silicon nitride (SiN, where Si:N ≠ 1:1) films low pressure-chemical vapor deposited on Si substrates, Si films on Ge on Si substrates, and p-Si samples were exposed to plasma beams emanating from CH{sub 3}F/O{sub 2} or CH{sub 3}F/CO{sub 2} inductively coupled plasmas. Conditions within the plasma beam source were maintained at power of 300 W (1.9 W/cm{sup 3}), pressure of 10 mTorr, and total gas flow rate of 10 sccm. X-ray photoelectron spectroscopy was used to determine the thicknesses of Si/Ge in addition to hydrofluorocarbon polymer films formed at low %O{sub 2} or %CO{sub 2} addition on p-Si and SiN. Polymer film thickness decreased sharply as a function of increasing %O{sub 2} or %CO{sub 2} addition and dropped to monolayer thickness above the transition point (∼48% O{sub 2} or ∼75% CO{sub 2}) at which the polymer etchants (O and F) number densities in the plasma increased abruptly. The C(1s) spectra for the polymer films deposited on p-Si substrates appeared similar to those on SiN. Spectroscopic ellipsometry was used to measure the thickness of SiN films etched using the CH{sub 3}F/O{sub 2} and CH{sub 3}F/CO{sub 2} plasma beams. SiN etching rates peaked near 50% O{sub 2} addition and 73% CO{sub 2} addition. Faster etching rates were measured in CH{sub 3}F/CO{sub 2} than CH{sub 3}F/O{sub 2} plasmas above 70% O{sub 2} or CO{sub 2} addition. The etching of Si stopped after a loss of ∼3 nm, regardless of beam exposure time and %O{sub 2} or %CO{sub 2} addition, apparently due to plasma assisted oxidation of Si. An additional GeO{sub x}F{sub y} peak was observed at 32.5 eV in the Ge(3d) region, suggesting deep penetration of F into Si, under the conditions investigated.

  16. Spectroscopic ellipsometry on Si/SiO{sub 2}/graphene tri-layer system exposed to downstream hydrogen plasma: Effects of hydrogenation and chemical sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Eren, Baran [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland); Materials Sciences Division, Lawrence Berkeley National Laboratory, Berkeley, California 94720 (United States); Fu, Wangyang; Marot, Laurent, E-mail: laurent.marot@unibas.ch; Calame, Michel; Steiner, Roland; Meyer, Ernst [Department of Physics, University of Basel, Klingelbergstrasse 82, CH-4056 Basel (Switzerland)

    2015-01-05

    In this work, the optical response of graphene to hydrogen plasma treatment is investigated with spectroscopic ellipsometry measurements. Although the electronic transport properties and Raman spectrum of graphene change after plasma hydrogenation, ellipsometric parameters of the Si/SiO2/graphene tri-layer system do not change. This is attributed to plasma hydrogenated graphene still being electrically conductive, since the light absorption of conducting 2D materials does not depend on the electronic band structure. A change in the light transmission can only be observed when higher energy hydrogen ions (30 eV) are employed, which chemically sputter the graphene layer. An optical contrast is still apparent after sputtering due to the remaining traces of graphene and hydrocarbons on the surface. In brief, plasma treatment does not change the light transmission of graphene; and when it does, this is actually due to plasma damage rather than plasma hydrogenation.

  17. Dry etching of MgCaO gate dielectric and passivation layers on GaN

    International Nuclear Information System (INIS)

    Hlad, M.; Voss, L.; Gila, B.P.; Abernathy, C.R.; Pearton, S.J.; Ren, F.

    2006-01-01

    MgCaO films grown by rf plasma-assisted molecular beam epitaxy and capped with Sc 2 O 3 are promising candidates as surface passivation layers and gate dielectrics on GaN-based high electron mobility transistors (HEMTs) and metal-oxide semiconductor HEMTs (MOS-HEMTs), respectively. Two different plasma chemistries were examined for etching these thin films on GaN. Inductively coupled plasmas of CH 4 /H 2 /Ar produced etch rates only in the range 20-70 A/min, comparable to the Ar sputter rates under the same conditions. Similarly slow MgCaO etch rates (∼100 A/min) were obtained with Cl 2 /Ar discharges under the same conditions, but GaN showed rates almost an order of magnitude higher. The MgCaO removal rates are limited by the low volatilities of the respective etch products. The CH 4 /H 2 /Ar plasma chemistry produced a selectivity of around 2 for etching the MgCaO with respect to GaN

  18. Inductively coupled hydrogen plasma processing of AZO thin films for heterojunction solar cell applications

    International Nuclear Information System (INIS)

    Zhou, H.P.; Xu, S.; Zhao, Z.; Xiang, Y.

    2014-01-01

    Highlights: • A high-density plasma reactor of inductively coupled plasma source is used in this work. • The conductivity and transmittance can be enhanced simultaneously in the hydrogen process. • The formation of additional donors and passivation due to the hydrogen plasma processing. • The photovoltaic improvement due to the improved AZO layer and hetero-interface quality in the solar cells. - Abstract: Al-doped ZnO (AZO) thin films deposited by means of RF magnetron sputtering were processed in a low frequency inductively coupled plasma of H 2 , aiming at heterojunction (HJ) solar cell applications. A variety of characterization results show that the hydrogen plasma processing exerts a significant influence on the microstructures, electrical and optical properties of the AZO films. The incorporation of hydrogen under the optimum treatment simultaneously promoted the transmittance and conductivity due to the hydrogen associated passivation effect on the native defects and the formation of shallow donors in the films, respectively. A p-type c-Si based HJ solar cell with a front AZO contact was also treated in as-generated non-equilibrium hydrogen plasma and the photovoltaic performance of the solar cell was prominently improved. The underlying mechanism was discussed in terms of the beneficial impacts of high-density hydrogen plasma on the properties of AZO itself and the hetero-interfaces involved in the HJ structure (interface defect and energy band configuration)

  19. Foundations of low-temperature plasma enhanced materials synthesis and etching

    Science.gov (United States)

    Oehrlein, Gottlieb S.; Hamaguchi, Satoshi

    2018-02-01

    Low temperature plasma (LTP)-based synthesis of advanced materials has played a transformational role in multiple industries, including the semiconductor industry, liquid crystal displays, coatings and renewable energy. Similarly, the plasma-based transfer of lithographically defined resist patterns into other materials, e.g. silicon, SiO2, Si3N4 and other electronic materials, has led to the production of nanometer scale devices that are the basis of the information technology, microsystems, and many other technologies based on patterned films or substrates. In this article we review the scientific foundations of both LTP-based materials synthesis at low substrate temperature and LTP-based isotropic and directional etching used to transfer lithographically produced resist patterns into underlying materials. We cover the fundamental principles that are the basis of successful application of the LTP techniques to technological uses and provide an understanding of technological factors that may control or limit material synthesis or surface processing with the use of LTP. We precede these sections with a general discussion of plasma surface interactions, the LTP-generated particle fluxes including electrons, ions, radicals, excited neutrals and photons that simultaneously contact and modify surfaces. The surfaces can be in the line of sight of the discharge or hidden from direct interaction for structured substrates. All parts of the article are extensively referenced, which is intended to help the reader study the topics discussed here in more detail.

  20. Hydrogen production by thermal water splitting using a thermal plasma

    International Nuclear Information System (INIS)

    Boudesocque, N.; Lafon, C.; Girold, C.; Vandensteendam, C.; Baronnet, J.M.

    2006-01-01

    CEA has been working for more than 10 years in plasma technologies devoted to waste treatment: incineration, vitrification, gases and liquid treatment. Based on this experience, CEA experiments since several years an innovative route for hydrogen production by thermal water splitting, using a plasma as heat source. This new approach could be considered as an alternative to electrolysis for massive hydrogen production from water and electricity. This paper presents a brief state of the art of water thermal plasmas, showing the temperatures and quench velocity ranges technologically achievable today. Thermodynamic properties of a water plasma are presented and discussed. A kinetic computational model is presented, describing the behavior of splitted products during the quench in a plasma plume for various parameters, such as the quench rate. The model results are compared to gas analysis in the plasma plume obtained with in-situ sampling probe. The plasma composition measurements are issued from an Optical Emission Spectroscopic method (OES). The prediction of 30 % H 2 recovery with a 108 K.s -1 quench rate has been verified. A second experimentation has been performed: mass gas analysis, flowrate measurement and OES to study the 'behavior' and species in underwater electrical arc stricken between graphite electrodes. With this quench, a synthesis gas was produced with a content 55 % of hydrogen. (authors)

  1. Numerical analysis on the ion species ratios in a steady state hydrogen plasma

    International Nuclear Information System (INIS)

    Fukumasa, Osamu; Saeki, Setsuo; Osaki, Katashi; Sakiyama, Satoshi; Itatani, Ryohei.

    1984-07-01

    Ion species ratios in a hydrogen plasma are calculated systematically as a function of plasma parameters, i.e. the electron density, the electron temperature, the pressure of hydrogen gas and the plasma volume. Furthermore, in the present analysis, the recombination factor for hydrogen atoms at the wall surface of a vacuum vessel is treated as another plasma parameter. The most significant point is that ion species ratios depend strongly not only on plasma parameters, but also on the recombination factor. The proton ratio increases with decreasing value of the recombination factor. Primary electrons also play an important role for ion species ratios, and the presence of primary electrons causes the proton ratio to decrease. (author)

  2. High temperature electron cyclotron resonance etching of GaN, InN, and AlN

    International Nuclear Information System (INIS)

    Shul, R.J.; Kilcoyne, S.P.; Hagerott Crawford, M.; Parmeter, J.E.; Vartuli, C.B.; Abernathy, C.R.; Pearton, S.J.

    1995-01-01

    Electron cyclotron resonance etch rates for GaN, InN, and AlN are reported as a function of temperature for Cl 2 /H 2 /CH 4 /Ar and Cl 2 /H 2 /Ar plasmas. Using Cl 2 /H 2 /CH 4 /Ar plasma chemistry, GaN etch rates remain relatively constant from 30 to 125 degree C and then increase to a maximum of 2340 A/min at 170 degree C. The InN etch rate decreases monotonically from 30 to 150 degree C and then rapidly increases to a maximum of 2300 A/min at 170 degree C. This is the highest etch rate reported for this material. The AlN etch rate decreases throughout the temperature range studied with a maximum of 960 A/min at 30 degree C. When CH 4 is removed from the plasma chemistry, the GaN and InN etch rates are slightly lower, with less dramatic changes with temperature. The surface composition of the III--V nitrides remains unchanged after exposure to the Cl 2 /H 2 /CH 4 /Ar plasma over the temperatures studied

  3. Surface etching mechanism of carbon-doped Ge{sub 2}Sb{sub 2}Te{sub 5} phase change material in fluorocarbon plasma

    Energy Technology Data Exchange (ETDEWEB)

    Shen, Lanlan [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China); Graduate School of the Chinese Academy of Sciences, Beijing (China); Song, Sannian; Song, Zhitang; Li, Le; Guo, Tianqi; Cheng, Yan; Lv, Shilong; Wu, Liangcai; Liu, Bo; Feng, Songlin [Chinese Academy of Sciences, State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Micro-system and Information Technology, Shanghai (China)

    2016-09-15

    Recently, carbon-doped Ge2Sb2Te5 (CGST) phase change material has been widely researched for being highly promising material for future phase change memory application. In this paper, the reactive-ion etching of CGST film in CF{sub 4}/Ar plasma is studied. Compared with GST, the etch rate of CGST is relatively lower due to the existence of carbon which reduce the concentration of F or CF{sub x} reactive radicals. It was found that Argon plays an important role in defining the sidewall edge acuity. Compared with GST, more physical bombardment is required to obtain vertical sidewall of CGST. The effect of fluorocarbon gas on the damage of the etched CGST film was also investigated. A Ge- and Sb-deficient layer with tens of nanometers was observed by TEM combining with XPS analysis. The reaction between fluorocarbon plasma and CGST is mainly dominated by the diffusion and consumption of reactive fluorine radicals through the fluorocarbon layer into the CGST substrate material. The formation of damage layer is mainly caused by strong chemical reactivity, low volatility of reaction compounds and weak ion bombardment. (orig.)

  4. Dry etching characteristics of GaN for blue/green light-emitting diode fabrication

    International Nuclear Information System (INIS)

    Baik, K.H.; Pearton, S.J.

    2009-01-01

    The etch rates, surface morphology and sidewall profiles of features formed in GaN/InGaN/AlGaN multiple quantum well light-emitting diodes by Cl 2 -based dry etching are reported. The chlorine provides an enhancement in etch rate of over a factor of 40 relative to the physical etching provided by Ar and the etching is reactant-limited until chlorine gas flow rates of at least 50 standard cubic centimeters per minute. Mesa sidewall profile angle control is possible using a combination of Cl 2 /Ar plasma chemistry and SiO 2 mask. N-face GaN is found to etch faster than Ga-face surfaces under the same conditions. Patterning of the sapphire substrate for improved light extraction is also possible using the same plasma chemistry

  5. Emission spectroscopy of hydrogen molecules in technical and divertor plasmas

    International Nuclear Information System (INIS)

    Fantz, U.

    2002-01-01

    The paper gives an overview of the diagnostics of hydrogen molecules in technical plasmas (MW and RF discharges) and in divertor plasmas of fusion experiments (ASDEX Upgrade / Tokamak at the Max-Planck-Institut fuer Plasmaphysik in Garching near Munich, Germany). The Fulcher transition in the visible spectral range was chosen for analysis since this is the most prominent band in the spectrum of molecular hydrogen. Examples for diagnostics of molecular densities will be given, and the problems arising in the interpretation of spectra will be discussed. In divertor plasmas the diagnostics of molecular.uxes will be introduced and the contribution of molecules to the plasma recombination will be discussed. Results for vibrational populations in the ground state and the correlation to the upper Fulcher state will be given, providing an electron temperature diagnostic. Finally, the in.uence of surfaces (high-grade steel and graphite) on vibrational populations and on re.ection coe.cients of atoms will be shown. Special attention is given on a comparison of the isotopes hydrogen and deuterium. (Abstract Copyright [2002], Wiley Periodicals, Inc.)

  6. Effect of input power and gas pressure on the roughening and selective etching of SiO2/Si surfaces in reactive plasmas

    International Nuclear Information System (INIS)

    Zhong, X. X.; Huang, X. Z.; Tam, E.; Ostrikov, K.; Colpo, P.; Rossi, F.

    2010-01-01

    We report on the application low-temperature plasmas for roughening Si surfaces which is becoming increasingly important for a number of applications ranging from Si quantum dots to cell and protein attachment for devices such as 'laboratory on a chip' and sensors. It is a requirement that Si surface roughening is scalable and is a single-step process. It is shown that the removal of naturally forming SiO 2 can be used to assist in the roughening of the surface using a low-temperature plasma-based etching approach, similar to the commonly used in semiconductor micromanufacturing. It is demonstrated that the selectivity of SiO 2 /Si etching can be easily controlled by tuning the plasma power, working gas pressure, and other discharge parameters. The achieved selectivity ranges from 0.4 to 25.2 thus providing an effective means for the control of surface roughness of Si during the oxide layer removal, which is required for many advance applications in bio- and nanotechnology.

  7. In vacuo substrate pretreatments for enhancing nanodiamond formation in electron cyclotron resonance plasma

    International Nuclear Information System (INIS)

    Teii, Kungen; Kouzuma, Yutaka; Uchino, Kiichiro

    2006-01-01

    Substrate pretreatment conditions at low pressures have been examined for enhancing nanocrystalline diamond formation on silicon in electron cyclotron resonance (ECR) plasma. Three kinds of pretreatments (I) exposure to an ECR H 2 plasma with application of a substrate bias from -100 to +30 V (II) hot-filament heating in H 2 gas, and (III) hot-filament heating in vacuum, were used alone or followed by carburization prior to a two-step process of ion-enhanced nucleation in an ECR plasma and subsequent growth in a hot-filament system. The number density of diamond particles after the final growth step was greatly increased up to the order of 10 7 -10 8 cm -2 when applying pretreatment (I) at the bias of 0 V corresponding to the ion-bombardment energy of around 10 eV. In this treatment, a clean and smooth surface with minimal damage was made by the dominance of anisotropic etching by hydrogen ions over isotropic etching by hydrogen atoms. The number density of diamond particles was still more increased when applying pretreatment (II), but the treated surface was unfavorably contaminated and roughened

  8. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    International Nuclear Information System (INIS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-01-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF 6 /poly-Si, a SF 6 /fluorocarbon polymer, an O 2 /fluorocarbon polymer, and a C 4 F 8 /Si. In the case of SF 6 /poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O 2 /polymer decreased and eventually reached much lower values than the cosine values at angles between 30 deg. and 70 deg. when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF 6 /polymer showed a weak dependence on the process variables. In the case of C 4 F 8 /Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed

  9. Plasma probe characteristics in low density hydrogen pulsed plasmas

    International Nuclear Information System (INIS)

    Astakhov, D I; Lee, C J; Bijkerk, F; Goedheer, W J; Ivanov, V V; Krivtsun, V M; Zotovich, A I; Zyryanov, S M; Lopaev, D V

    2015-01-01

    Probe theories are only applicable in the regime where the probe’s perturbation of the plasma can be neglected. However, it is not always possible to know, a priori, that a particular probe theory can be successfully applied, especially in low density plasmas. This is especially difficult in the case of transient, low density plasmas. Here, we applied probe diagnostics in combination with a 2D particle-in-cell model, to an experiment with a pulsed low density hydrogen plasma. The calculations took into account the full chamber geometry, including the plasma probe as an electrode in the chamber. It was found that the simulations reproduce the time evolution of the probe IV characteristics with good accuracy. The disagreement between the simulated and probe measured plasma density is attributed to the limited applicability of probe theory to measurements of low density pulsed plasmas on a similarly short time scale as investigated here. Indeed, in the case studied here, probe measurements would lead to, either a large overestimate, or underestimate of the plasma density, depending on the chosen probe theory. In contrast, the simulations of the plasma evolution and the probe characteristics do not suffer from such strict applicability limits. These studies show that probe theory cannot be justified through probe measurements. However, limiting cases of probe theories can be used to estimate upper and lower bounds on plasma densities. These theories include and neglect orbital motion, respectively, with different collisional terms leading to intermediate estimates. (paper)

  10. Quantum effects on the formation of negative hydrogen ion by polarization electron capture in partially ionized dense hydrogen plasmas

    International Nuclear Information System (INIS)

    Jung, Young-Dae; Kato, Daiji

    2009-05-01

    The quantum effects on the formation of the negative hydrogen ion (H - ) by the polarization electron capture process are investigated in partially ionized dense hydrogen plasmas. It is shown that the quantum effect strongly suppresses the electron capture radius as well as the cross section for the formation of the negative hydrogen ion. In addition, it has been found that the electron capture position is receded from the center of the projectile with decreasing the quantum effect of the plasma. (author)

  11. Two different carbon-hydrogen complexes in silicon with closely spaced energy levels

    Energy Technology Data Exchange (ETDEWEB)

    Stübner, R., E-mail: ronald.stuebner@physik.tu-dresden.de, E-mail: kolkov@ifpan.edu.pl; Kolkovsky, Vl., E-mail: ronald.stuebner@physik.tu-dresden.de, E-mail: kolkov@ifpan.edu.pl; Weber, J. [Technische Universität Dresden, Institut für Angewandte Physik, 01062 Dresden (Germany)

    2015-08-07

    An acceptor and a single donor state of carbon-hydrogen defects (CH{sub A} and CH{sub B}) are observed by Laplace deep level transient spectroscopy at 90 K. CH{sub A} appears directly after hydrogenation by wet chemical etching or hydrogen plasma treatment, whereas CH{sub B} can be observed only after a successive annealing under reverse bias at about 320 K. The activation enthalpies of these states are 0.16 eV for CH{sub A} and 0.14 eV for CH{sub B}. Our results reconcile previous controversial experimental results. We attribute CH{sub A} to the configuration where substitutional carbon binds a hydrogen atom on a bond centered position between carbon and the neighboring silicon and CH{sub B} to another carbon-hydrogen defect.

  12. Effects of temperature on the etching properties of Bi4-xLaxTi3O12 thin films

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Koo, Seong-Mo; Kim, Chang-Il

    2004-01-01

    The etching properties of Bi 4-x La x Ti 3 O 12 (BLT) films etched in an inductively coupled Ar/Cl 2 plasma were investigated in terms of the gas mixing ratio, the rf power, and the substrate temperature. We obtained a high etch rate of 433 A/min at 30 .deg. C and 344 A/min at 80 .deg. C in Ar (15 sccm)/Cl 2 (15 sccm). As the rf power was increased, the ion current density increased, resulting in an increase in the etch rate. To understand the etch mechanism of BLT in a Cl 2 /Ar plasma, we performed the plasma diagnostics using a Langmuir probe (LP). The LP measurement indicated that the maximum ion density decreased with Cl 2 addition, but increased with the rf power. X-ray photoelectron spectroscopy (XPS) narrow scan analysis showed that La-chlorides remained on the etched surface and that the high accumulation of nonvolatile etch byproducts increased at high substrate temperatures. The analysis of surface reactions and the plasma diagnostics in the frameworks of an ion-assisted etching mechanism confirmed the possibility of non-monotonic etch rate behavior due to the concurrence of physical sputtering and chemical etching activated by ion bombardment.

  13. Atomistic simulations of graphite etching at realistic time scales.

    Science.gov (United States)

    Aussems, D U B; Bal, K M; Morgan, T W; van de Sanden, M C M; Neyts, E C

    2017-10-01

    Hydrogen-graphite interactions are relevant to a wide variety of applications, ranging from astrophysics to fusion devices and nano-electronics. In order to shed light on these interactions, atomistic simulation using Molecular Dynamics (MD) has been shown to be an invaluable tool. It suffers, however, from severe time-scale limitations. In this work we apply the recently developed Collective Variable-Driven Hyperdynamics (CVHD) method to hydrogen etching of graphite for varying inter-impact times up to a realistic value of 1 ms, which corresponds to a flux of ∼10 20 m -2 s -1 . The results show that the erosion yield, hydrogen surface coverage and species distribution are significantly affected by the time between impacts. This can be explained by the higher probability of C-C bond breaking due to the prolonged exposure to thermal stress and the subsequent transition from ion- to thermal-induced etching. This latter regime of thermal-induced etching - chemical erosion - is here accessed for the first time using atomistic simulations. In conclusion, this study demonstrates that accounting for long time-scales significantly affects ion bombardment simulations and should not be neglected in a wide range of conditions, in contrast to what is typically assumed.

  14. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    Science.gov (United States)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  15. Si etching with reactive neutral beams of very low energy

    Energy Technology Data Exchange (ETDEWEB)

    Hara, Yasuhiro [Organization for Research and Development of Innovative Science and Technology, Kansai University, 3-3-35 Yamate-chou, Suita, Osaka 565-0871 (Japan); Hamagaki, Manabu; Mise, Takaya [RIKEN, 2-1, Hirosawa, Wako, Saitama 351-0198 (Japan); Iwata, Naotaka; Hara, Tamio [Toyota Technological Institute, 2-12-1 Hisakata, Tenpaku-ku, Nagoya 468-8511 (Japan)

    2014-12-14

    A Si etching process has been investigated with reactive neutral beams (NBs) extracted using a low acceleration voltage of less than 100 V from CF{sub 4} and Ar mixed plasmas. The etched Si profile shows that the etching process is predominantly anisotropic. The reactive NB has a constant Si etching rate in the acceleration voltage range from 20 V to 80 V. It is considered that low-energy NBs can trigger Si etching because F radicals adsorb onto the Si surface and weaken Si–Si bonds. The etching rate per unit beam flux is 33 times higher than that with Ar NB. These results show that the low-energy reactive NB is useful for damage-free high speed Si etching.

  16. Hydrogen superpermeable membrane operation under plasma conditions

    International Nuclear Information System (INIS)

    Bacal, M.; Bruneteau, A.M.; Livshits, A.I.; Alimov, V.N.; Notkin, M.E.

    2003-01-01

    The effect of ion bombardment on hydrogen plasma-driven permeation through a superpermeable niobium membrane was investigated. It was found that the increase of membrane temperature and the doping of membrane material with oxygen results in the decrease of ion bombardment effect and in permeability increase. It was demonstrated that membrane decarbonization leads to the formation of a membrane state resistant to sputtering. Possible applications of the membrane resistant to ion bombardment as plasma facing components are considered

  17. Vacuum pump age effects by the exposure to the corrosive gases on the Cr etch rate as observed using optical emission spectroscopy in an Ar/O{sub 2}/Cl{sub 2} mixed plasma

    Energy Technology Data Exchange (ETDEWEB)

    Park, Seolhye; Roh, Hyun-Joon; Jang, Yunchang; Jeong, Sangmin; Ryu, Sangwon; Choe, Jae-Myung; Kim, Gon-Ho, E-mail: ghkim@snu.ac.kr

    2016-03-31

    Vacuum pumps of different ages were used to prepare Cl{sub 2} based plasmas for use in Cr etching. The effects of the vacuum pump age on the etching results were investigated using optical emission spectroscopy analysis. The composition of gas at the base pressure was mainly nitrogen and oxygen, although the ratio depended on the vacuum pump age and therefore, modulated the etch rate in a manner that was difficult to monitor. The effects of the pump age on the etch rate were clearly observed in the Cl{sub 2} plasma-assisted chromium film etching process, in which oxygen and chlorine radicals were responsible for the etching process. The electron energy distribution function (EEDF), which provided a proxy for the thermal equilibrium properties of the etching plasmas, was monitored. The shape of EEDF was derived from an analysis of the optical emission spectral data using an analysis model described previously. Because molecular nitrogen has a higher threshold energy and a larger cross-section of inelastic collisional processes than oxygen, the tail of the EEDF depends on the mixing ratio between nitrogen and oxygen. The various mechanisms that contribute to the chromium etch rate varied with subtle differences in the vacuum conditions, which were determined by age of the turbo molecular pump. The rates at which oxygen and chlorine radicals were generated were estimated using the measured EEDF, and the estimated oxygen radical and etching product contents were verified by comparing the residual gas analyzer data. The results revealed that the residual nitrogen partial pressures in two etchers equipped with either a new or an aged pump differed by 0.18%, and the EEDF tail areas differed by 10{sup −4}. Importantly, the chromium etch rates in these two instruments differed by 30%. These results suggest that the chamber-to-chamber mismatch should be monitored during plasma-assisted device fabrication processes. - Highlights: • We observed the vacuum pump age effect

  18. Polymer degradation in reactive ion etching and its possible application to all dry processes

    International Nuclear Information System (INIS)

    Hiraoka, H.; Welsh, L.W. Jr.

    1981-01-01

    Dry etching processes involving CF 4 -plasma and reactive ion etching become increasingly important for microcircuit fabrication techniques. In these techniques polymer degradation and etch resistance against reactive species like F atoms and CF 3 + ions are the key factors in the processes. It is well-known that classical electron beam resists like poly(methyl methacrylate) and poly(1-butene sulfone) are not suitable for dry etching processes because they degrade rapidly under these etching conditions. In order to find a correlation of etching rate and polymer structures the thickness loss of polymer films have been measured for a variety of polymer films in reactive ion etching conditions, where CF 3 + ions are the major reactive species with an accelerating potential of 500 volts. Because of its high CF 4 -plasma and reactive ion etch resistance, and because of its high electron beam sensitivity, poly(methacrylonitrile) provides a positive working electron beam resist uniquely suited for all dry processes. (author)

  19. Fluid simulation of the phase-shift effect in hydrogen capacitively coupled plasmas: II. Radial uniformity of the plasma characteristics

    International Nuclear Information System (INIS)

    Zhang Yuru; Xu Xiang; Wang Younian; Bogaerts, Annemie

    2012-01-01

    A two-dimensional fluid model, including the full set of Maxwell equations, has been developed and applied to investigate the effect of a phase shift between two power sources on the radial uniformity of several plasma characteristics in a hydrogen capacitively coupled plasma. This study was carried out at various frequencies in the range 13.56-200 MHz. When the frequency is low, at 13.56 MHz, the plasma density is characterized by an off-axis peak when both power sources are in-phase (φ = 0), and the best radial uniformity is obtained at φ = π. This trend can be explained because the radial nonuniformity caused by the electrostatic edge effect can be effectively suppressed by the phase-shift effect at a phase difference equal to π. When the frequency rises to 60 MHz, the plasma density profiles shift smoothly from edge-peaked over uniform to centre-peaked as the phase difference increases, due to the pronounced standing-wave effect, and the best radial uniformity is reached at φ = 0.3π. At a frequency of 100 MHz, a similar behaviour is observed, except that the maximum of the plasma density moves again towards the radial edge at the reverse-phase case (φ = π), because of the dominant skin effect. When the frequency is 200 MHz, the bulk plasma density increases significantly with increasing phase-shift values, and a better uniformity is obtained at φ = 0.4π. This is because the density in the centre increases faster than at the radial edge as the phase difference rises, due to the increasing power deposition P z in the centre and the decreasing power density P r at the radial edge. As the phase difference increases to π, the maximum near the radial edge becomes obvious again. This is because the skin effect has a predominant influence on the plasma density under this condition, resulting in a high density at the radial edge. Moreover, the axial ion flux increases monotonically with phase difference, and exhibits similar profiles to the plasma density

  20. Application of low-temperature plasma for the synthesis of hydrogenated graphene (graphane)

    Science.gov (United States)

    Shavelkina, M. B.; Amirov, R. H.; Katarzhis, V. A.; Kiselev, V. I.

    2017-12-01

    The possibility of a direct synthesis of hydrogenated graphene in decomposition of methane by means of low-temperature plasma was investigated. A DC plasma torch with an expanding channel-anode, a vortex gas supply and a self-setting arc length was used as a generator of low-temperature plasma. Argon was used as the plasma-forming gas. The temperatures of argon plasma and with methane addition to it were determined on the basis of spectral measurements. The synthesis products were characterized by electron microscopy and thermogravimetry. The effect of hydrogenated graphene as a nanomodifier on the properties of the cubic boron nitride based functional ceramics was investigated.

  1. Suitability of N2 plasma for the RIE etching of thin Ag layers

    International Nuclear Information System (INIS)

    Hrkut, P.; Matay, L.; Kostic, I.; Bencurova, A.; Konecnikova, A.; Nemec, P.; Andok, R.; Hacsik, S.

    2013-01-01

    Silver layers of 48 nm thickness were evaporated using EB PVD on Si wafers. The masking resist layers were spin-coated and patterned by the EBDW lithography on the ZBA 21 (20 keV) (Carl-Zeiss, Jena; currently Vistec, Ltd.) variable shaped e-beam pattern generator in II SAS. In order to check the etching process in N 2 , we covered a part of the samples containing Ag with a layer of various resists. The samples were dried on a hot-plate and RIE etched in SCM 600 (1 Pa; 20 sccm; 500 W). After 8 minutes the non-masked Ag layer was completely etched away, what testified suitability of N 2 as an etching gas. Also the etch time of 4 minutes showed to be sufficient for etching through the Ag layer. In order to optimize the etching process it was necessary to estimate the etch-rate (E.R.) of suitable resist layers and of the silver layer. The (authors)

  2. Dry Etching Characteristics of Amorphous Indium-Gallium-Zinc-Oxide Thin Films

    International Nuclear Information System (INIS)

    Zheng Yanbin; Li Guang; Wang Wenlong; Li Xiuchang; Jiang Zhigang

    2012-01-01

    Amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) backplane technology is the best candidate for flat panel displays (FPDs). In this paper, a-IGZO TFT structures are described. The effects of etch parameters (rf power, dc-bias voltage and gas pressure) on the etch rate and etch profile are discussed. Three kinds of gas mixtures are compared in the dry etching process of a-IGZO thin films. Lastly, three problems are pointed out that need to be addressed in the dry etching process of a-IGZO TFTs. (plasma technology)

  3. Similarity ratio analysis for early stage fault detection with optical emission spectrometer in plasma etching process.

    Directory of Open Access Journals (Sweden)

    Jie Yang

    Full Text Available A Similarity Ratio Analysis (SRA method is proposed for early-stage Fault Detection (FD in plasma etching processes using real-time Optical Emission Spectrometer (OES data as input. The SRA method can help to realise a highly precise control system by detecting abnormal etch-rate faults in real-time during an etching process. The method processes spectrum scans at successive time points and uses a windowing mechanism over the time series to alleviate problems with timing uncertainties due to process shift from one process run to another. A SRA library is first built to capture features of a healthy etching process. By comparing with the SRA library, a Similarity Ratio (SR statistic is then calculated for each spectrum scan as the monitored process progresses. A fault detection mechanism, named 3-Warning-1-Alarm (3W1A, takes the SR values as inputs and triggers a system alarm when certain conditions are satisfied. This design reduces the chance of false alarm, and provides a reliable fault reporting service. The SRA method is demonstrated on a real semiconductor manufacturing dataset. The effectiveness of SRA-based fault detection is evaluated using a time-series SR test and also using a post-process SR test. The time-series SR provides an early-stage fault detection service, so less energy and materials will be wasted by faulty processing. The post-process SR provides a fault detection service with higher reliability than the time-series SR, but with fault testing conducted only after each process run completes.

  4. Highly roughened polycaprolactone surfaces using oxygen plasma-etching and in vitro mineralization for bone tissue regeneration: fabrication, characterization, and cellular activities.

    Science.gov (United States)

    Kim, YongBok; Kim, GeunHyung

    2015-01-01

    Herein, poly(ɛ-caprolactone) (PCL) surfaces were treated to form various roughness values (R(a)=290-445 nm) and polar functional groups on the surfaces using a plasma-etching process, followed by immersion into simulated body fluid (SBF) for apatite formation. The surface morphology, chemical composition, and mean roughness of the plasma-etched PCL surfaces were measured, and various physical and morphological properties (water contact angles, protein absorption ability, and crystallite size of the apatite layer) of the in vitro mineralized PCL surfaces were evaluated. The roughened PCL surface P-3, which was treated with a sufficient plasma exposure time (4 h), achieved homogeneously distributed apatite formation after soaking in SBF for 7 days, as compared with other surfaces that were untreated or plasma-treated for 30 min or 2 h. Furthermore, to demonstrate their feasibility as a biomimetic surface, pre-osteoblast cells (MC3T3-E1) were cultured on the mineralized PCL surfaces, and cell viability, DAPI-phalloidin fluorescence assay, and alizarin red-staining of the P-3 surface were highly improved compared to the P-1 surface treated with a 30-min plasma exposure time; compared to untreated mineralized PCL surface (N-P), P-3 showed even greater improvements in cell viability and DAPI-phalloidin fluorescence assay. Based on these results, we found that the mineralized PCL surface supplemented with the appropriate plasma treatment can be implicitly helpful to achieve rapid hard tissue regeneration. Copyright © 2014 Elsevier B.V. All rights reserved.

  5. Inactivation of possible micromycete food contaminants using the low-temperature plasma and hydrogen peroxide

    International Nuclear Information System (INIS)

    Čeřovský, M.; Khun, J.; Rusová, K.; Scholtz, V.; Soušková, H.

    2013-01-01

    The inhibition effect of hydrogen peroxide aerosol, low-temperature plasma and their combinations has been studied on several micromycetes spores. The low-temperature plasma was generated in corona discharges in the open air apparatus with hydrogen peroxide aerosol. Micromycete spores were inoculated on the surface of agar plates, exposed solely to the hydrogen peroxide aerosol, corona discharge or their combination. After incubation the diameter of inhibition zone was measured. The solely positive corona discharge exhibits no inactivation effect, the solely negative corona discharge and solely hydrogen peroxide aerosol exhibit the inactivation effect, however their combinations exhibit to be much more effective. Low-temperature plasma and hydrogen peroxide aerosol present a possible alternative method of microbial decontamination of food, food packages or other thermolabile materials

  6. Inactivation of possible micromycete food contaminants using the low-temperature plasma and hydrogen peroxide

    Energy Technology Data Exchange (ETDEWEB)

    Čeřovský, M., E-mail: scholtz@aldebaran.cz [Institute of Chemical Technology in Prague, Department of Food Preservation, Faculty of Food and Biochemical Technology (Czech Republic); Khun, J. [Institute of Chemical Technology in Prague, Department of Physics and Measurements, Faculty of Chemical Engineering (Czech Republic); Rusová, K. [Institute of Chemical Technology in Prague, Department of Food Preservation, Faculty of Food and Biochemical Technology (Czech Republic); Scholtz, V. [Institute of Chemical Technology in Prague, Department of Physics and Measurements, Faculty of Chemical Engineering (Czech Republic); Soušková, H. [Institute of Chemical Technology in Prague, Department of Computing and Control Engineering, Faculty of Chemical Engineering (Czech Republic)

    2013-09-15

    The inhibition effect of hydrogen peroxide aerosol, low-temperature plasma and their combinations has been studied on several micromycetes spores. The low-temperature plasma was generated in corona discharges in the open air apparatus with hydrogen peroxide aerosol. Micromycete spores were inoculated on the surface of agar plates, exposed solely to the hydrogen peroxide aerosol, corona discharge or their combination. After incubation the diameter of inhibition zone was measured. The solely positive corona discharge exhibits no inactivation effect, the solely negative corona discharge and solely hydrogen peroxide aerosol exhibit the inactivation effect, however their combinations exhibit to be much more effective. Low-temperature plasma and hydrogen peroxide aerosol present a possible alternative method of microbial decontamination of food, food packages or other thermolabile materials.

  7. Interaction of heavy ion beams with a hydrogen plasma: plasma lens effect and stopping power enhancement

    International Nuclear Information System (INIS)

    Gardes, D.; Bimbot, R.; Della-Negra, S.; Dumail, M.; Kubica, B.; Richard, A.; Rivet, M.F.; Servajean, A.; Deutsch, C.; Maynard, G.

    1988-01-01

    By coupling a hydrogen plasma to a Tandem accelerator, transmission and energy losses of 2 MeV/u carbon and sulfur beams passing through a plasma target have been investigated. Fluctuations in beam transmission have been observed and attributed to a plasma lens effect. Moreover, energy loss measurements indicate an enhanced stopping power of the plasma relative to its cold matter equivalent

  8. Charge transfer in proton-hydrogen collisions under Debye plasma

    Energy Technology Data Exchange (ETDEWEB)

    Bhattacharya, Arka [Department of Mathematics, Burdwan University, Golapbag, Burdwan 713 104, West Bengal (India); Kamali, M. Z. M. [Centre for Foundation Studies in Science, University of Malaya, 50603 Kuala Lumpur (Malaysia); Ghoshal, Arijit, E-mail: arijit98@yahoo.com [Department of Mathematics, Burdwan University, Golapbag, Burdwan 713 104, West Bengal (India); Department of Mathematics, Kazi Nazrul University, B.C.W. Campus, Asansol 713 304, West Bengal (India); Institute of Mathematical Sciences, Faculty of Science, University of Malaya, 50603 Kuala Lumpur (Malaysia); Ratnavelu, K. [Department of Mathematics, Kazi Nazrul University, B.C.W. Campus, Asansol 713 304, West Bengal (India)

    2015-02-15

    The effect of plasma environment on the 1s → nlm charge transfer, for arbitrary n, l, and m, in proton-hydrogen collisions has been investigated within the framework of a distorted wave approximation. The effect of external plasma has been incorporated using Debye screening model of the interacting charge particles. Making use of a simple variationally determined hydrogenic wave function, it has been possible to obtain the scattering amplitude in closed form. A detailed study has been made to investigate the effect of external plasma environment on the differential and total cross sections for electron capture into different angular momentum states for the incident energy in the range of 20–1000 keV. For the unscreened case, our results are in close agreement with some of the most accurate results available in the literature.

  9. Bound states of hydrogen-like ions in Debye plasma

    International Nuclear Information System (INIS)

    Li Bowen; Jiang Jun; Kang Weimin; Yang Ningxuan; Dong Chenzhong

    2009-01-01

    The plasma screening effects on the energy levels and wave functions of hydrogen-like ions were estimated by using Debye model. The effects on n l(n=1-4, l=0-3) energy levels and wave functions of hydrogen and Fe 25+ ion versus screening lengths λ have been analyzed. Furthermore, the screening effects versus quantum number n and l has been analyzed. The results show that the screening effects increasing as n increasing and decreasing as l increasing. Last, the Eigenergies of isoelectronic series change against screening parameter λ has been discussed, it's shown that the plasma screening effects are decreasing as nuclear charge increasing. (authors)

  10. Production of hydrogen via methane reforming using atmospheric pressure microwave plasma

    Energy Technology Data Exchange (ETDEWEB)

    Jasinski, Mariusz; Dors, Miroslaw [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Mizeraczyk, Jerzy [Centre for Plasma and Laser Engineering, The Szewalski Institute of Fluid-Flow Machinery, Polish Academy of Sciences, Fiszera 14, 80-952 Gdansk (Poland); Department of Marine Electronics, Gdynia Maritime University, Morska 83, 81-225 Gdynia (Poland)

    2008-06-15

    In this paper, results of hydrogen production via methane reforming in the atmospheric pressure microwave plasma are presented. A waveguide-based nozzleless cylinder-type microwave plasma source (MPS) was used to convert methane into hydrogen. Important advantages of the presented waveguide-based nozzleless cylinder-type MPS are: stable operation in various gases (including air) at high flow rates, no need for a cooling system, and impedance matching. The plasma generation was stabilized by an additional swirled nitrogen flow (50 or 100 l min{sup -1}). The methane flow rate was up to 175 l min{sup -1}. The absorbed microwave power could be changed from 3000 to 5000 W. The hydrogen production rate and the corresponding energy efficiency in the presented methane reforming by the waveguide-based nozzleless cylinder-type MPS were up to 255 g[H{sub 2}] h{sup -1} and 85 g[H{sub 2}] kWh{sup -1}, respectively. These parameters are better than those typical of the conventional methods of hydrogen production (steam reforming of methane and water electrolysis). (author)

  11. Reduction of a thin chromium oxide film on Inconel surface upon treatment with hydrogen plasma

    Energy Technology Data Exchange (ETDEWEB)

    Vesel, Alenka, E-mail: alenka.vesel@guest.arnes.si [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Mozetic, Miran [Jozef Stefan Institute, Jamova cesta 39, 1000 Ljubljana (Slovenia); Balat-Pichelin, Marianne [PROMES-CNRS Laboratory, 7 Rue du four solaire, 66120 Font Romeu Odeillo (France)

    2016-11-30

    Highlights: • Oxidized Inconel alloy was exposed to hydrogen at temperatures up to 1500 K. • Oxide reduction in hydrogen plasma started at approximately 1300 K. • AES depth profiling revealed complete reduction of oxides in plasma. • Oxides were not reduced, if the sample was heated just in hydrogen atmosphere. • Surface of reduced Inconel preserved the same composition as the bulk material. - Abstract: Inconel samples with a surface oxide film composed of solely chromium oxide with a thickness of approximately 700 nm were exposed to low-pressure hydrogen plasma at elevated temperatures to determine the suitable parameters for reduction of the oxide film. The hydrogen pressure during treatment was set to 60 Pa. Plasma was created by a surfaguide microwave discharge in a quartz glass tube to allow for a high dissociation fraction of hydrogen molecules. Auger electron depth profiling (AES) was used to determine the decay of the oxygen in the surface film and X-ray diffraction (XRD) to measure structural modifications. During hydrogen plasma treatment, the oxidized Inconel samples were heated to elevated temperatures. The reduction of the oxide film started at temperatures of approximately 1300 K (considering the emissivity of 0.85) and the oxide was reduced in about 10 s of treatment as revealed by AES. The XRD showed sharper substrate peaks after the reduction. Samples treated in hydrogen atmosphere under the same conditions have not been reduced up to approximately 1500 K indicating usefulness of plasma treatment.

  12. Particle formation and its control in dual frequency plasma etching reactors

    International Nuclear Information System (INIS)

    Kim, Munsu; Cheong, Hee-Woon; Whang, Ki-Woong

    2015-01-01

    The behavior of a particle cloud in plasma etching reactors at the moment when radio frequency (RF) power changes, that is, turning off and transition steps, was observed using the laser-light-scattering method. Two types of reactors, dual-frequency capacitively coupled plasma (CCP) and the hybrid CCP/inductively coupled plasma (ICP), were set up for experiments. In the hybrid CCP/ICP reactor (hereafter ICP reactor), the position and shape of the cloud were strongly dependent on the RF frequency. The particle cloud becomes larger and approaches the electrode as the RF frequency increases. By turning the lower frequency power off later with a small delay time, the particle cloud is made to move away from the electrode. Maintaining lower frequency RF power only was also helpful to reduce the particle cloud size during this transition step. In the ICP reactor, a sufficient bias power is necessary to make a particle trap appear. A similar particle cloud to that in the CCP reactor was observed around the sheath region of the lower electrode. The authors can also use the low-frequency effect to move the particle cloud away from the substrate holder if two or more bias powers are applied to the substrate holder. The dependence of the particle behavior on the RF frequencies suggests that choosing the proper frequency at the right moment during RF power changes can reduce particle contamination effectively

  13. Reactive ion etching of microphotonic structures

    International Nuclear Information System (INIS)

    Du, J.; Glasscock, J.; Vanajek, J.; Savvides, N.

    2004-01-01

    Full text: Fabrication of microphotonic structures such as planar waveguides and other periodic structures based on silicon technology has become increasingly important due to the potential for integration of planar optical devices. We have fabricated various periodic microstructures on silicon wafers using standard optical lithography and reactive ion etching (RIE). For optical applications the surface roughness and the sidewall angle or steepness of microstructures are the most critical factors. In particular, sidewall roughness of the etched waveguide core accounts for most of the optical propagation loss. We show that by varying the main RIE parameters such as gas pressure, RF power and CF 4 /Ar/O 2 gas composition it is possible to produce microstructures with near-vertical sidewalls and very smooth surfaces. In addition to plasma etching conditions, poor edge quality of the mask often causes sidewall roughness. We employed Ni/Cr metal masks in these experiments for deep etching, and used Ar + ion milling instead of wet chemical etching to open the mask. This improves the edge quality of the mask and ultimately results in smooth sidewalls

  14. Effect of Current Density on Optical Properties of Anisotropic Photoelectrochemical Etched Silicon (110)

    Science.gov (United States)

    Amirhoseiny, M.; Hassan, Z.; Ng, S. S.

    2012-08-01

    Photoelectrochemical etched Si layers were prepared on n-type (110) oriented silicon wafer. The photoluminescence (PL), Fourier transformed infrared (FTIR) absorption and Raman spectroscopies of etched Si (110) at two different current densities were studied. Both samples showed PL peak in the visible spectral range situated from 650 nm to 750 nm. The corresponding changes in Raman spectra at different current density are discussed. The blue shift in the PL and Raman peaks is consequent of the quantum confinement effect and defect states of surface Si nanocrystallites complexes and hydrogen atoms of the photoelectrochemical etched Si (110) samples. The attenuated total reflection (ATR) results show both hydrogen and oxygen related IR modes in the samples which can be used to explain the PL effect.

  15. Nanostructured plasma etched, magnetron sputtered nanolaminar Cr2AlC MAX phase thin films

    International Nuclear Information System (INIS)

    Grieseler, Rolf; Hähnlein, Bernd; Stubenrauch, Mike; Kups, Thomas; Wilke, Marcus; Hopfeld, Marcus; Pezoldt, Jörg; Schaaf, Peter

    2014-01-01

    The knowledge of the mechanical properties of new materials determines essentially their usability and functionality when used in micro- and nanostructures. MAX phases are new and highly interesting materials due to their unique combination of materials properties. In this article a new method for producing the Cr 2 AlC MAX phase is presented. Thin film elemental multilayer deposition and subsequent rapid thermal annealing forms the MAX phase within seconds. Additionally, free standing microstructures (beams and cantilevers) based on this MAX phase films are prepared by plasma etching. The mechanical properties of these MAX phase microstructures are investigated

  16. Solid polymer electrolyte composite membrane comprising plasma etched porous support

    Science.gov (United States)

    Liu, Han; LaConti, Anthony B.

    2010-10-05

    A solid polymer electrolyte composite membrane and method of manufacturing the same. According to one embodiment, the composite membrane comprises a rigid, non-electrically-conducting support, the support preferably being a sheet of polyimide having a thickness of about 7.5 to 15 microns. The support has a plurality of cylindrical pores extending perpendicularly between opposing top and bottom surfaces of the support. The pores, which preferably have a diameter of about 0.1 to 5 microns, are made by plasma etching and preferably are arranged in a defined pattern, for example, with fewer pores located in areas of high membrane stress and more pores located in areas of low membrane stress. The pores are filled with a first solid polymer electrolyte, such as a perfluorosulfonic acid (PFSA) polymer. A second solid polymer electrolyte, which may be the same as or different than the first solid polymer electrolyte, may be deposited over the top and/or bottom of the first solid polymer electrolyte.

  17. Correlation of H- production and the work function of a surface in a hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.

    1983-01-01

    Surface-plasma negative hydrogen ion sources are being developed as possible parts for future netural beam systems. In these ion sources, negative hydrogen ions (H - ) are produced at low work function metal surfaces immersed in hydrogen plasmas. To investigate the correlation between the work function and the H-production at the surface with a condition similar to the one in the actual plasma ion source, these two parameters were simultaneously measured in the hydrogen plasma environment. The photoelectron emission currents from Mo and Cu surfaces in a cesiated hydrogen discharge were measured in the photon energy range from 1.45 to 4.14 eV, to determine the work function based on Fowler's theory. A small magnetic line cusp plasma container was specially designed to minimize the plasma noise and to realize the efficient collection of incident light onto the target. The photelectron current was detected phase sensitively and could be measured with reasonable accuracy up to about 5 x 10 11 cm -3 of the plasma electron density. As Cs density was increased in the hydrogen discharge, the work function decreased until it reached a minimum value. This value of the lowest work function was approximately 1.4 eV for both Mo and Cu surfaces, and the detected total H - current was a maximum at this condition

  18. Hydrogen plasma treatment of silicon dioxide for improved silane deposition.

    Science.gov (United States)

    Gupta, Vipul; Madaan, Nitesh; Jensen, David S; Kunzler, Shawn C; Linford, Matthew R

    2013-03-19

    We describe a method for plasma cleaning silicon surfaces in a commercial tool that removes adventitious organic contamination and enhances silane deposition. As shown by wetting, ellipsometry, and XPS, hydrogen, oxygen, and argon plasmas effectively clean Si/SiO2 surfaces. However, only hydrogen plasmas appear to enhance subsequent low-pressure chemical vapor deposition of silanes. Chemical differences between the surfaces were confirmed via (i) deposition of two different silanes: octyldimethylmethoxysilane and butyldimethylmethoxysilane, as evidenced by spectroscopic ellipsometry and wetting, and (ii) a principal components analysis (PCA) of TOF-SIMS data taken from the different plasma-treated surfaces. AFM shows no increase in surface roughness after H2 or O2 plasma treatment of Si/SiO2. The effects of surface treatment with H2/O2 plasmas in different gas ratios, which should allow greater control of surface chemistry, and the duration of the H2 plasma (complete surface treatment appeared to take place quickly) are also presented. We believe that this work is significant because of the importance of silanes as surface functionalization reagents, and in particular because of the increasing importance of gas phase silane deposition.

  19. Endpoint in plasma etch process using new modified w-multivariate charts and windowed regression

    Science.gov (United States)

    Zakour, Sihem Ben; Taleb, Hassen

    2017-09-01

    Endpoint detection is very important undertaking on the side of getting a good understanding and figuring out if a plasma etching process is done in the right way, especially if the etched area is very small (0.1%). It truly is a crucial part of supplying repeatable effects in every single wafer. When the film being etched has been completely cleared, the endpoint is reached. To ensure the desired device performance on the produced integrated circuit, the high optical emission spectroscopy (OES) sensor is employed. The huge number of gathered wavelengths (profiles) is then analyzed and pre-processed using a new proposed simple algorithm named Spectra peak selection (SPS) to select the important wavelengths, then we employ wavelet analysis (WA) to enhance the performance of detection by suppressing noise and redundant information. The selected and treated OES wavelengths are then used in modified multivariate control charts (MEWMA and Hotelling) for three statistics (mean, SD and CV) and windowed polynomial regression for mean. The employ of three aforementioned statistics is motivated by controlling mean shift, variance shift and their ratio (CV) if both mean and SD are not stable. The control charts show their performance in detecting endpoint especially W-mean Hotelling chart and the worst result is given by CV statistic. As the best detection of endpoint is given by the W-Hotelling mean statistic, this statistic will be used to construct a windowed wavelet Hotelling polynomial regression. This latter can only identify the window containing endpoint phenomenon.

  20. Predictions of ion energy distributions and radical fluxes in radio frequency biased inductively coupled plasma etching reactors

    Science.gov (United States)

    Hoekstra, Robert J.; Kushner, Mark J.

    1996-03-01

    Inductively coupled plasma (ICP) reactors are being developed for low gas pressure (radio frequency (rf) bias is applied to the substrate. One of the goals of these systems is to independently control the magnitude of the ion flux by the inductively coupled power deposition, and the acceleration of ions into the substrate by the rf bias. In high plasma density reactors the width of the sheath above the wafer may be sufficiently thin that ions are able to traverse it in approximately 1 rf cycle, even at 13.56 MHz. As a consequence, the ion energy distribution (IED) may have a shape typically associated with lower frequency operation in conventional reactive ion etching tools. In this paper, we present results from a computer model for the IED incident on the wafer in ICP etching reactors. We find that in the parameter space of interest, the shape of the IED depends both on the amplitude of the rf bias and on the ICP power. The former quantity determines the average energy of the IED. The latter quantity controls the width of the sheath, the transit time of ions across the sheath and hence the width of the IED. In general, high ICP powers (thinner sheaths) produce wider IEDs.

  1. A Study of Parameters Related to the Etch Rate for a Dry Etch Process Using NF3/O2 and SF6/O2

    Directory of Open Access Journals (Sweden)

    Seon-Geun Oh

    2014-01-01

    Full Text Available The characteristics of the dry etching of SiNx:H thin films for display devices using SF6/O2 and NF3/O2 were investigated using a dual-frequency capacitively coupled plasma reactive ion etching (CCP-RIE system. The investigation was carried out by varying the RF power ratio (13.56 MHz/2 MHz, pressure, and gas flow ratio. For the SiNx:H film, the etch rates obtained using NF3/O2 were higher than those obtained using SF6/O2 under various process conditions. The relationships between the etch rates and the usual monitoring parameters—the optical emission spectroscopy (OES intensity of atomic fluorine (685.1 nm and 702.89 nm and the voltages VH and VL—were investigated. The OES intensity data indicated a correlation between the bulk plasma density and the atomic fluorine density. The etch rate was proportional to the product of the OES intensity of atomic fluorine (I(F and the square root of the voltages (Vh+Vl on the assumption that the velocity of the reactive fluorine was proportional to the square root of the voltages.

  2. Extreme hydrogen plasma densities achieved in a linear plasma generator

    NARCIS (Netherlands)

    Rooij, van G.J.; Veremiyenko, V.P.; Goedheer, W.J.; Groot, de B.; Kleyn, A.W.; Smeets, P.H.M.; Versloot, T.W.; Whyte, D.G.; Engeln, R.A.H.; Schram, D.C.; Lopes Cardozo, N.J.

    2007-01-01

    A magnetized hydrogen plasma beam was generated with a cascaded arc, expanding in a vacuum vessel at an axial magnetic field of up to 1.6 T. Its characteristics were measured at a distance of 4 cm from the nozzle: up to a 2 cm beam diameter, 7.5×1020 m-3 electron density, ~2 eV electron and ion

  3. Dry etching of ferroelectric Bi4-xEuxTi3O12 (BET) thin films

    International Nuclear Information System (INIS)

    Lim, Kyu-Tae; Kim, Kyoung-Tae; Kim, Dong-Pyo; Kim, Chang-Il

    2004-01-01

    Bi 4-x Eu x Ti 3 O 12 (BET) thin films were etched by using a inductively coupled Cl 2 /Ar plasma. We obtained a maximum etch rate of 69 nm/min at a gas mixing ratio of Cl 2 (20 %)/Ar (80 %). This result suggests that an effective method for BET etching is chemically assisted physical etching. With increasing coil RF power, the plasma density increases so that the increased reactive free radicals and ions enhance the etch rates of BET, Pt, and SiO 2 . As the dc-bias voltage is increased, the increased ion energy leads to an increased etch rate of BET films. From X-ray photoelectron spectroscopy, the intensities of the Bi-O, the Eu-O, and the Ti-O peaks change with increasing Cl 2 concentration. For a pure Ar plasma, the peak associated with the oxygen-metal (O-M: TiO 2 , Bi 2 O 3 , Eu 2 O 3 ) bond seems to disappear while the pure oxygen peak does not appear. After the BET thin films is etched by using a Cl 2 /Ar plasma, the peak associated with the O-M bond increases slowly, but more quickly than the peak associated with pure oxygen atoms, due to a decrease in the Ar-ion bombardment. These results seem to indicate that Bi and Eu react little with Cl atoms and are removed predominantly by argon-ion bombardment. Also, Ti reacts little with Cl radicals and is mainly removed by chemically assisted physical etching.

  4. Advanced dry etching studies for micro- and nano-systems

    DEFF Research Database (Denmark)

    Rasmussen, Kristian Hagsted

    and even contaminate the surface with metal flakes after resist removal. Ion beam etching has also been used for etching of steel without any problems with redeposition. For steel the etch rate was low which reduced the selectivity to the photo resist. Sapphire, a crystal of aluminum oxide, has a very low....... However, just generating an oxygen plasma does not result in a controllable etch and may give rise to a poor surface for later use. It may be necessary to introduce other gases such as SF6 to reduce surface roughness. Roughness can also be introduced by the mask in the form of redeposition of material...

  5. Evaluation of silicon-chemiluminescence monitoring as a novel method for atomic fluorine determination and end point detection in plasma etch systems

    NARCIS (Netherlands)

    Zijlstra, P.A.; Beenakker, C.I.M.

    1981-01-01

    Optical methods for the detection of atomic fluorine in plasma etch systems are discussed and an experimental comparison is made between detection by optical emission and by a novel method based on the chemiluminescence from solid silicon in the presence of atomic fluorine. Although both methods

  6. Eliminating dependence of hole depth on aspect ratio by forming ammonium bromide during plasma etching of deep holes in silicon nitride and silicon dioxide

    Science.gov (United States)

    Iwase, Taku; Yokogawa, Kenetsu; Mori, Masahito

    2018-06-01

    The reaction mechanism during etching to fabricate deep holes in SiN/SiO2 stacks by using a HBr/N2/fluorocarbon-based gas plasma was investigated. To etch SiN and SiO2 films simultaneously, HBr/fluorocarbon gas mixture ratio was controlled to achieve etching selectivity closest to one. Deep holes were formed in the SiN/SiO2 stacks by one-step etching at several temperatures. The surface composition of the cross section of the holes was analyzed by time-of-flight secondary-ion mass spectrometry. It was found that bromine ions (considered to be derived from NH4Br) were detected throughout the holes in the case of low-temperature etching. It was also found that the dependence of hole depth on aspect ratio decreases as temperature decreases, and it becomes significantly weaker at a substrate temperature of 20 °C. It is therefore concluded that the formation of NH4Br supplies the SiN/SiO2 etchant to the bottom of the holes. Such a finding will make it possible to alleviate the decrease in etching rate due to a high aspect ratio.

  7. The probability of heterogeneous recombination of hydrogen atoms in low-temperature hydrogen plasma

    International Nuclear Information System (INIS)

    Islyaikin, A.; Rybkin, V.; Svetsov, V.

    2000-01-01

    In the group of the optical methods, the investigations of the process of recombination of the hydrogen atoms were studied mainly by the jet procedure, based on the measurement of the dependence of the intensity of radiation of the discharge on the speed of flow of particles which makes it possible to obtain information on the processes of annihilation of active particles on the surface of the discharge device both in the zone of plasma at outside to the zone (in the post glow region). However, to realise this method, it is necessary to use higher linear speed of the flow of the particles and this creates additional technical difficulties. A similar disadvantage is not found in the calculation methods of technical application with special reference to the examination of the processes of heterogeneous recombination of the atoms in the low-temperature hydrogen plasma is the main task of this work

  8. In-situ monitoring of etching of bovine serum albumin using low-temperature atmospheric plasma jet

    Science.gov (United States)

    Kousal, J.; Shelemin, A.; Kylián, O.; Slavínská, D.; Biederman, H.

    2017-01-01

    Bio-decontamination of surfaces by means of atmospheric pressure plasma is nowadays extensively studied as it represents promising alternative to commonly used sterilization/decontamination techniques. The non-equilibrium atmospheric pressure plasmas were already reported to be highly effective in removal of a wide range of biological residual from surfaces. Nevertheless the kinetics of removal of biological contamination from surfaces is still not well understood as the majority of performed studies were based on ex-situ evaluation of etching rates, which did not allow investigating details of plasma action on biomolecules. This study therefore presents a real-time, in-situ ellipsometric characterization of removal of bovine serum albumin (BSA) from surfaces by low-temperature atmospheric plasma jet operated in argon. Non-linear and at shorter distances between treated samples and nozzle of the plasma jet also non-monotonic dependence of the removal rate on the treatment duration was observed. According to additional measurements focused on the determination of chemical changes of treated BSA as well as temperature measurements, the observed behavior is most likely connected with two opposing effects: the formation of a thin layer on the top of BSA deposit enriched in inorganic compounds, whose presence causes a gradual decrease of removal efficiency, and slight heating of BSA that facilitates its degradation and volatilization induced by chemically active radicals produced by the plasma.

  9. A plasmaless, photochemical etch process for porous organosilicate glass films

    Science.gov (United States)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  10. Fast Etching of Molding Compound by an Ar/O2/CF4 Plasma and Process Improvements for Semiconductor Package Decapsulation

    NARCIS (Netherlands)

    Tang, J.; Gruber, D.; Schelen, J.B.J.; Funke, H.J.; Beenakker, C.I.M.

    2012-01-01

    Decapsulation of a SOT23 semiconductor package with 23 um copper wire bonds is conducted with an especially designed microwave induced plasma system. It is found that a 30%-60% CF4 addition in the O2/CF4 etchant gas results in high molding compound etching rate. Si3N4 overetching which is

  11. Inverse metal-assisted chemical etching produces smooth high aspect ratio InP nanostructures.

    Science.gov (United States)

    Kim, Seung Hyun; Mohseni, Parsian K; Song, Yi; Ishihara, Tatsumi; Li, Xiuling

    2015-01-14

    Creating high aspect ratio (AR) nanostructures by top-down fabrication without surface damage remains challenging for III-V semiconductors. Here, we demonstrate uniform, array-based InP nanostructures with lateral dimensions as small as sub-20 nm and AR > 35 using inverse metal-assisted chemical etching (I-MacEtch) in hydrogen peroxide (H2O2) and sulfuric acid (H2SO4), a purely solution-based yet anisotropic etching method. The mechanism of I-MacEtch, in contrast to regular MacEtch, is explored through surface characterization. Unique to I-MacEtch, the sidewall etching profile is remarkably smooth, independent of metal pattern edge roughness. The capability of this simple method to create various InP nanostructures, including high AR fins, can potentially enable the aggressive scaling of InP based transistors and optoelectronic devices with better performance and at lower cost than conventional etching methods.

  12. Toward reliable morphology assessment of thermosets via physical etching: Vinyl ester resin as an example

    Directory of Open Access Journals (Sweden)

    J. Karger-Kocsis

    2013-05-01

    Full Text Available The morphology of peroxide-cured, styrene crosslinked, bisphenol A-based vinyl ester (VE resin was investigated by atomic force microscopy (AFM after ‘physical’ etching with different methods. Etching was achieved by laser ablation, atmospheric plasma treatment and argon ion bombardment. Parameters of the etching were varied to get AFM scans of high topography resolution. VE exhibited a nanoscaled nodular structure the formation of which was ascribed to complex intra- and intermolecular reactions during crosslinking. The microstructure resolved after all the above physical etching techniques was similar provided that optimized etching and suitable AFM scanning conditions were selected. Nevertheless, with respect to the ‘morphology visualization’ these methods follow the power ranking: argon bombardment > plasma treatment > laser ablation.

  13. dc-Hydrogen plasma induced defects in bulk n-Ge

    Energy Technology Data Exchange (ETDEWEB)

    Nyamhere, C., E-mail: cloud.nyamhere@nmmu.ac.za [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Venter, A.; Murape, D.M. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Auret, F.D.; Coelho, S.M.M. [Department of Physics, University of the Pretoria, Lynnwood Road, Pretoria 0002 (South Africa); Botha, J.R. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa)

    2012-08-01

    Bulk antimony doped germanium (n-Ge) has been exposed to a dc-hydrogen plasma. Capacitance-voltage depth profiles revealed extensive near surface passivation of the shallow donors as evidenced by {approx}a 1.5 orders of magnitude reduction in the free carrier concentration up to depth of {approx}3.2 {mu}m. DLTS and Laplace-DLTS revealed a prominent electron trap 0.30 eV below the conduction (E{sub C} -0.30 eV). The concentration of this trap increased with plasma exposure time. The depth profile for this defect suggested a uniform distribution up to 1.2 {mu}m. Annealing studies show that this trap, attributed to a hydrogen-related complex, is stable up to 200 Degree-Sign C. Hole traps, or vacancy-antimony centers, common in this material after high energy particle irradiation, were not observed after plasma exposure, an indication that this process does not create Frenkel (V-I) pairs.

  14. dc-Hydrogen plasma induced defects in bulk n-Ge

    International Nuclear Information System (INIS)

    Nyamhere, C.; Venter, A.; Murape, D.M.; Auret, F.D.; Coelho, S.M.M.; Botha, J.R.

    2012-01-01

    Bulk antimony doped germanium (n-Ge) has been exposed to a dc-hydrogen plasma. Capacitance-voltage depth profiles revealed extensive near surface passivation of the shallow donors as evidenced by ∼a 1.5 orders of magnitude reduction in the free carrier concentration up to depth of ∼3.2 μm. DLTS and Laplace-DLTS revealed a prominent electron trap 0.30 eV below the conduction (E C -0.30 eV). The concentration of this trap increased with plasma exposure time. The depth profile for this defect suggested a uniform distribution up to 1.2 μm. Annealing studies show that this trap, attributed to a hydrogen-related complex, is stable up to 200 °C. Hole traps, or vacancy-antimony centers, common in this material after high energy particle irradiation, were not observed after plasma exposure, an indication that this process does not create Frenkel (V-I) pairs.

  15. Physics of plasma etching and plasma deposition

    NARCIS (Netherlands)

    Schram, D.C.; Hoog, de F.J.; Bisschops, T.J.; Kroesen, G.M.W.; Howorka, F.; Lindinger, W.; Maerk, T.D.

    1986-01-01

    The kinetics and mechanism of the title processes are discussed on the basis of a model in which the plasma-surface system is subdivided into 5 regions: (I) plasma prodn., (II) plasma flow plus radicals, (III) gas adsorbed layer, (IV) modified surface, and (V) undisturbed solid (or liq.) state.

  16. Experimental studies of processes with vibrationally excited hydrogen molecules that are important for tokamak edge plasma

    International Nuclear Information System (INIS)

    Cadez, I.; Markelj, S.; Rupnik, Z.; Pelicon, P.

    2006-01-01

    We are currently conducting a series of different laboratory experimental studies of processes involving vibrationally excited hydrogen molecules that are relevant to fusion edge plasma. A general overview of our activities is presented together with results of studies of hydrogen recombination on surfaces. This includes vibrational spectroscopy of molecules formed by recombination on metal surfaces exposed to the partially dissociated hydrogen gas and recombination after hydrogen permeation through metal membrane. The goal of these studies is to provide numerical parameters needed for edge plasma modelling and better understanding of plasma wall interaction processes. (author)

  17. Plasma density characterization at SPARC-LAB through Stark broadening of Hydrogen spectral lines

    Energy Technology Data Exchange (ETDEWEB)

    Filippi, F., E-mail: francesco.filippi@roma1.infn.it [Dipartimento di Scienze di Base e Applicate per l' Ingegneria (SBAI), ‘Sapienza’ Università di Roma, Via A. Scarpa 14-16, 00161 Roma (Italy); INFN-Roma1, Piazzale Aldo Moro, 2 00161 Roma (Italy); Anania, M.P.; Bellaveglia, M.; Biagioni, A.; Chiadroni, E. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Cianchi, A. [Dipartimento di Fisica, Universitá di Roma Tor Vergata, Via della Ricerca Scientifica 1, 00133 Roma (Italy); Di Giovenale, D.; Di Pirro, G.; Ferrario, M. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Mostacci, A.; Palumbo, L. [Dipartimento di Scienze di Base e Applicate per l' Ingegneria (SBAI), ‘Sapienza’ Università di Roma, Via A. Scarpa 14-16, 00161 Roma (Italy); INFN-Roma1, Piazzale Aldo Moro, 2 00161 Roma (Italy); Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F. [Laboratori Nazionali di Frascati, INFN, Via E. Fermi, Frascati (Italy); Zigler, A. [Hebrew University of Jerusalem, Jerusalem 91904 (Israel)

    2016-09-01

    Plasma-based acceleration techniques are of great interest for future, compact accelerators due to their high accelerating gradient. Both particle-driven and laser-driven Plasma Wakefield Acceleration experiments are foreseen at the SPARC-LAB Test Facility (INFN National Laboratories of Frascati, Italy), with the aim to accelerate high-brightness electron beams. In order to optimize the efficiency of the acceleration in the plasma and preserve the quality of the accelerated beam, the knowledge of the plasma electron density is mandatory. The Stark broadening of the Hydrogen spectral lines is one of the candidates used to characterize plasma density. The implementation of this diagnostic for plasma-based experiments at SPARC-LAB is presented. - Highlights: • Stark broadening of Hydrogen lines has been measured to determine plasma density. • Plasma density diagnostic tool for plasma-based experiments at SPARC-LAB is presented. • Plasma density in tapered laser triggered ablative capillary discharge was measured. • Results of plasma density measurements in ablative capillaries are shown.

  18. Plasma density characterization at SPARC-LAB through Stark broadening of Hydrogen spectral lines

    International Nuclear Information System (INIS)

    Filippi, F.; Anania, M.P.; Bellaveglia, M.; Biagioni, A.; Chiadroni, E.; Cianchi, A.; Di Giovenale, D.; Di Pirro, G.; Ferrario, M.; Mostacci, A.; Palumbo, L.; Pompili, R.; Shpakov, V.; Vaccarezza, C.; Villa, F.; Zigler, A.

    2016-01-01

    Plasma-based acceleration techniques are of great interest for future, compact accelerators due to their high accelerating gradient. Both particle-driven and laser-driven Plasma Wakefield Acceleration experiments are foreseen at the SPARC-LAB Test Facility (INFN National Laboratories of Frascati, Italy), with the aim to accelerate high-brightness electron beams. In order to optimize the efficiency of the acceleration in the plasma and preserve the quality of the accelerated beam, the knowledge of the plasma electron density is mandatory. The Stark broadening of the Hydrogen spectral lines is one of the candidates used to characterize plasma density. The implementation of this diagnostic for plasma-based experiments at SPARC-LAB is presented. - Highlights: • Stark broadening of Hydrogen lines has been measured to determine plasma density. • Plasma density diagnostic tool for plasma-based experiments at SPARC-LAB is presented. • Plasma density in tapered laser triggered ablative capillary discharge was measured. • Results of plasma density measurements in ablative capillaries are shown.

  19. Deposition of a-SiC:H using organosilanes in an argon/hydrogen plasma

    International Nuclear Information System (INIS)

    Maya, L.

    1993-01-01

    Selected organosilanes were examined as precursors for the deposition of amorphous hydrogenated silicon carbide in an argon/hydrogen plasma. Effect of process variables on the quality of the films was established by means of FTIR, Auger spectroscopy, XPS, XRD, chemical analysis, and weight losses upon pyrolysis. For a given power level there is a limiting feeding rate of the precursor under which operation of the system is dominated by thermodynamics and leads to high quality silicon carbide films that are nearly stoichiometric and low in hydrogen. Beyond that limit, carbosilane polymer formation and excessive hydrogen incorporation takes place. The hydrogen content of the plasma affects the deposition rate and the hydrogen content of the film. In the thermodynamically dominated regime the nature of the precursor has no effect on the quality of the film, it affects only the relative utilization efficiency

  20. Tritium-doping enhancement of polystyrene by ultraviolet laser and hydrogen plasma irradiation for laser fusion experiments

    Energy Technology Data Exchange (ETDEWEB)

    Iwasa, Yuki, E-mail: iwasa-y@ile.osaka-u.ac.jp [Institute of Laser Engineering, Osaka University, 2-6 Yamadaoka, Suita, Osaka 565-0871 (Japan); Yamanoi, Kohei; Iwano, Keisuke; Empizo, Melvin John F.; Arikawa, Yasunobu; Fujioka, Shinsuke; Sarukura, Nobuhiko; Shiraga, Hiroyuki; Takagi, Masaru; Norimatsu, Takayoshi; Azechi, Hiroshi [Institute of Laser Engineering, Osaka University, 2-6 Yamadaoka, Suita, Osaka 565-0871 (Japan); Noborio, Kazuyuki; Hara, Masanori; Matsuyama, Masao [Hydrogen Isotope Research Center, Organization for Promotion of Research, University of Toyama, 3190 Gofuku, Toyama 930-8555 (Japan)

    2016-11-15

    Highlights: • Tritium-doped polystyrene films are fabricated by the Wilzbach method with UV laser and hydrogen plasma irradiation. • The 266-nm laser-irradiated, 355-nm laser-irradiated, and hydrogen plasma-irradiated polystyrene films exhibit higher PSL intensities and specific radioactivities than the non-irradiated sample. • Tritium doping by UV laser irradiation can be largely affected by the laser wavelength because of polystyrene’s absorption. • Hydrogen plasma irradiation results to a more uniform doping concentration even at low partial pressure and short irradiation time. • UV laser and plasma irradiations can be utilized to fabricate tritium-doped polystyrene shell targets for future laser fusion experiments. - Abstract: We investigate the tritium-doping enhancement of polystyrene by ultraviolet (UV) laser and hydrogen plasma irradiation. Tritium-doped polystyrene films are fabricated by the Wilzbach method with UV laser and hydrogen plasma. The 266-nm laser-irradiated, 355-nm laser-irradiated, and hydrogen plasma-irradiated polystyrene films exhibit higher PSL intensities and specific radioactivities than the non-irradiated sample. Tritium doping by UV laser irradiation can be largely affected by the laser wavelength because of polystyrene’s absorption. In addition, UV laser irradiation is more localized and concentrated at the spot of laser irradiation, while hydrogen plasma irradiation results to a more uniform doping concentration even at low partial pressure and short irradiation time. Both UV laser and plasma irradiations can nevertheless be utilized to fabricate tritium-doped polystyrene targets for future laser fusion experiments. With a high doping rate and efficiency, a 1% tritium-doped polystyrene shell target having 7.6 × 10{sup 11} Bq g{sup −1} specific radioactivity can be obtained at a short period of time thereby decreasing tritium consumption and safety management costs.

  1. Full densification of inkjet-printed copper conductive tracks on a flexible substrate utilizing a hydrogen plasma sintering

    Science.gov (United States)

    Kwon, Young-Tae; Lee, Young-In; Kim, Seil; Lee, Kun-Jae; Choa, Yong-Ho

    2017-02-01

    Low temperature sintering techniques are crucial in developing flexible printed electronics. In this work, we demonstrate a novel hydrogen plasma sintering method that achieves a full reduction and densification of inkjet-printed patterns using a copper complex ion ink. After inkjet printing on polyethylene terephthalate (PET) substrates, both hydrogen plasma and conventional hydrogen thermal treatment were employed to compare the resulting microstructures, electrical properties and anti-oxidation behavior. The plasma treated pattern shows a fully densified microstructure with a resistivity of 3.23 μΩ cm, while the thermally treated pattern shows a relatively poor microstructure and high resistivity. In addition, the hydrogen plasma-treated copper pattern retains its electrical resistivity for one month without any significant decrease. This novel hydrogen plasma sintering technique could be used to produce conductive patterns with excellent electrical properties, allowing for highly reliable flexible printed electronics.

  2. Improvement of silicon direct bonding using surfaces activated by hydrogen plasma treatment

    CERN Document Server

    Choi, W B; Lee Jae Sik; Sung, M Y

    2000-01-01

    The plasma surface treatment, using hydrogen gas, of silicon wafers was studied as a pretreatment for silicon direct bonding. Chemical reactions of the hydrogen plasma with the surfaces were used for both surface activation and removal of surface contaminants. Exposure of the silicon wafers to the plasma formed an active oxide layer on the surface. This layer was hydrophilic. The surface roughness and morphology were examined as functions of the plasma exposure time and power. The surface became smoother with shorter plasma exposure time and lower power. In addition, the plasma surface treatment was very efficient in removing the carbon contaminants on the silicon surface. The value of the initial surface energy, as estimated by using the crack propagation method, was 506 mJ/M sup 2 , which was up to about three times higher than the value for the conventional direct bonding method using wet chemical treatments.

  3. Dry etch challenges for CD shrinkage in memory process

    Science.gov (United States)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  4. In vitro study of 3D PLGA/n-HAp/β-TCP composite scaffolds with etched oxygen plasma surface modification in bone tissue engineering

    Energy Technology Data Exchange (ETDEWEB)

    Roh, Hee-Sang [Department of Dental Materials, School of Dentistry, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju 61452 (Korea, Republic of); Jung, Sang-Chul [Department of Environmental Engineering, Sunchon National University, 255 Jungang-ro, Sunchon 57922 (Korea, Republic of); Kook, Min-Suk [Department of Oral and Maxillofacial Surgery, School of Dentistry, Chonnam National University, 77 Yongbong-ro, Buk-gu, Gwangju 61186 (Korea, Republic of); Kim, Byung-Hoon, E-mail: kim5055@chosun.ac.kr [Department of Dental Materials, School of Dentistry, Chosun University, 309 Pilmun-daero, Dong-gu, Gwangju 61452 (Korea, Republic of)

    2016-12-01

    Highlights: • PLGA and PLGA/n-HAp/β-TCP scaffolds were successfully fabricated by 3D printing. • Oxygen plasma etching increases the wettability and surface roughness. • Bioceramics and oxygen plasma etching and could be used to improve the cell affinity. - Abstract: Three-dimensional (3D) scaffolds have many advantageous properties for bone tissue engineering application, due to its controllable properties such as pore size, structural shape and interconnectivity. In this study, effects on oxygen plasma surface modification and adding of nano-hydroxyapatite (n-HAp) and β-tricalcium phosphate (β-TCP) on the 3D PLGA/n-HAp/β-TCP scaffolds for improving preosteoblast cell (MC3T3-E1) adhesion, proliferation and differentiation were investigated. The 3D PLGA/n-HAp/β-TCP scaffolds were fabricated by 3D Bio-Extruder equipment. The 3D scaffolds were prepared with 0°/90° architecture and pore size of approximately 300 μm. In addition 3D scaffolds surface were etched by oxygen plasma to enhance the hydrophilic property and surface roughness. After oxygen plasma treatment, the surface chemistry and morphology were investigated by Fourier transform infrared spectroscopy, scanning electron microscopy, and atomic force microscopy. And also hydrophilic property was measured by contact angle. The MC3T3-E1 cell proliferation and differentiation were investigated by MTT assay and ALP activity. In present work, the 3D PLGA/HAp/beta-TCP composite scaffold with suitable structure for the growth of osteoblast cells was successfully fabricated by 3D rapid prototyping technique. The surface hydrophilicity and roughness of 3D scaffold increased by oxygen plasma treatment had a positive effect on cell adhesion, proliferation, and differentiation. Furthermore, the differentiation of MC3T3-E1 cell was significantly enhanced by adding of n-HAp and β-TCP on 3D PLGA scaffold. As a result, combination of bioceramics and oxygen plasma treatment showed a synergistic effect on

  5. Modification of track membranes structure by gas discharge etching method

    International Nuclear Information System (INIS)

    Dmitriev, S.N.; Kravets, L.I.

    1996-01-01

    An investigation of the properties of polyethyleneterephthalate track membranes (PET TM) treated with the plasma RF-discharge in air has been performed. The influence of the plasma treatment conditions on the basic properties of the membranes, namely pore size and pore shape, porosity and mechanical strength has been studied. It was arranged that the effect of air plasma on the PET TM results to etching a membrane's surface layer. The membranes' pore size and the form in this case change. It is shown that it is possible to change the structure of track membranes directly by the gas discharge etching method. Depending on the choice of discharge parameters, it is possible to make etching either in a part of the channel or along the whole length of the pore channels. In both cases the membranes with an asymmetric pore shape are formed which possess higher porosity and flow rate. The use of the membranes of such a type allows one to increase drastically the efficiency of the filtration processes. 12 refs., 5 figs., 1 tab

  6. Modelling of the hydrogen effects on the morphogenesis of hydrogenated silicon nano-structures in a plasma reactor; Modelisation des effets de l'hydrogene sur la morphogenese des nanostructures de silicium hydrogene dans un reacteur plasma

    Energy Technology Data Exchange (ETDEWEB)

    Brulin, Q

    2006-01-15

    This work pursues the goal of understanding mechanisms related to the morphogenesis of hydrogenated silicon nano-structures in a plasma reactor through modeling techniques. Current technologies are first reviewed with an aim to understand the purpose behind their development. Then follows a summary of the possible studies which are useful in this particular context. The various techniques which make it possible to simulate the trajectories of atoms by molecular dynamics are discussed. The quantum methods of calculation of the interaction potential between chemical species are then developed, reaching the conclusion that only semi-empirical quantum methods are sufficiently fast to be able to implement an algorithm of quantum molecular dynamics on a reasonable timescale. From the tools introduced, a reflection on the nature of molecular metastable energetic states is presented for the theoretical case of the self-organized growth of a linear chain of atoms. This model - which consists of propagating the growth of a chain by the successive addition of the atom which least increases the electronic energy of the chain - shows that the Fermi level is a parameter essential to self organization during growth. This model also shows that the structure formed is not necessarily a total minimum energy structure. From all these numerical tools, the molecular growth of clusters can be simulated by using parameters from magnetohydrodynamic calculation results of plasma reactor modeling (concentrations of the species, interval between chemical reactions, energy of impact of the reagents...). The formation of silicon-hydrogen clusters is thus simulated by the successive capture of silane molecules. The structures formed in simulation at the operating temperatures of the plasma reactor predict the formation of spherical clusters constituting an amorphous silicon core covered by hydrogen. These structures are thus not in a state of minimum energy, contrary to certain experimental

  7. Full densification of inkjet-printed copper conductive tracks on a flexible substrate utilizing a hydrogen plasma sintering

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Young-Tae [Department of Fusion Chemical Engineering, Hanyang University, Ansan 15588 (Korea, Republic of); Lee, Young-In [Department of Materials Science and Engineering, Seoul National University of Science and Technology, Seoul 01811 (Korea, Republic of); Kim, Seil [Department of Fusion Chemical Engineering, Hanyang University, Ansan 15588 (Korea, Republic of); Lee, Kun-Jae [Department of Energy Engineering, Dankook University, Cheonan 31116 (Korea, Republic of); Choa, Yong-Ho, E-mail: choa15@hanyang.ac.kr [Department of Fusion Chemical Engineering, Hanyang University, Ansan 15588 (Korea, Republic of)

    2017-02-28

    Highlights: • Hydrogen thermally- and plasma- treatments are applied to reduce and sinter the inkjet-printed copper patterns at low temperature. • Plasma sintered Cu patterns have fully densified microstructure with the resistivity of 3.23 μW cm. • Cu conductive track with dense microstructure remains its electrical resistivity after 1 month. • Thermal sintered Cu patterns show a relatively poor microstructure and high resistivity. - Abstract: Low temperature sintering techniques are crucial in developing flexible printed electronics. In this work, we demonstrate a novel hydrogen plasma sintering method that achieves a full reduction and densification of inkjet-printed patterns using a copper complex ion ink. After inkjet printing on polyethylene terephthalate (PET) substrates, both hydrogen plasma and conventional hydrogen thermal treatment were employed to compare the resulting microstructures, electrical properties and anti-oxidation behavior. The plasma treated pattern shows a fully densified microstructure with a resistivity of 3.23 μΩ cm, while the thermally treated pattern shows a relatively poor microstructure and high resistivity. In addition, the hydrogen plasma-treated copper pattern retains its electrical resistivity for one month without any significant decrease. This novel hydrogen plasma sintering technique could be used to produce conductive patterns with excellent electrical properties, allowing for highly reliable flexible printed electronics.

  8. Plasma diagnostic tools for optimizing negative hydrogen ion sources

    International Nuclear Information System (INIS)

    Fantz, U.; Falter, H.D.; Franzen, P.; Speth, E.; Hemsworth, R.; Boilson, D.; Krylov, A.

    2006-01-01

    The powerful diagnostic tool of optical emission spectroscopy is used to measure the plasma parameters in negative hydrogen ion sources based on the surface mechanism. Results for electron temperature, electron density, atomic-to-molecular hydrogen density ratio, and gas temperature are presented for two types of sources, a rf source and an arc source, which are currently under development for a neutral beam heating system of ITER. The amount of cesium in the plasma volume is obtained from cesium radiation: the Cs neutral density is five to ten orders of magnitude lower than the hydrogen density and the Cs ion density is two to three orders of magnitude lower than the electron density in front of the grid. It is shown that monitoring of cesium lines is very useful for monitoring the cesium balance in the source. From a line-ratio method negative ion densities are determined. In a well-conditioned source the negative ion density is of the same order of magnitude as the electron density and correlates with extracted current densities

  9. Measurements of recombination coefficient of hydrogen atoms on plasma deposited thin films

    International Nuclear Information System (INIS)

    Drenik, A.; Vesel, A.; Mozetic, M.

    2006-01-01

    We have performed experiments in plasma afterglow in order to determine the recombination coefficients of plasma deposited thin films of tungsten and graphite. Plasma deposited films rather than bulk material were used in order to more closely emulate surface structure of plasma-facing material deposits in fusion reactors. We have also determined the recombination coefficient of 85250 borosilicate glass and Teflon. Plasma was created by means of a radio frequency generator in a mixture of argon and hydrogen at the pressures between 60 Pa and 280 Pa. The degree of dissociation of hydrogen molecules was found to be between 0.1 and 1. The H-atom density was measured by Fiber Optic Catalytic Probe. The recombination coefficient was determined by measuring the axial profile of the H-atom density and using Smith's side arm diffusion model. (author)

  10. Predicting synergy in atomic layer etching

    Energy Technology Data Exchange (ETDEWEB)

    Kanarik, Keren J. [Lam Research Corp., Fremont, CA (United States); Tan, Samantha [Lam Research Corp., Fremont, CA (United States); Yang, Wenbing [Lam Research Corp., Fremont, CA (United States); Kim, Taeseung [Lam Research Corp., Fremont, CA (United States); Lill, Thorsten [Lam Research Corp., Fremont, CA (United States); Kabansky, Alexander [Lam Research Corp., Fremont, CA (United States); Hudson, Eric A. [Lam Research Corp., Fremont, CA (United States); Ohba, Tomihito [Lam Research Corp., Fremont, CA (United States); Nojiri, Kazuo [Lam Research Corp., Fremont, CA (United States); Yu, Jengyi [Lam Research Corp., Fremont, CA (United States); Wise, Rich [Lam Research Corp., Fremont, CA (United States); Berry, Ivan L. [Lam Research Corp., Fremont, CA (United States); Pan, Yang [Lam Research Corp., Fremont, CA (United States); Marks, Jeffrey [Lam Research Corp., Fremont, CA (United States); Gottscho, Richard A. [Lam Research Corp., Fremont, CA (United States)

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.

  11. An interatomic potential model for molecular dynamics simulation of silicon etching by Br+-containing plasmas

    International Nuclear Information System (INIS)

    Ohta, H.; Iwakawa, A.; Eriguchi, K.; Ono, K.

    2008-01-01

    An interatomic potential model for Si-Br systems has been developed for performing classical molecular dynamics (MD) simulations. This model enables us to simulate atomic-scale reaction dynamics during Si etching processes by Br + -containing plasmas such as HBr and Br 2 plasmas, which are frequently utilized in state-of-the-art techniques for the fabrication of semiconductor devices. Our potential form is based on the well-known Stillinger-Weber potential function, and the model parameters were systematically determined from a database of potential energies obtained from ab initio quantum-chemical calculations using GAUSSIAN03. For parameter fitting, we propose an improved linear scheme that does not require any complicated nonlinear fitting as that in previous studies [H. Ohta and S. Hamaguchi, J. Chem. Phys. 115, 6679 (2001)]. In this paper, we present the potential derivation and simulation results of bombardment of a Si(100) surface using a monoenergetic Br + beam

  12. Propagation of sound and thermal waves in an ionizing-recombining hydrogen plasma: Revision of results

    International Nuclear Information System (INIS)

    Di Sigalotti, Leonardo G.; Sira, Eloy; Tremola, Ciro

    2002-01-01

    The propagation of acoustic and thermal waves in a heat conducting, hydrogen plasma, in which photoionization and photorecombination [H + +e - H+hν(χ)] processes are progressing, is re-examined here using linear analysis. The resulting dispersion equation is solved analytically and the results are compared with previous solutions for the same plasma model. In particular, it is found that wave propagation in a slightly and highly ionized hydrogen plasma is affected by crossing between acoustic and thermal modes. At temperatures where the plasma is partially ionized, waves of all frequencies propagate without the occurrence of mode crossing. These results disagree with those reported in previous work, thereby leading to a different physical interpretation of the propagation of small linear disturbances in a conducting, ionizing-recombining, hydrogen plasma

  13. Review of the methods to form hydrogen peroxide in electrical discharge plasma with liquid water

    Science.gov (United States)

    Locke, Bruce R.; Shih, Kai-Yuan

    2011-06-01

    This paper presents a review of the literature dealing with the formation of hydrogen peroxide from plasma processes. Energy yields for hydrogen peroxide generation by plasma from water span approximately three orders of magnitude from 4 × 10-2 to 80 g kWh-1. A wide range of plasma processes from rf to pulsed, ac, and dc discharges directly in the liquid phase have similar energy yields and may thus be limited by radical quenching processes at the plasma-liquid interface. Reactor modification using discharges in bubbles and discharges over the liquid phase can provide modest improvements in energy yield over direct discharge in the liquid, but the interpretation is complicated by additional chemical reactions of gas phase components such as ozone and nitrogen oxides. The highest efficiency plasma process utilizes liquid water droplets that may enhance efficiency by sequestering hydrogen peroxide in the liquid and by suppressing decomposition reactions by radicals from the gas and at the interface. Kinetic simulations of water vapor reported in the literature suggest that plasma generation of hydrogen peroxide should approach 45% of the thermodynamics limit, and this fact coupled with experimental studies demonstrating improvements with the presence of the condensed liquid phase suggest that further improvements in energy yield may be possible. Plasma generation of hydrogen peroxide directly from water compares favorably with a number of other methods including electron beam, ultrasound, electrochemical and photochemical methods, and other chemical processes.

  14. Review of the methods to form hydrogen peroxide in electrical discharge plasma with liquid water

    Energy Technology Data Exchange (ETDEWEB)

    Locke, Bruce R; Shih, Kai-Yuan [Department of Chemical and Biomedical Engineering, Florida State University, Tallahassee, FL 32310 (United States)

    2011-06-15

    This paper presents a review of the literature dealing with the formation of hydrogen peroxide from plasma processes. Energy yields for hydrogen peroxide generation by plasma from water span approximately three orders of magnitude from 4 x 10{sup -2} to 80 g kWh{sup -1}. A wide range of plasma processes from rf to pulsed, ac, and dc discharges directly in the liquid phase have similar energy yields and may thus be limited by radical quenching processes at the plasma-liquid interface. Reactor modification using discharges in bubbles and discharges over the liquid phase can provide modest improvements in energy yield over direct discharge in the liquid, but the interpretation is complicated by additional chemical reactions of gas phase components such as ozone and nitrogen oxides. The highest efficiency plasma process utilizes liquid water droplets that may enhance efficiency by sequestering hydrogen peroxide in the liquid and by suppressing decomposition reactions by radicals from the gas and at the interface. Kinetic simulations of water vapor reported in the literature suggest that plasma generation of hydrogen peroxide should approach 45% of the thermodynamics limit, and this fact coupled with experimental studies demonstrating improvements with the presence of the condensed liquid phase suggest that further improvements in energy yield may be possible. Plasma generation of hydrogen peroxide directly from water compares favorably with a number of other methods including electron beam, ultrasound, electrochemical and photochemical methods, and other chemical processes.

  15. Pattern transfer with stabilized nanoparticle etch masks

    International Nuclear Information System (INIS)

    Hogg, Charles R; Majetich, Sara A; Picard, Yoosuf N; Narasimhan, Amrit; Bain, James A

    2013-01-01

    Self-assembled nanoparticle monolayer arrays are used as an etch mask for pattern transfer into Si and SiO x substrates. Crack formation within the array is prevented by electron beam curing to fix the nanoparticles to the substrate, followed by a brief oxygen plasma to remove excess carbon. This leaves a dot array of nanoparticle cores with a minimum gap of 2 nm. Deposition and liftoff can transform the dot array mask into an antidot mask, where the gap is determined by the nanoparticle core diameter. Reactive ion etching is used to transfer the dot and antidot patterns into the substrate. The effect of the gap size on the etching rate is modeled and compared with the experimental results. (paper)

  16. Fabrication of high quality GaN nanopillar arrays by dry and wet chemical etching

    OpenAIRE

    Paramanik, Dipak; Motayed, Abhishek; King, Matthew; Ha, Jong-Yoon; Kryluk, Sergi; Davydov, Albert V.; Talin, Alec

    2013-01-01

    We study strain relaxation and surface damage of GaN nanopillar arrays fabricated using inductively coupled plasma (ICP) etching and post etch wet chemical treatment. We controlled the shape and surface damage of such nanopillar structures through selection of etching parameters. We compared different substrate temperatures and different chlorine-based etch chemistries to fabricate high quality GaN nanopillars. Room temperature photoluminescence and Raman scattering measurements were carried ...

  17. High density plasma productions by hydrogen storage electrode in the Tohoku University Heliac

    International Nuclear Information System (INIS)

    Utoh, H.; Takahashi, H.; Tanaka, Y.; Takenaga, M.; Ogawa, M.; Shinde, J.; Iwazaki, K.; Shinto, K.; Kitajima, S.; Sasao, M.; Nishimura, K.; Inagaki, S.

    2005-01-01

    In the Tohoku University Heliac (TU-Heliac), the influence of a radial electric field on improved modes has been investigated by an electrode biasing. In both positive and negative biasing experiments by the stainless steel (SUS) electrode (cold-electron or ion collection), the improvement of plasma confinement was clearly observed. Furthermore, by negative biasing with a hot cathode (electron injection), the radial electric fields can be actively controlled as a consequence of the control of the electrode current I E . By using the electrode made of a hydrogen storage metal, for example Titanium (Ti) or Vanadium (V), the following possibility can be expected: (1) ions accelerated from the positive biased electrode allow the simulation for the orbit loss of high-energy particles, (2) the electrons/neutral- particles injected from the negative biased electrode provide the production of the high- density plasma, if hydrogen are successfully stored in the electrode. In this present work, several methods were tried as the treatment for hydrogen storage. In the case of the Ti electrode biased positively after the treatment, the improvement of plasma confinement was observed in He plasma, which were same as the experimental results of the SUS electrode. However, in the electron density profiles inside the electrode position there was difference between the biased plasma by the Ti electrode and that by the SUS electrode. In some of Ar discharges biased negatively with the Ti electrode after the treatment, the electron density and the line intensity of H α increased about 10 times of those before biasing. This phenomenon has not been observed in the Ar plasma biased by the SUS electrode. This result suggested that the Ti electrode injected electrons/neutral-hydrogen into the plasma. This high-density plasma productions were observed only 1 ∼ 3 times in the one treatment for hydrogen storage. By using a Vanadium (V) electrode, productions of the high-density plasma

  18. Optimization of silver-assisted nano-pillar etching process in silicon

    Science.gov (United States)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-12-01

    In this study, a respond surface methodology (RSM) model is developed using three-level Box-Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H2O2), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H2O2 concentration and etching time. The predicted model is in good agreement with the experimental data where R2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time. This lack of uniformity could be attributed to the surface condition of the wafer. Optimization of the process parameters show adequate accuracy of the model with acceptable percentage errors of 6%, 59%, 1.8%, 38% and 61% for determination of the height, separation, size, the pore size and the etching rate respectively.

  19. Studies on plasma profiles and its effect on dust charging in hydrogen plasma

    Science.gov (United States)

    Kakati, B.; Kausik, S. S.; Saikia, B. K.; Bandyopadhay, M.

    2010-02-01

    Plasma profiles and its influence on dust charging are studied in hydrogen plasma. The plasma is produced in a high vacuum device by a hot cathode discharge method and is confined by a cusped magnetic field cage. A cylindrical Espion advanced Langmuir probe having 0.15 mm diameter and 10.0 mm length is used to study the plasma parameters for various discharge conditions. Optimum operational discharge parameters in terms of charging of the dust grains are studied. The charge on the surface of the dust particle is calculated from the capacitance model and the current by the dust grains is measured by the combination of a Faraday cup and an electrometer. Unlike our previous experiments in which dust grains were produced in-situ, here a dust dropper is used to drop the dust particles into the plasma.

  20. Studies on plasma profiles and its effect on dust charging in hydrogen plasma

    International Nuclear Information System (INIS)

    Kakati, B; Kausik, S S; Saikia, B K; Bandyopadhay, M

    2010-01-01

    Plasma profiles and its influence on dust charging are studied in hydrogen plasma. The plasma is produced in a high vacuum device by a hot cathode discharge method and is confined by a cusped magnetic field cage. A cylindrical Espion advanced Langmuir probe having 0.15 mm diameter and 10.0 mm length is used to study the plasma parameters for various discharge conditions. Optimum operational discharge parameters in terms of charging of the dust grains are studied. The charge on the surface of the dust particle is calculated from the capacitance model and the current by the dust grains is measured by the combination of a Faraday cup and an electrometer. Unlike our previous experiments in which dust grains were produced in-situ, here a dust dropper is used to drop the dust particles into the plasma.

  1. Hydrogen permeation properties of plasma-sprayed tungsten

    International Nuclear Information System (INIS)

    Anderl, R.A.; Pawelko, R.J.; Hankins, M.R.; Longhurst, G.R.; Neiser, R.A.

    1994-01-01

    Tungsten has been proposed as a plasma-facing component material for advanced fusion facilities. This paper reports on laboratory-scale studies that were done to assess the hydrogen permeation properties of plasma-sprayed tungsten for such applications. The work entailed deuterium permeation measurements for plasma-sprayed (PS) tungsten coatings, sputter-deposited (SP) tungsten coatings, and steel substrate material using a mass-analyzed, 3 keV D + 3 ion beam with fluxes of similar 6.5x10 19 D/m 2 s. Extensive characterization analyses for the plasma-sprayed tungsten coatings were made using Auger spectrometry and scanning electron microscopy (SEM). Observed permeation rates through composite PS-tungsten/steel specimens were several orders of magnitude below the permeation levels observed for SP-tungsten/steel composite specimens and pure steel specimens. Characterization analyses indicated that the plasma-sprayed tungsten coating had a nonhomogeneous microstructure that consisted of splats with columnar solidification, partially-melted particles with grain boundaries, and void regions. Reduced permeation levels can be attributed to the complex microstructure and a substantial surface-connected porosity. ((orig.))

  2. Hydrogen permeation properties of plasma-sprayed tungsten

    Energy Technology Data Exchange (ETDEWEB)

    Anderl, R.A. (Idaho National Engineering Lab., EG and G Idaho Inc., Idaho Falls, ID (United States)); Pawelko, R.J. (Idaho National Engineering Lab., EG and G Idaho Inc., Idaho Falls, ID (United States)); Hankins, M.R. (Idaho National Engineering Lab., EG and G Idaho Inc., Idaho Falls, ID (United States)); Longhurst, G.R. (Idaho National Engineering Lab., EG and G Idaho Inc., Idaho Falls, ID (United States)); Neiser, R.A. (Sandia National Laboratories, Albuquerque, NM 87185 (United States))

    1994-09-01

    Tungsten has been proposed as a plasma-facing component material for advanced fusion facilities. This paper reports on laboratory-scale studies that were done to assess the hydrogen permeation properties of plasma-sprayed tungsten for such applications. The work entailed deuterium permeation measurements for plasma-sprayed (PS) tungsten coatings, sputter-deposited (SP) tungsten coatings, and steel substrate material using a mass-analyzed, 3 keV D[sup +][sub 3] ion beam with fluxes of similar 6.5x10[sup 19] D/m[sup 2] s. Extensive characterization analyses for the plasma-sprayed tungsten coatings were made using Auger spectrometry and scanning electron microscopy (SEM). Observed permeation rates through composite PS-tungsten/steel specimens were several orders of magnitude below the permeation levels observed for SP-tungsten/steel composite specimens and pure steel specimens. Characterization analyses indicated that the plasma-sprayed tungsten coating had a nonhomogeneous microstructure that consisted of splats with columnar solidification, partially-melted particles with grain boundaries, and void regions. Reduced permeation levels can be attributed to the complex microstructure and a substantial surface-connected porosity. ((orig.))

  3. Technique for etching monolayer and multilayer materials

    Science.gov (United States)

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  4. Hydrogen detector

    International Nuclear Information System (INIS)

    Kumagaya, Hiromichi; Yoshida, Kazuo; Sanada, Kazuo; Chigira, Sadao.

    1994-01-01

    The present invention concerns a hydrogen detector for detecting water-sodium reaction. The hydrogen detector comprises a sensor portion having coiled optical fibers and detects hydrogen on the basis of the increase of light transmission loss upon hydrogen absorption. In the hydrogen detector, optical fibers are wound around and welded to the outer circumference of a quartz rod, as well as the thickness of the clad layer of the optical fiber is reduced by etching. With such procedures, size of the hydrogen detecting sensor portion can be decreased easily. Further, since it can be used at high temperature, diffusion rate is improved to shorten the detection time. (N.H.)

  5. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    Energy Technology Data Exchange (ETDEWEB)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.; Rastogi, Vinayak [TEL Technology Center, America LLC, 255 Fuller Road, Suite 214, Albany, New York 12203 (United States); Koshiishi, Akira [Tokyo Electron Miyagi, Ltd., 1 Techno-Hills, Taiwa-cho, Kurokawa-gun, Miyagi, 9813629 (Japan); Ventzek, Peter L. G. [Tokyo Electron America, Inc., 2400 Grove Blvd., Austin, Texas 78741 (United States)

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ion energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.

  6. Dry Etching of Copper Phthalocyanine Thin Films: Effects on Morphology and Surface Stoichiometry

    Directory of Open Access Journals (Sweden)

    Michael J. Brett

    2012-08-01

    Full Text Available We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  7. Spectrum analysis of hydrogen plasma in spherically convergent beam fusion

    Energy Technology Data Exchange (ETDEWEB)

    Ogasawara, Kazuki; Yamauchi, Kunihito; Watanabe, Masato; Sunaga, Yoshitaka; Hotta, Eiki [Tokyo Institute of Technology, Dept. of Energy Sciences, Yokohama, Kanagawa (Japan); Okino, Akitoshi [Tokyo Institute of Technology, Dept. of Electrical and Electronic Engineering, Tokyo (Japan)

    2001-09-01

    Spectroscopic analysis of spherical glow discharge fusion device was carried out using hydrogen gas. Effects of the discharge current and cathode voltage on spectrum profiles of hydrogen Balmar lines were measured. The profiles of all hydrogen lines were broadened with the cathode voltage. From the relationship between the maximum broadening width and the cathode voltage, it was indicated that the broadening was caused by the Doppler effect. From the spatial distribution of emission intensity, it was found that plasma core size became larger with discharge current and smaller with cathode voltage. (author)

  8. Spectrum analysis of hydrogen plasma in spherically convergent beam fusion

    International Nuclear Information System (INIS)

    Ogasawara, Kazuki; Yamauchi, Kunihito; Watanabe, Masato; Sunaga, Yoshitaka; Hotta, Eiki; Okino, Akitoshi

    2001-01-01

    Spectroscopic analysis of spherical glow discharge fusion device was carried out using hydrogen gas. Effects of the discharge current and cathode voltage on spectrum profiles of hydrogen Balmar lines were measured. The profiles of all hydrogen lines were broadened with the cathode voltage. From the relationship between the maximum broadening width and the cathode voltage, it was indicated that the broadening was caused by the Doppler effect. From the spatial distribution of emission intensity, it was found that plasma core size became larger with discharge current and smaller with cathode voltage. (author)

  9. Plasma control using neural network and optical emission spectroscopy

    International Nuclear Information System (INIS)

    Kim, Byungwhan; Bae, Jung Ki; Hong, Wan-Shick

    2005-01-01

    Due to high sensitivity to process parameters, plasma processes should be tightly controlled. For plasma control, a predictive model was constructed using a neural network and optical emission spectroscopy (OES). Principal component analysis (PCA) was used to reduce OES dimensionality. This approach was applied to an oxide plasma etching conducted in a CHF 3 /CF 4 magnetically enhanced reactive ion plasma. The etch process was systematically characterized by means of a statistical experimental design. Three etch outputs (etch rate, profile angle, and etch rate nonuniformity) were modeled using three different approaches, including conventional, OES, and PCA-OES models. For all etch outputs, OES models demonstrated improved predictions over the conventional or PCA-OES models. Compared to conventional models, OES models yielded an improvement of more than 25% in modeling profile angle and etch rate nonuniformtiy. More than 40% improvement over PCA-OES model was achieved in modeling etch rate and profile angle. These results demonstrate that nonreduced in situ data are more beneficial than reduced one in constructing plasma control model

  10. The effect of hydrogen on B4C coatings fabrication in inductively coupled plasma torch

    Directory of Open Access Journals (Sweden)

    Q. J. Guo

    2018-02-01

    Full Text Available Boron carbide (B4C coatings are prepared by an RF inductively coupled plasma (ICP torch with different amounts of hydrogen introduced into the sheath gas. The effects of the added hydrogen on the characteristics of the plasma are diagnosed by optical emission spectroscopy and high speed photography. The effects on the melting of B4C particles in the plasma are studied by scanning electron microscopy (SEM. The microstructure of the B4C coatings was determined with SEM imaging and x-ray diffraction analysis. The results show that adding hydrogen to the sheath gas leads to plasma contraction, which results in higher gas temperature of plasma. It also enhances B4C particles spheroidizing and improves the compactness of B4C coatings. Plasma processing does not change the main phase of boron carbide. The obtained results on B4C coatings on Cu substrates allows for improving the B4C coatings fabrication process.

  11. Hydrogen Recovery by ECR Plasma Pyrolysis of Methane, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Development of a microgravity and hypogravity compatible Electron Cyclotron Resonance (ECR) Plasma Methane Pyrolysis Reactor is proposed to recover hydrogen which is...

  12. Hydrogen behaviour study in plasma facing a-C:H and a-SiC:H hydrogenated amorphous materials for fusion reactors

    International Nuclear Information System (INIS)

    Barbier, Gauzelin

    1997-01-01

    Plasma facing components of controlled fusion test devices (tokamaks) are submitted to several constraints (irradiation, high temperatures). The erosion (physical sputtering and chemical erosion) and the hydrogen recycling (retention and desorption) of these materials influence many plasma parameters and thus affect drastically the tokamak running. Firstly, we will describe the different plasma-material interactions. It will be pointed out, how erosion and hydrogen recycling are strongly related to both chemical and physical properties of the material. In order to reduce this interactions, we have selected two amorphous hydrogenated materials (a-C:H and a-SiC:H), which are known for their good thermal and chemical qualities. Some samples have been then implanted with lithium ions at different fluences. Our materials have been then irradiated with deuterium ions at low energy. From our results, it is shown that both the lithium implantation and the use of an a-SiC:H substrate can be benefit in enhancing the hydrogen retention. These results were completed with thermal desorption studies of these materials. It was evidenced that the hydrogen fixation was more efficient in a -SiC:H than in a-C:H substrate. Results in good agreement with those described above have been obtained by exposing a-C:H and a-SiC:H samples to the scrape off layer of the tokamak of Varennes (TdeV, Canada). A modeling of hydrogen diffusion under irradiation has been also proposed. (author)

  13. Plasma processing of superconducting radio frequency cavities

    Science.gov (United States)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  14. Correlation between Balmer α emission and hydrogen flux through a superpermeable niobium membrane in a low-pressure multicusp plasma source

    International Nuclear Information System (INIS)

    Bruneteau, A.M.; Notkin, M.E.; Livshits, A.I.; Bacal, M.

    2002-01-01

    The purpose of this paper is to correlate hydrogen or deuterium flux through super permeable membranes with incident hydrogen or deuterium atom flux from the plasma. To this aim a hydrogen or deuterium plasma is created in a hybrid multicusp plasma source. We investigate Balmer α emission from the multicusp plasma and the output pressure behind a superpermeable niobium membrane immersed in the plasma.The output pressure is proportional to the flux of atoms and ions arriving on the membrane. We find that both output pressure and excited atoms emission satisfy plasma parameters relations. It is thus verified that plasma-driven superpermeation of hydrogen is due essentially to neutral atoms from the plasma incident to the membrane

  15. Plasma thermal conversion of bio-oil for hydrogen production

    International Nuclear Information System (INIS)

    Guenadou, David; Lorcet, Helene; Peybernes, Jean; Catoire, Laurent; Osmont, Antoine; Gokalp, Iskender

    2012-01-01

    Numerous processes exist or are proposed for the energetic conversion of biomass. The use of thermal plasma is proposed in the frame of the GALACSY project for the conversion of bio-oil to hydrogen and carbon monoxide. For this purpose, an experimental apparatus has been built. The feasibility of this conversion at very high temperature, as encountered in thermal plasma, is examined both experimentally and numerically. This zero dimensional study tends to show that a high temperature (around 2500 K or above) is needed to ensure a high yield of hydrogen (about 50 mol%) and about 95 mol% of CO+H 2 . Predicted CO+H 2 yield and CO/H 2 ratio are consistent with measurements. It is also expected that the formation of particles and tars is hampered. Thermodynamic data of selected bio-oil components are provided in the CHEMKINNASA format. (authors)

  16. Etching radical controlled gas chopped deep reactive ion etching

    Science.gov (United States)

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  17. Organization of silicon nanocrystals by localized electrochemical etching

    International Nuclear Information System (INIS)

    Ayari-Kanoun, Asma; Drouin, Dominique; Beauvais, Jacques; Lysenko, Vladimir; Nychyporuk, Tetyana; Souifi, Abdelkader

    2009-01-01

    An approach to form a monolayer of organized silicon nanocrystals on a monocrystalline Si wafer is reported. Ordered arrays of nanoholes in a silicon nitride layer were obtained by combining electron beam lithography and plasma etching. Then, a short electrochemical etching current pulse led to formation of a single Si nanocrystal per each nanohole. As a result, high quality silicon nanocrystal arrays were formed with well controlled and reproducible morphologies. In future, this approach can be used to fabricate single electron devices.

  18. Hydrogen Recovery by ECR Plasma Pyrolysis of Methane, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Development of a microgravity and hypogravity compatible microwave plasma methane pyrolysis reactor is proposed to recover hydrogen which is lost as methane in the...

  19. Metal-assisted etch combined with regularizing etch

    Energy Technology Data Exchange (ETDEWEB)

    Yim, Joanne; Miller, Jeff; Jura, Michael; Black, Marcie R.; Forziati, Joanne; Murphy, Brian; Magliozzi, Lauren

    2018-03-06

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performing a chemical etch which results in regularized openings in the silicon substrate.

  20. Novel Composite Hydrogen-Permeable Membranes for Nonthermal Plasma Reactors for the Decomposition of Hydrogen Sulfide

    Energy Technology Data Exchange (ETDEWEB)

    Morris Argyle; John Ackerman; Suresh Muknahallipatna; Jerry Hamann; Stanislaw Legowski; Gui-Bing Zhao; Sanil John; Ji-Jun Zhang; Linna Wang

    2007-09-30

    The goal of this experimental project was to design and fabricate a reactor and membrane test cell to dissociate hydrogen sulfide (H{sub 2}S) in a nonthermal plasma and to recover hydrogen (H{sub 2}) through a superpermeable multi-layer membrane. Superpermeability of hydrogen atoms (H) has been reported by some researchers using membranes made of Group V transition metals (niobium, tantalum, vanadium, and their alloys), but it was not achieved at the moderate pressure conditions used in this study. However, H{sub 2}S was successfully decomposed at energy efficiencies higher than any other reports for the high H{sub 2}S concentration and moderate pressures (corresponding to high reactor throughputs) used in this study.

  1. Etching characteristics and application of physical-vapor-deposited amorphous carbon for multilevel resist

    International Nuclear Information System (INIS)

    Kim, H. T.; Kwon, B. S.; Lee, N.-E.; Park, Y. S.; Cho, H. J.; Hong, B.

    2008-01-01

    For the fabrication of a multilevel resist (MLR) based on a very thin, physical-vapor-deposited (PVD) amorphous carbon (a-C) layer, the etching characteristics of the PVD a-C layer with a SiO x hard mask were investigated in a dual-frequency superimposed capacitively coupled plasma etcher by varying the following process parameters in O 2 /N 2 /Ar plasmas: high-frequency/low-frequency combination (f HF /f LF ), HF/LF power ratio (P HF /P LF ), and O 2 and N 2 flow rates. The very thin nature of the a-C layer helps to keep the aspect ratio of the etched features low. The etch rate of the PVD a-C layer increased with decreasing f HF /f LF combination and increasing P LF and was initially increased but then decreased with increasing N 2 flow rate in O 2 /N 2 /Ar plasmas. The application of a 30 nm PVD a-C layer in the MLR structure of ArF PR/BARC/SiO x /PVD a-C/TEOS oxide supported the possibility of using a very thin PVD a-C layer as an etch-mask layer for the TEOS-oxide layer

  2. Hydrogen isotope retention in beryllium for tokamak plasma-facing applications

    Energy Technology Data Exchange (ETDEWEB)

    Anderl, R.A.; Longhurst, G.R. [Lockheed Martin Idaho Technol. Co., Idaho Falls, ID (United States). Idaho Nat. Eng. and Environ. Lab.; Causey, R.A.; Wampler, W.R.; Wilson, K.L. [Sandia National Laboratories, Livermore, CA (United States)]|[Sandia National Labs., Albuquerque, NM (United States); Davis, J.W.; Haasz, A.A. [Institute for Aerospace Studies, University of Toronto, Toronto (Canada); Doerner, R.P. [California Univ., San Diego, La Jolla, CA (United States). Center for Magnetic Recording Research; Federici, G. [ITER JWS Garching Co-center, Garching (Germany)

    1999-06-01

    Beryllium has been used as a plasma-facing material to effect substantial improvements in plasma performance in the Joint European Torus (JET), and it is planned as a plasma-facing material for the first wall (FW) and other components of the International Thermonuclear Experimental Reactor (ITER). The interaction of hydrogenic ions, and charge-exchange neutral atoms from plasmas, with beryllium has been studied in recent years with widely varying interpretations of results. In this paper we review experimental data regarding hydrogenic atom inventories in experiments pertinent to tokamak applications and show that with some very plausible assumptions, the experimental data appear to exhibit rather predictable trends. A phenomenon observed in high ion-flux experiments is the saturation of the beryllium surface such that inventories of implanted particles become insensitive to increased flux and to continued implantation fluence. Methods for modeling retention and release of implanted hydrogen in beryllium are reviewed and an adaptation is suggested for modeling the saturation effects. The TMAP4 code used with these modifications has succeeded in simulating experimental data taken under saturation conditions where codes without this feature have not. That implementation also works well under more routine conditions where the conventional recombination-limited release model is applicable. Calculations of tritium inventory and permeation in the ITER FW during the basic performance phase (BPP) using both the conventional recombination model and the saturation effects assumptions, show a difference of several orders of magnitude in both inventory and permeation rate to the coolant. (orig.) 78 refs.

  3. Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    International Nuclear Information System (INIS)

    Jansen, H V; De Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-01-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O 2 ) or a fluorocarbon (FC) gas (C 4 F 8 or CHF 3 ). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF 3 and C 4 F 8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF 3 is roughly 30 times the flow of C 4 F 8 , and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O 2 is FC-free but shows only tolerable anisotropic results at

  4. Exploration of suitable dry etch technologies for directed self-assembly

    Science.gov (United States)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  5. Hydrogen plasma enhanced alignment on CNT-STM tips grown by liquid catalyst-assisted microwave plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Tung, Fa-Kuei; Yoshimura, Masamichi; Ueda, Kazuyuki; Ohira, Yutaka; Tanji, Takayoshi

    2008-01-01

    Carbon nanotubes are grown directly on a scanning tunneling microscopy tip by liquid catalyst-assisted microwave-enhanced chemical vapor deposition, and effects of hydrogen plasma treatment on the tip have been investigated in detail by field-emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Raman spectroscopy. The unaligned CNTs on the as-grown tip apex have been realigned and reshaped by subsequent hydrogen plasma treatment. The diameter of CNTs is enlarged mainly due to amorphous layers being re-sputtered over their outer shells

  6. Silver ion mediated shape control of platinum nanoparticles: Removal of silver by selective etching leads to increased catalytic activity

    Energy Technology Data Exchange (ETDEWEB)

    Grass, Michael E.; Yue, Yao; Habas, Susan E.; Rioux, Robert M.; Teall, Chelsea I.; Somorjai, G.A.

    2008-01-09

    A procedure has been developed for the selective etching of Ag from Pt nanoparticles of well-defined shape, resulting in the formation of elementally-pure Pt cubes, cuboctahedra, or octahedra, with a largest vertex-to-vertex distance of {approx}9.5 nm from Ag-modified Pt nanoparticles. A nitric acid etching process was applied Pt nanoparticles supported on mesoporous silica, as well as nanoparticles dispersed in aqueous solution. The characterization of the silica-supported particles by XRD, TEM, and N{sub 2} adsorption measurements demonstrated that the structure of the nanoparticles and the mesoporous support remained conserved during etching in concentrated nitric acid. Both elemental analysis and ethylene hydrogenation indicated etching of Ag is only effective when [HNO{sub 3}] {ge} 7 M; below this concentration, the removal of Ag is only {approx}10%. Ethylene hydrogenation activity increased by four orders of magnitude after the etching of Pt octahedra that contained the highest fraction of silver. High-resolution transmission electron microscopy of the unsupported particles after etching demonstrated that etching does not alter the surface structure of the Pt nanoparticles. High [HNO{sub 3}] led to the decomposition of the capping agent, polyvinylpyrollidone (PVP); infrared spectroscopy confirmed that many decomposition products were present on the surface during etching, including carbon monoxide.

  7. Modelling of the hydrogen effects on the morphogenesis of hydrogenated silicon nano-structures in a plasma reactor

    International Nuclear Information System (INIS)

    Brulin, Q.

    2006-01-01

    This work pursues the goal of understanding mechanisms related to the morphogenesis of hydrogenated silicon nano-structures in a plasma reactor through modeling techniques. Current technologies are first reviewed with an aim to understand the purpose behind their development. Then follows a summary of the possible studies which are useful in this particular context. The various techniques which make it possible to simulate the trajectories of atoms by molecular dynamics are discussed. The quantum methods of calculation of the interaction potential between chemical species are then developed, reaching the conclusion that only semi-empirical quantum methods are sufficiently fast to be able to implement an algorithm of quantum molecular dynamics on a reasonable timescale. From the tools introduced, a reflection on the nature of molecular metastable energetic states is presented for the theoretical case of the self-organized growth of a linear chain of atoms. This model - which consists of propagating the growth of a chain by the successive addition of the atom which least increases the electronic energy of the chain - shows that the Fermi level is a parameter essential to self organization during growth. This model also shows that the structure formed is not necessarily a total minimum energy structure. From all these numerical tools, the molecular growth of clusters can be simulated by using parameters from magnetohydrodynamic calculation results of plasma reactor modeling (concentrations of the species, interval between chemical reactions, energy of impact of the reagents...). The formation of silicon-hydrogen clusters is thus simulated by the successive capture of silane molecules. The structures formed in simulation at the operating temperatures of the plasma reactor predict the formation of spherical clusters constituting an amorphous silicon core covered by hydrogen. These structures are thus not in a state of minimum energy, contrary to certain experimental

  8. Experimental study on negative hydrogen ion formation in the quiescent plasma machine at INPE

    International Nuclear Information System (INIS)

    Ferreira, J.L.; Ferreira, J.G.; Damasio, W.C.

    1989-01-01

    The preliminary results from the study on generation of positive and negative hydrogen ions in plasma produced by thermionic discharge confined superficially by magnetic fields, are presented. In the interior of this discharge was inserted a Langmuir electrostatic probe to measure H - , H + , H + 2 and H + 3 concentrations in the plasma produced from argon (Ar) and hydrogen (H 2 ) gas mixture. (M.C.K.) [pt

  9. Uncertainty propagation in modeling of plasma-assisted hydrogen production from biogas

    Science.gov (United States)

    Zaherisarabi, Shadi; Venkattraman, Ayyaswamy

    2016-10-01

    With the growing concern of global warming and the resulting emphasis on decreasing greenhouse gas emissions, there is an ever-increasing need to utilize energy-production strategies that can decrease the burning of fossil fuels. In this context, hydrogen remains an attractive clean-energy fuel that can be oxidized to produce water as a by-product. In spite of being an abundant species, hydrogen is seldom found in a form that is directly usable for energy-production. While steam reforming of methane is one popular technique for hydrogen production, plasma-assisted conversion of biogas (carbon dioxide + methane) to hydrogen is an attractive alternative. Apart from producing hydrogen, the other advantage of using biogas as raw material is the fact that two potent greenhouse gases are consumed. In this regard, modeling is an important tool to understand and optimize plasma-assisted conversion of biogas. The primary goal of this work is to perform a comprehensive statistical study that quantifies the influence of uncertain rate constants thereby determining the key reaction pathways. A 0-D chemical kinetics solver in the OpenFOAM suite is used to perform a series of simulations to propagate the uncertainty in rate constants and the resulting mean and standard deviation of outcomes.

  10. Plasma screening effects on the energies of hydrogen atom

    International Nuclear Information System (INIS)

    Soylu, A.

    2012-01-01

    A more general exponential cosine screened Coulomb potential is used for the first time to investigate the screening effects on the hydrogen atom in plasmas. This potential is examined for four different cases that correspond to four different type potentials when the different parameters are used in the potential within the framework of the well-known asymptotic iteration method. By solving the corresponding the radial Schrödinger equation with the screened and exponential cosine screened Coulomb potentials and comparing the obtained energy eigenvalues with the results of other studies, the applicability of the method to this kind of plasma physics problem is shown. The energy values of more general exponential cosine screened Coulomb potential are presented for various parameters in the potential. One of the advantages of the present potential is that it exhibits stronger screening effect than that of the exponential cosine screened Coulomb potential and it is also reduced to screened Coulomb and exponential cosine screened Coulomb as well as Coulomb potentials for special values of parameters. The parameters in the potential would be useful to model screening effects which cause an increase or decrease in the energy values of hydrogen atom in both Debye and quantum plasmas and in this manner this potential would be useful for the investigations of the atomic structure and collisions in plasmas.

  11. Novel Composite Hydrogen-Permeable Membranes for Non-Thermal Plasma Reactors for the Decomposition of Hydrogen Sulfide

    Energy Technology Data Exchange (ETDEWEB)

    Morris D. Argyle; John F. Ackerman; Suresh Muknahallipatna; Jerry C. Hamann; Stanislaw Legowski; Guibling Zhao; Ji-Jun Zhang; Sanil John

    2005-10-01

    The goal of this experimental project is to design and fabricate a reactor and membrane test cell to dissociate hydrogen sulfide (H{sub 2}S) in a non-thermal plasma and recover hydrogen (H{sub 2}) through a superpermeable multi-layer membrane. Superpermeability of hydrogen atoms (H) has been reported by some researchers using membranes made of Group V transition metals (niobium, tantalum, vanadium, and their alloys), although it has yet to be confirmed in this study. A pulsed corona discharge (PCD) reactor has been fabricated and used to dissociate H{sub 2}S into hydrogen and sulfur. A nonthermal plasma cannot be produced in pure H{sub 2}S with our reactor geometry, even at discharge voltages of up to 30 kV, because of the high dielectric strength of pure H{sub 2}S ({approx}2.9 times higher than air). Therefore, H{sub 2}S was diluted in another gas with lower breakdown voltage (or dielectric strength). Breakdown voltages of H{sub 2}S in four balance gases (Ar, He, N{sub 2} and H{sub 2}) have been measured at different H{sub 2}S concentrations and pressures. Breakdown voltages are proportional to the partial pressure of H{sub 2}S and the balance gas. H{sub 2}S conversion and the reaction energy efficiency depend on the balance gas and H{sub 2}S inlet concentrations. With increasing H{sub 2}S concentrations, H{sub 2}S conversion initially increases, reaches a maximum, and then decreases. H{sub 2}S conversion in atomic balance gases, such as Ar and He, is more efficient than that in diatomic balance gases, such as N{sub 2} and H{sub 2}. These observations can be explained by the proposed reaction mechanism of H{sub 2}S dissociation in different balance gases. The results show that nonthermal plasmas are effective for dissociating H{sub 2}S into hydrogen and sulfur.

  12. Development of Polysulfone Hollow Fiber Porous Supports for High Flux Composite Membranes: Air Plasma and Piranha Etching

    Directory of Open Access Journals (Sweden)

    Ilya Borisov

    2017-02-01

    Full Text Available For the development of high efficiency porous supports for composite membrane preparation, polysulfone (PSf hollow fiber membranes (outer diameter 1.57 mm, inner diameter 1.12 mm were modified by air plasma using the low temperature plasma treatment pilot plant which is easily scalable to industrial level and the Piranha etch (H2O2 + H2SO4. Chemical and plasma modification affected only surface layers and did not cause PSf chemical structure change. The modifications led to surface roughness decrease, which is of great importance for further thin film composite (TFC membranes fabrication by dense selective layer coating, and also reduced water and ethylene glycol contact angle values for modified hollow fibers surface. Furthermore, the membranes surface energy increased two-fold. The Piranha mixture chemical modification did not change the membranes average pore size and gas permeance values, while air plasma treatment increased pore size 1.5-fold and also 2 order enhanced membranes surface porosity. Since membranes surface porosity increased due to air plasma treatment the modified membranes were used as efficient supports for preparation of high permeance TFC membranes by using poly[1-(trimethylsilyl-1-propyne] as an example for selective layer fabrication.

  13. [Study on the Emission Spectrum of Hydrogen Production with Microwave Discharge Plasma in Ethanol Solution].

    Science.gov (United States)

    Sun, Bing; Wang, Bo; Zhu, Xiao-mei; Yan, Zhi-yu; Liu, Yong-jun; Liu, Hui

    2016-03-01

    Hydrogen is regarded as a kind of clean energy with high caloricity and non-pollution, which has been studied by many experts and scholars home and abroad. Microwave discharge plasma shows light future in the area of hydrogen production from ethanol solution, providing a new way to produce hydrogen. In order to further improve the technology and analyze the mechanism of hydrogen production with microwave discharge in liquid, emission spectrum of hydrogen production by microwave discharge plasma in ethanol solution was being studied. In this paper, plasma was generated on the top of electrode by 2.45 GHz microwave, and the spectral characteristics of hydrogen production from ethanol by microwave discharge in liquid were being studied using emission spectrometer. The results showed that a large number of H, O, OH, CH, C2 and other active particles could be produced in the process of hydrogen production from ethanol by microwave discharge in liquid. The emission spectrum intensity of OH, H, O radicals generated from ethanol is far more than that generated from pure water. Bond of O-H split by more high-energy particles from water molecule was more difficult than that from ethanol molecule, so in the process of hydrogen production by microwave discharge plasma in ethanol solution; the main source of hydrogen was the dehydrogenation and restructuring of ethanol molecules instead of water decomposition. Under the definite external pressure and temperature, the emission spectrum intensity of OH, H, O radicals increased with the increase of microwave power markedly, but the emission spectrum intensity of CH, C2 active particles had the tendency to decrease with the increase of microwave power. It indicated that the number of high energy electrons and active particles high energy electron energy increased as the increase of microwave power, so more CH, C2 active particles were split more thoroughly.

  14. In-situ TEM imaging of the anisotropic etching of graphene by metal nanoparticles.

    Science.gov (United States)

    Wei, Jiake; Xu, Zhi; Wang, Hao; Tian, Xuezeng; Yang, Shize; Wang, Lifen; Wang, Wenlong; Bai, Xuedong

    2014-11-21

    Few-layer graphene was successfully tailored with smooth edges along crystallographic directions by Joule heating-driven tungsten nanoparticles inside a transmission electron microscope. The dynamic process was monitored in real time at the atomic resolution level. These high-resolution in-situ observations show that the neighboring graphene layers joined together to form closed edges, which is in contrast to the supposed open edges formed with hydrogen passivation. The tungsten nanoparticles transformed to W₂C in the intermediate stage of etching and to WC after etching, suggesting that carbon dissolution helped the continuous action of the metal nanoparticles in the catalytic anisotropic etching reaction.

  15. An extended CFD model to predict the pumping curve in low pressure plasma etch chamber

    Science.gov (United States)

    Zhou, Ning; Wu, Yuanhao; Han, Wenbin; Pan, Shaowu

    2014-12-01

    Continuum based CFD model is extended with slip wall approximation and rarefaction effect on viscosity, in an attempt to predict the pumping flow characteristics in low pressure plasma etch chambers. The flow regime inside the chamber ranges from slip wall (Kn ˜ 0.01), and up to free molecular (Kn = 10). Momentum accommodation coefficient and parameters for Kn-modified viscosity are first calibrated against one set of measured pumping curve. Then the validity of this calibrated CFD models are demonstrated in comparison with additional pumping curves measured in chambers of different geometry configurations. More detailed comparison against DSMC model for flow conductance over slits with contraction and expansion sections is also discussed.

  16. The memory characteristics of submicron feature-size PZT capacitors with PtOx top electrode by using dry-etching

    International Nuclear Information System (INIS)

    Huang, C.-K.; Wang, C.-C.; Wu, T.-B.

    2007-01-01

    Dry etching and its effect on the characteristics of submicron feature-size PbZr 1-x Ti x O 3 (PZT) capacitors with PtO x top electrode were investigated. The photoresist (PR)-masked PtO x films were etched by an Ar/(20%)Cl 2 /O 2 helicon wave plasma. A fence-free pattern with a significantly high etch rate and sidewall slope was obtained by the addition of O 2 into the etching gas mixture, due to the chemical instability of PtO x and the formation of a PtO 2 passivation layer to suppress redeposition of the etch by-products on the etched surface. The patterned PtO x electrode can be further used as a hard mask for etching the PZT film, subsequently, with the gas mixture of Ar, CF 4 and O 2 . A high etching rate of PZT and a good etching selectivity to PtO x can be obtained at 30% O 2 addition into the Ar/(50%)CF 4 plasma. The etched capacitors have a steep, 72 0 , sidewall angle with a clean surface. Moreover, the addition of O 2 into the etching gas can well preserve the properties and the fatigue endurance of PtO x /PZT capacitors

  17. Optimization of silver-assisted nano-pillar etching process in silicon

    International Nuclear Information System (INIS)

    Azhari, Ayu Wazira; Sopian, Kamaruzzaman; Desa, Mohd Khairunaz Mat; Zaidi, Saleem H.

    2015-01-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert ® software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H 2 O 2 ), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H 2 O 2 concentration and etching time. The predicted model is in good agreement with the experimental data where R 2 is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant concentration or the etching time

  18. Optimization of silver-assisted nano-pillar etching process in silicon

    Energy Technology Data Exchange (ETDEWEB)

    Azhari, Ayu Wazira, E-mail: ayuwazira@unimap.edu.my [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); School of Environmental Engineering, Universiti Malaysia Perlis, 01000, Kangar, Perlis (Malaysia); Sopian, Kamaruzzaman [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia); Desa, Mohd Khairunaz Mat [School of Electrical and Electronic Engineering, Universiti Sains Malaysia, Nibong Tebal, Pulau Pinang, 14300 (Malaysia); Zaidi, Saleem H. [Solar Energy Research Institute, Universiti Kebangsaan Malaysia, Bangi, Selangor 43650 (Malaysia)

    2015-12-01

    Graphical abstract: - Highlights: • Statistical analysis for synthesis of nano-pillar in crystalline Si substrates is presented. • Model is in good agreement with experimental for the etching rate and lateral etching respectively. • Optimum values for all parameters in fabrication of nanostructured Si are attained. - Abstract: In this study, a respond surface methodology (RSM) model is developed using three-level Box–Behnken experimental design (BBD) technique. This model is developed to investigate the influence of metal-assisted chemical etching (MACE) process variables on the nanopillars profiles created in single crystalline silicon (Si) substrate. Design-Expert{sup ®} software (version 7.1) is employed in formulating the RSM model based on five critical process variables: (A) concentration of silver (Ag), (B) concentration of hydrofluoric acid (HF), (C) concentration of hydrogen peroxide (H{sub 2}O{sub 2}), (D) deposition time, and (E) etching time. This model is supported by data from 46 experimental configurations. Etched profiles as a function of lateral etching rate, vertical etching rate, height, size and separation between the Si trenches and etching uniformity are characterized using field emission scanning electron microscope (FE-SEM). A quadratic regression model is developed to correlate critical process variables and is validated using the analysis of variance (ANOVA) methodology. The model exhibits near-linear dependence of lateral and vertical etching rates on both the H{sub 2}O{sub 2} concentration and etching time. The predicted model is in good agreement with the experimental data where R{sup 2} is equal to 0.80 and 0.67 for the etching rate and lateral etching respectively. The optimized result shows minimum lateral etching with the average pore size of about 69 nm while the maximum etching rate is estimated at around 360 nm/min. The model demonstrates that the etching process uniformity is not influenced by either the etchant

  19. Effect of hydrogen plasma treatment on the surface morphology, microstructure and electronic transport properties of nc-Si:H

    International Nuclear Information System (INIS)

    Dutta, P.; Paul, S.; Galipeau, D.; Bommisetty, V.

    2010-01-01

    Hydrogenated nanocrystalline silicon (nc-Si:H) films, deposited by reactive radio-frequency sputtering with 33% hydrogen dilution in argon at 200 o C, were treated with low-power hydrogen plasma at room temperature at various power densities (0.1-0.5 W/cm 2 ) and durations (10 s-10 min). Plasma treatment reduced the surface root mean square roughness and increased the average grain size. This was attributed to the mass transport of Si atoms on the surface by surface and grain boundary diffusion. Plasma treatment under low power density (0.1 W/cm 2 ) for short duration (10 s) caused a significant enhancement of crystalline volume fraction and electrical conductivity, compared to as-deposited film. While higher power (0.5 W/cm 2 ) hydrogen plasma treatment for longer durations (up to 10 min) caused moderate improvement in crystalline fraction and electrical properties; however, the magnitude of improvement is not significant compared to low-power (0.1 W/cm 2 )/short-duration (10 s) plasma exposure. The results indicate that low-power hydrogen plasma treatment at room temperature can be an effective tool to improve the structural and electrical properties of nc-Si:H.

  20. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    Science.gov (United States)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  1. TOPICAL REVIEW: Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    Science.gov (United States)

    Jansen, H V; de Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-03-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O2) or a fluorocarbon (FC) gas (C4F8 or CHF3). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF3 and C4F8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF3 is roughly 30 times the flow of C4F8, and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O2 is FC-free but shows only tolerable anisotropic results at -120 °C. The

  2. Hydrogen permeation properties of plasma-sprayed tungsten*1

    Science.gov (United States)

    Anderl, R. A.; Pawelko, R. J.; Hankins, M. R.; Longhurst, G. R.; Neiser, R. A.

    1994-09-01

    Tungsten has been proposed as a plasma-facing component material for advanced fusion facilities. This paper reports on laboratory-scale studies that were done to assess the hydrogen permeation properties of plasma-sprayed tungsten for such applications. The work entailed deuterium permeation measurements for plasma-sprayed (PS) tungsten coatings, sputter-deposited (SP) tungsten coatings, and steel substrate material using a mass-analyzed, 3 keV D 3+ ion beam with fluxes of ˜6.5 × 10 19 D/m 2 s. Extensive characterization analyses for the plasma-sprayed tungsten coatings were made using Auger spectrometry and scanning electron microscopy (SEM). Observed permeation rates through composite PS-tungsten/steel specimens were several orders of magnitude below the permeation levels observed for SP-tungsten/steel composite specimens and pure steel specimens. Characterization analyses indicated that the plasma-sprayed tungsten coating had a nonhomogeneous microstructure that consisted of splats with columnar solidification, partially-melted particles with grain boundaries, and void regions. Reduced permeation levels can be attributed to the complex microstructure and a substantial surface-connected porosity.

  3. Preparation of hydrogenated-TiO2/Ti double layered thin films by water vapor plasma treatment

    International Nuclear Information System (INIS)

    Pranevicius, L.L.; Milcius, D.; Tuckute, S.; Gedvilas, K.

    2012-01-01

    Highlights: ► We investigated reaction of water plasma with nanocrystalline TiO 2 films. ► Simultaneous oxidation and hydrogenation of Ti was observed during plasma treatment. ► Water plasma treatment forms hydrogenated nanocrystalline TiO 2 in the shallow surface. - Abstract: We have investigated the structural and compositional variations in 200–500 nm thick Ti films deposited by magnetron sputter-deposition technique and treated in water vapor plasma at different processing powers. It was found that the upper layer of treated film with the thickness of 110 nm was changed into the black hydrogenated-TiO 2 with around 16 nm sized nanocystals during 10 min for dissipated power 200 W at room temperature. Analysis of the experimental results is used to obtain insights into the effects of water layer adsorbed on hydrophilic oxidized titanium surfaces exposed to plasma radiation.

  4. Etching and anti-etching strategy for sensitive colorimetric sensing of H2O2 and biothiols based on silver/carbon nanomaterial.

    Science.gov (United States)

    Hou, Wenli; Liu, Xiaoying; Lu, Qiujun; Liu, Meiling; Zhang, Youyu; Yao, Shouzhuo

    2018-02-01

    In this paper, the colorimetric sensing of H 2 O 2 related molecules and biothiols based on etching and anti-etching strategy was firstly proposed. Ag/carbon nanocomposite (Ag/C NC) was served as the sensing nanoprobe, which was synthesized via carbon dots (C-dots) as the reductant and stabilizer. The characteristic surface plasmon resonance (SPR) absorbance of Ag nanoparticles (AgNPs) was sensitive to the amount of hydrogen peroxide (H 2 O 2 ). It exhibited strong optical responses to H 2 O 2 with the solution colour changing from yellow to nearly colourless, which is resulted from the etching of Ag by H 2 O 2 . The sensing platform was further extended to detect H 2 O 2 related molecules such as lactate in coupling with the specific catalysis oxidation of L-lactate by lactate oxidase (LOx) and formation of H 2 O 2 . It provides wide linear range for detecting H 2 O 2 in 0.1-80μM and 80-220μM with the detection limit as low as 0.03μM (S/N=3). In the presence of biothiols, the etching from the H 2 O 2 can be hampered. Other biothiols exhibit anti-etching effects well. The strategy works well in detecting of typical biothiols including cysteine (Cys), homocysteine (Hcy) and glutathione (GSH). Thus, a simple colorimetric strategy for sensitive detection of H 2 O 2 and biothiols is proposed. It is believed that the colorimetric sensor based on etching and anti-etching strategy can be applied in other systems in chemical and biosensing areas. Copyright © 2017 Elsevier B.V. All rights reserved.

  5. Effects of 3D microlens transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching

    Energy Technology Data Exchange (ETDEWEB)

    Grigaliūnas, Viktoras, E-mail: Viktoras.Grigaliunas@ktu.lt [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Jucius, Dalius; Lazauskas, Algirdas; Andrulevičius, Mindaugas; Sakaliūnienė, Jolita; Abakevičienė, Brigita; Kopustinskas, Vitoldas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania); Smetona, Saulius [Qorvo, 7628 Thorndike Road Greensboro, NC 27409 United States (United States); Tamulevičius, Sigitas [Institute of Materials Science, Kaunas University of Technology, Barsausko 59, LT-51423 Kaunas (Lithuania)

    2017-01-30

    Highlights: • The etching rate of PMMA is dependent on the plasma etching time. • The etching rate ratio between PMMA and fused silica vary during plasma treatment. • The etching rate ratio variation must be assessed during the microlens design phase. - Abstract: Nowadays, 3D microoptical elements find a variety of applications from light emitting diodes and household appliances to precise medical endoscopes. Such elements, fabricated in a fused silica substrate by combining 3D e-beam patterning and dry etching, can be used as a mold for the high throughput replication in polymeric materials by UV nanoimprint technique. Flexible and precise control of 3D shape in the resist layer can be achieved by e-beam patterning, but it is also very important to know peculiarities of 3D pattern transfer from resist layer into the fused silica substrate. This paper reports on the effects of PMMA 3D microlens pattern transfer into fused silica substrate by CF{sub 4}/O{sub 2} dry etching. It is demonstrated that etching rate ratio between PMMA and fused silica changes during plasma treatment. Thus, the resulting shape of transferred 3D profile is different from the shape in PMMA and this variation must be assessed during the design phase.

  6. Hydrogen pumping and release by graphite under high flux plasma bombardment

    International Nuclear Information System (INIS)

    Hirooka, Y.; Leung, W.K.; Conn, R.W.; Goebel, D.M.; Labombard, B.; Nygren, R.; Wilson, K.L.

    1988-01-01

    Inert gas (helium or argon) plasma bombardment has been found to increase the surface gas adsorptivity of isotropic graphite (POCO-graphite), which can then getter residual gases in a high vacuum system. The inert gas plasma bombardment was carried out at a flux ∼ 1 x 10 18 ions s -1 cm -2 to a fluence of the order of 10 21 ions/cm 2 and at temperatures around 800 degree C. The plasma bombarding energy was varied between 100 and 200 eV. The gettering speed of the activated graphite surface is estimated to be as large as 25 liters s -1 cm -2 at total pressures between 10 -6 and 10 -7 torr. The gettering capacity estimated is 0.025 torr-liter/cm 2 at room temperature. The gettering capability of graphite can be easily recovered by repeating inert gas plasma bombardment. The activated graphite surface exhibits a smooth, sponge-like morphology with significantly increased pore openings, which correlates with the observed increase in the surface gas adsorptivity. The activated graphite surface has been observed to pump hydrogen plasma particles as well. From calibrated H-alpha measurements, the dynamic hydrogen retention capacity is evaluated to be as large as 2 x 10 18 H/cm 2 at temperatures below 100 degree C and at a plasma bombarding energy of 300 eV

  7. Fabrication of a Silicon Nanowire on a Bulk Substrate by Use of a Plasma Etching and Total Ionizing Dose Effects on a Gate-All-Around Field-Effect Transistor

    Science.gov (United States)

    Moon, Dong-Il; Han, Jin-Woo; Meyyappan, Meyya

    2016-01-01

    The gate all around transistor is investigated through experiment. The suspended silicon nanowire for the next generation is fabricated on bulk substrate by plasma etching method. The scallop pattern generated by Bosch process is utilized to form a floating silicon nanowire. By combining anisotropic and istropic silicon etch process, the shape of nanowire is accurately controlled. From the suspended nanowire, the gate all around transistor is demonstrated. As the silicon nanowire is fully surrounded by the gate, the device shows excellent electrostatic characteristics.

  8. Plasma processing methods for hydrogen production

    International Nuclear Information System (INIS)

    Mizeraczyk, J.; Jasinski, M.

    2016-01-01

    In the future a transfer from the fossil fuel-based economy to hydrogen-based economy is expected. Therefore the development of systems for efficient H_2 production becomes important. The several conventional methods of mass-scale (or central) H_2 production (methane, natural gas and higher hydrocarbons reforming, coal gasification reforming) are well developed and their costs of H_2 production are acceptable. However, due to the H_2 transport and storage problems the small-scale (distributed) technologies for H_2 production are demanded. However, these new technologies have to meet the requirement of producing H_2 at a production cost of $(1-2)/kg(H_2) (or 60 g(H_2)/kWh) by 2020 (the U.S. Department of Energy's target). Recently several plasma methods have been proposed for the small-scale H_2 production. The most promising plasmas for this purpose seems to be those generated by gliding, plasmatron and nozzle arcs, and microwave discharges. In this paper plasma methods proposed for H_2 production are briefly described and critically evaluated from the view point of H_2 production efficiency. The paper is aiming at answering a question if any plasma method for the small-scale H_2 production approaches such challenges as the production energy yield of 60 g(H_2)/kWh, high production rate, high reliability and low investment cost. (authors)

  9. The Langmuir isotherm and the standard model of ion-assisted etching

    International Nuclear Information System (INIS)

    Lieberman, M A

    2009-01-01

    Langmuir is lured to the General Electric Research Laboratory, where he creates a new science-surface chemistry-and christens another-plasma. His atomistic views of gas-surface interactions are extended 65 years later to describe ion-assisted plasma etching, an indispensable process in modern semiconductor device manufacturing.

  10. Surface kinetics of Bi4-xLaxTi3O12 films etched in a CF4/Ar gas chemistry

    International Nuclear Information System (INIS)

    Kim, Dong-Pyo; Kim, Kyoung-Tae; Efremov, A. M.; Kim, Chang-Il

    2004-01-01

    The surface reactions and the etch rate of Bi 4-x La x Ti 3 O 12 (BLT) films in a CF 4 /Ar plasma were investigated in an inductively coupled plasma (ICP) reactor in terms of the gas mixing ratio. The variation of relative volume densities for the F and the Ar atoms were measured with optical emission spectroscopy (OES). The maximum etch rate of 803 A/min was obtained in a CF 4 (20 %)/Ar(80 %) plasma. The presence of a maximum in the BLT etch rate at CF 4 (20 %)/Ar(80 %) may be explained by the concurrence of two etching mechanisms, physical sputtering and chemical reaction. Ar-ion bombardment played roles of destroying the metal (Bi, La, Ti)-O bonds and assisting the chemical reaction between metal and fluorine atoms. The chemical states of BLT were investigated using X-ray photoelectron spectroscopy (XPS), which confirmed the existence of nonvolatile etch byproducts (La-fluorides).

  11. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    Science.gov (United States)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  12. Total hydrogen and oxygen fluxes in the edge plasma of tokamaks

    International Nuclear Information System (INIS)

    Kastelewicz, H.

    1988-01-01

    A relativistic model of the edge plasma of tokamaks is described considering the primary neutral fluxes emitted from limiter and wall. The primary neutrals, which determine essentially the particle flux balance in the plasma edge, the scrape-off layer plasma and the particles adsorbed at limiter and wall are treated as separate subsystems which are iteratively coupled through the mutual particle sinks and sources. The model is used for the calculation of total hydrogen and oxygen fluxes in edge plasma of tokamaks. The results for different fractions of and contributions to the total fluxes are illustrated and discussed

  13. Recent Advances on Hydrogenic Retention in ITER's Plasma-Facing Materials: BE, C, W

    International Nuclear Information System (INIS)

    Skinner, C.H.; Haasz, A.A.; Alimov, V.Kh.; Bekris, N.; Causey, R.A.; Clark, R.E.H.; Coad, J.P.; Davis, J.W.; Doerner, R.P.; Mayer, M.; Pisarev, A.; Roth, J.; Tanabe, T.

    2008-01-01

    Management of tritium inventory remains one of the grand challenges in the development of fusion energy and the choice of plasma-facing materials is a key factor for in-vessel tritium retention. The Atomic and Molecular Data Unit of the International Atomic Energy Agency organized a Coordinated Research Project (CRP) on the overall topic of tritium inventory in fusion reactors during the period 2001-2006. This dealt with hydrogenic retention in ITER's plasma-facing materials, Be, C, W, and in compounds (mixed materials) of these elements as well as tritium removal techniques. The results of the CRP are summarized in this article together with recommendations for ITER. Basic parameters of diffusivity, solubility and trapping in Be, C and W are reviewed. For Be, the development of open porosity can account for transient hydrogenic pumping but long term retention will be dominated by codeposition. Codeposition is also the dominant retention mechanism for carbon and remains a serious concern for both Be and C containing layers. Hydrogenic trapping in unirradiated tungsten is low but will increase with ion and neutron damage. Mixed materials will be formed in a tokamak and these can also retain significant amounts of hydrogen isotopes. Oxidative and photon-based techniques for detritiation of plasma-facing components are described

  14. Recent Advances on Hydrogenic Retention in ITER's Plasma-Facing Materials: BE, C, W.

    Energy Technology Data Exchange (ETDEWEB)

    Skinner, C H; Alimov, Kh; Bekris, N; Causey, R A; Clark, R.E.H.; Coad, J P; Davis, J W; Doerner, R P; Mayer, M; Pisarev, A; Roth, J

    2008-03-29

    Management of tritium inventory remains one of the grand challenges in the development of fusion energy and the choice of plasma-facing materials is a key factor for in-vessel tritium retention. The Atomic and Molecular Data Unit of the International Atomic Energy Agency organized a Coordinated Research Project (CRP) on the overall topic of tritium inventory in fusion reactors during the period 2001-2006. This dealt with hydrogenic retention in ITER's plasma-facing materials, Be, C, W, and in compounds (mixed materials) of these elements as well as tritium removal techniques. The results of the CRP are summarized in this article together with recommendations for ITER. Basic parameters of diffusivity, solubility and trapping in Be, C and W are reviewed. For Be, the development of open porosity can account for transient hydrogenic pumping but long term retention will be dominated by codeposition. Codeposition is also the dominant retention mechanism for carbon and remains a serious concern for both Be and C containing layers. Hydrogenic trapping in unirradiated tungsten is low but will increase with ion and neutron damage. Mixed materials will be formed in a tokamak and these can also retain significant amounts of hydrogen isotopes. Oxidative and photon-based techniques for detritiation of plasma-facing components are described.

  15. THE PHOTOELECTROCHEMICAL ETCHING AS A TOOL FOR GaN GAS SENSOR FABRICATION

    OpenAIRE

    V.Iu. Popa

    2005-01-01

    Whisker and columnar structures of GaN were fabricated using photoelectrochemical etching in KOH solution. The conductivity changes of the obtained structures to ethylic alcohol and hydrogen were studied. Optimized design for sensor fabrication is proposed.

  16. Unbiased roughness measurements: the key to better etch performance

    Science.gov (United States)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  17. Inductively coupled plasma nanoetching of atomic layer deposition alumina

    DEFF Research Database (Denmark)

    Han, Anpan; Chang, Bingdong; Todeschini, Matteo

    2018-01-01

    such as silicon dioxide, silicon nitride, and diamond. In this report, we systematically study nanoscale plasma etching of Al2O3 with electron beam lithography and deep UV resist masks. The gas composition and pressure were tuned for optimal etching, and redeposition conditions were mapped. With a BCl3 and Ar...... the resist profile angle. For Al2O3 patterned with deep UV lithography, the smallest structures were 220 nm. For electron beam lithography patterns, the smallest gratings were 18-nm-wide with 50-nm-pitch. Using alumina as a hard mask, we show aspect ratio of 7-10 for subsequent silicon plasma etching, and we......Al2O3 thin-film deposited by atomic layer deposition is an attractive plasma etch mask for Micro and Nano Electro-Mechanical Systems (MEMS and NEMS). 20-nm-thick Al2O3 mask enables through silicon wafer plasma etching. Al2O3 is also an excellent etch mask for other important MEMS materials...

  18. Industrial applications of plasma, microwave and ultrasound techniques : nitrogen-fixation and hydrogenation reactions

    NARCIS (Netherlands)

    Hessel, V.; Cravotto, G.; Fitzpatrick, P.; Patil, B.S.; Lang, J.; Bonrath, W.

    2013-01-01

    The MAPSYN project (Microwave, Acoustic and Plasma assisted SYNtheses) aims at nitrogen-fixation reactions intensified by plasma catalysis and selective hydrogenations intensified by microwaves, possibly assisted by ultrasound. Energy efficiency is the key motif of the project and the call of the

  19. Increase of hot initial plasma energy content in the end system of AMBAL-M during hydrogen puffing

    International Nuclear Information System (INIS)

    Akhmetov, Timour; Bekher, Sergei; Davydenko, Vladimir; Krivenko, Aleksander; Muraviev, Maksim; Reva, Vladimir; Sokolov, Vladimir

    2001-01-01

    At the end system of the completely axisymmetric mirror trap AMBAL-M the experiments on creation and study of a hot initial plasma have been performed. In the experiments a gas-box was used for hydrogen supply into the hot startup plasma in the mirror trap to increase the plasma density. The hot initial plasma in the trap was produced by the trapping of a plasma stream with developed electrostatic turbulence generated by a gas-discharge source located outside the entrance throat. It was found that in addition to the increase in the plasma density by a factor of 2-3, hydrogen puffing resulted in an unexpected nearly twofold diamagnetism increase. The gas puffing did not reduce the electron temperature in the trap. Essential for explanation of the observed effect is the fact that with the gas puffing the measured plasma potential in the trap increased. The increase in the plasma potential enhanced the trapping of the ion flow entering the trap and increased the average energy of the electron flow entering the trap. It was found that with the increasing hydrogen puffing rate plasma parameters in the trap were saturated. (author)

  20. Interactions of solid and liquid lithium with steady state hydrogen and helium plasmas

    International Nuclear Information System (INIS)

    Hirooka, Y.; Nishikawa, M.; Ohgaki, H.; Ohtsuka, Y.

    2005-01-01

    A variety of innovative Plasma-Facing Component (PFC) concepts, employing moving solid or liquid surfaces, have recently been proposed in order to resolve technical issues, associated with the applications of currently used PFCs in future steady state fusion devices. As the first step to evaluate the concept using flowing-liquids for PFCs, steady state hydrogen and helium plasma interactions with solid and standing liquid lithium have been investigated in the present work, using the H α and He-I spectroscopy at the ion bombarding energies up to 150eV and at the lithium temperatures between room temperature and 480 deg C. Data indicate that hydrogen recycling over liquid lithium is clearly reduced, relative to that over solid lithium, whereas helium recycling does not show the same trend. From the kinetic analysis of these recycling time constant data, the activation energies for the overall recycling processes have been evaluated to be 0.02±0.01eV, both for hydrogen and helium plasmas. Also, it has been found that the activation energy is nearly independent of ion bombarding energy. (author)

  1. High effective heterogeneous plasma vortex reactor for production of heat energy and hydrogen

    Science.gov (United States)

    Belov, N. K.; Zavershinskii, I. P.; Klimov, A. I.; Molevich, N. E.; Porfiriev, D. P.; Tolkunov, B. N.

    2018-03-01

    This work is a continuation of our previous studies [1-10] of physical parameters and properties of a long-lived heterogeneous plasmoid (plasma formation with erosive nanoclusters) created by combined discharge in a high-speed swirl flow. Here interaction of metal nanoclusters with hydrogen atoms is studied in a plasma vortex reactor (PVR) with argon-water steam mixture. Metal nanoclusters were created by nickel cathode’s erosion at combined discharge on. Dissociated hydrogen atoms and ions were obtained in water steam by electric discharge. These hydrogen atoms and ions interacted with metal nanoclusters, which resulted in the creation of a stable plasmoid in a swirl gas flow. This plasmoid has been found to create intensive soft X-ray radiation. Plasma parameters of this plasmoid were measured by optical spectroscopy method. It has been obtained that there is a high non-equilibrium plasmoid: Te > TV >> TR. The measured coefficient of energy performance of this plasmoid is about COP = 2÷10. This extra power release in plasmoid is supposed to be connected with internal excited electrons. The obtained experimental results have proved our suggestion.

  2. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    Science.gov (United States)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  3. Hydrogen and helium recycling from stirred liquid lithium under steady state plasma bombardment

    Energy Technology Data Exchange (ETDEWEB)

    Hirooka, Yoshi, E-mail: hirooka.yoshihiko@nifs.ac.jp [National Institute for Fusion Science, 322-6 Oroshi, Toki, Gifu 509-5292 (Japan); The Graduate School for Advanced Studies, 322-6 Oroshi, Toki, Gifu 509-5292 (Japan); Zhou, Haishan [The Graduate School for Advanced Studies, 322-6 Oroshi, Toki, Gifu 509-5292 (Japan); Ono, Masa [Princeton Plasma Physics Laboratory, PO Box 451, Princeton, NJ 08543 (United States)

    2014-12-15

    For improved core performance via edge plasma-wall boundary control, solid and liquid lithium has been used as a plasma-facing material in a number of confinement experiments over the past several decades. Unfortunately, it is unavoidable that lithium is saturated in the surface region with implanted hydrogenic species as well as oxygen-containing impurities. For steady state operation, a flowing liquid lithium divertor with forced convection would probably be required. In the present work, the effects of liquid stirring to simulate forced convection have been investigated on the behavior of hydrogen and helium recycling from molten lithium at temperatures up to ∼350 °C. Data indicate that liquid stirring reactivates hydrogen pumping via surface de-saturation and/or uncovering impurity films, but can also induce helium release via surface temperature change.

  4. Dense hydrogen plasma: Comparison between models

    International Nuclear Information System (INIS)

    Clerouin, J.G.; Bernard, S.

    1997-01-01

    Static and dynamical properties of the dense hydrogen plasma (ρ≥2.6gcm -3 , 0.1< T<5eV) in the strongly coupled regime are compared through different numerical approaches. It is shown that simplified density-functional molecular-dynamics simulations (DFMD), without orbitals, such as Thomas-Fermi Dirac or Thomas-Fermi-Dirac-Weiszaecker simulations give similar results to more sophisticated descriptions such as Car-Parrinello (CP), tight binding, or path-integral Monte Carlo, in a wide range of temperatures. At very low temperature, screening effects predicted by DFMD are still less pronounced than CP simulations. copyright 1997 The American Physical Society

  5. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    Science.gov (United States)

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  6. Ion-sound oscillations in strongly non-isotherm weakly ionized nonuniform hydrogen plasma

    International Nuclear Information System (INIS)

    Leleko, Ya.F.; Stepanov, K.N.

    2010-01-01

    A stationary distribution of strongly non-isotherm weakly ionized hydrogen plasma parameters is obtained in the hydrodynamic approximation in a quasi neutrality region in the transient layer between the plasma and dielectric taking the ionization, charge exchange, diffusion, viscosity, and a self-consistent field potential distribution. The ion-sound oscillation frequency and the collisional damping decrement as functions of the wave vector in the plasma with the obtained parameters are found in the local approximation.

  7. Ar plasma induced deep levels in epitaxial n-GaAs

    International Nuclear Information System (INIS)

    Venter, A.; Nyamhere, C.; Botha, J. R.; Auret, F. D.; Janse van Rensburg, P. J.; Meyer, W. E.; Coelho, S. M. M.; Kolkovsky, V. l.

    2012-01-01

    Ar plasma etching of n-type (Si doped) GaAs introduces several electron traps (E c - 0.04 eV, E c - 0.07 eV, E c - 0.19 eV, E c - 0.31 eV, E c - 0.53 eV, and E c - 0.61 eV). The trap, E c - 0.04 eV, labelled E1' and having a trap signature similar to irradiation induced defect E1, appears to be metastable. E c - 0.31 eV and E c - 0.61 eV are metastable too and they are similar to the M3/M4 defect configuration present in hydrogen plasma exposed n-GaAs.

  8. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    Science.gov (United States)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  9. A secondary fuel removal process: plasma processing

    Energy Technology Data Exchange (ETDEWEB)

    Min, J Y; Kim, Y S [Hanyang Univ., Seoul (Korea, Republic of); Bae, K K; Yang, M S [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    1997-07-01

    Plasma etching process of UO{sub 2} by using fluorine containing gas plasma is studied as a secondary fuel removal process for DUPIC (Direct Use of PWR spent fuel Into Candu) process which is taken into consideration for potential future fuel cycle in Korea. CF{sub 4}/O{sub 2} gas mixture is chosen for reactant gas and the etching rates of UO{sub 2} by the gas plasma are investigated as functions of CF{sub 4}/O{sub 2} ratio, plasma power, substrate temperature, and plasma gas pressure. It is found that the optimum CF{sub 4}/O{sub 2} ratio is around 4:1 at all temperatures up to 400 deg C and the etching rate increases with increasing r.f. power and substrate temperature. Under 150W r.f. power the etching rate reaches 1100 monolayers/min at 400 deg C, which is equivalent to about 0.5mm/min. (author).

  10. Evaluation of surface fractal dimension of carbon for plasma-facing material damaged by hydrogen plasma

    International Nuclear Information System (INIS)

    Nishino, Nobuhiro

    1997-01-01

    The surface structure of the plasma facing materials (PFM) changes due to plasma-surface interaction in a nuclear fusion reactor. Usually B 4 C coated graphite block are used as PFM. In this report, the surface fractal was applied to study the surface structure of plasma-damaged PFM carbon. A convenient flow-type adsorption apparatus was developed to evaluate the surface fractal dimension of materials. Four branched alkanol molecules with different apparent areas were used as the probe adsorbates. The samples used here were B 4 C coated isotopic graphite which were subjected to hydrogen plasma for various periods of exposure. The monolayer capacities of these samples for alkanols were determined by applying BET theory. The surface fractal dimension was calculated using the monolayer capacities and molecular areas for probe molecules and was found to increase from 2 to 3 with the plasma exposure time. (author)

  11. Atomic layer deposition of Al2O3 on GaSb using in situ hydrogen plasma exposure

    International Nuclear Information System (INIS)

    Ruppalt, Laura B.; Cleveland, Erin R.; Champlain, James G.; Prokes, Sharka M.; Brad Boos, J.; Park, Doewon; Bennett, Brian R.

    2012-01-01

    In this report, we study the effectiveness of hydrogen plasma surface treatments for improving the electrical properties of GaSb/Al 2 O 3 interfaces. Prior to atomic layer deposition of an Al 2 O 3 dielectric, p-GaSb surfaces were exposed to hydrogen plasmas in situ, with varying plasma powers, exposure times, and substrate temperatures. Good electrical interfaces, as indicated by capacitance-voltage measurements, were obtained using higher plasma powers, longer exposure times, and increasing substrate temperatures up to 250 °C. X-ray photoelectron spectroscopy reveals that the most effective treatments result in decreased SbO x , decreased Sb, and increased GaO x content at the interface. This in situ hydrogen plasma surface preparation improves the semiconductor/insulator electrical interface without the use of wet chemical pretreatments and is a promising approach for enhancing the performance of Sb-based devices.

  12. Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer

    International Nuclear Information System (INIS)

    Setsuhara, Yuichi; Cho, Ken; Takenaka, Kosuke; Shiratani, Masaharu; Sekine, Makoto; Hori, Masaru

    2010-01-01

    A plasma-process analyzer has been developed on the basis of combinatorial method, in which process examinations with continuous variations of plasma-process conditions can be carried out on a substrate holder with an inclined distribution of process parameters. Combinatorial plasma-process analyses have been demonstrated for examinations of plasma-polymer interactions in terms of etching characteristics and surface morphologies in order to show feasibility and effectiveness of the methodology as advanced research and development for next-generation plasma nano processes. The etching properties and surface morphologies have been investigated for polyethylene terephthalate (PET) films exposed to argon-oxygen mixture plasmas. The etching depth data obtained from three independent batches of the experiments showed universal and almost linear dependence with increasing product of (ion saturation current) x (exposure time); i.e. ion dose. Surface roughness of the polymer slightly increased with increasing ion dose, while the mean spacing after plasma exposure was found to decrease monotonically with increasing ion dose but was saturated at the level of approximately 250 nm.

  13. Study of the hydrogen behavior in amorphous hydrogenated materials of type a - C:H and a - SiC:H facing fusion reactor plasma

    International Nuclear Information System (INIS)

    Barbier, G.

    1997-01-01

    Plasma facing components of controlled fusion test devices (tokamaks) are submitted to several constraints (irradiation, high temperatures). The erosion (physical sputtering and chemical erosion) and the hydrogen recycling (retention and desorption) of these materials influence many plasma parameters and thus affect drastically the tokamak running. First, we will describe the different plasma-material interactions. It will be pointed out, how erosion and hydrogen recycling are strongly related to both chemical and physical properties of the material. In order to reduce these interactions, we have selected two amorphous hydrogenated materials (a-C:H and a-SiC:H), which are known for their good thermal and chemical qualities. Some samples have been then implanted with lithium ions at different fluences. Our materials have been then irradiated with deuterium ions at low energy. From our results, it is shown that both the lithium implantation and the use of an a - SiC:H substrate can be beneficial in enhancing the hydrogen retention. These results were completed with thermal desorption studies of these materials. It was evidenced that the hydrogen fixation was more efficient in a-SiC:H than in a-C:H substrate. Results in good agreement with those described above have been obtained by exposing a - C:H and a - SiC:H samples to the scrape off layer of the tokamak of Varennes (TdeV, Canada). A modelling of hydrogen diffusion under irradiation has been also proposed. (author)

  14. The populations of excited levels of hydrogen-like and helium-like ions in plasmas traversed by neutral hydrogen beams

    International Nuclear Information System (INIS)

    Spence, J.; Summers, H.P.

    1985-01-01

    This paper examines the populations of levels of impurity ions in a spartially homogeneous plasma containing primarily thermal electrons and protons and monoenergetic neutral hydrogen atoms. Of special concern is the role of recombination which may include the radiative, three-body and dielectronic process together with charge exchange capture from neutral hydrogen beams. The influence of these primary processes on the population is modified by radiative transitions and redistributive transitions due to collisions with electrons and protons in the plasma. The behaviour of the populations of the ions C 5+ , C 4+ and Ar 16+ with variation of plasma parameters is explored in the present work. A bundled principal quantum level picture and a more elaborate LS resolved picture are used which allow investigation of the expected spectral emission and its sensitivity to uncertainty in the primary rates. The variation of the impurity ion spectrum in transiently recombining or ionising conditions is also considered. (orig.)

  15. Population inversion in a recombining hydrogen plasma interacting with a helium gas

    International Nuclear Information System (INIS)

    Oda, Toshiatsu; Furukane, Utaro.

    1984-08-01

    A numerical investigation has shown that the population inversion between the levels with the principal quantum number i=2 and 3 takes place in a recombining hydrogen plasma which is interacting with a cool and dense helium gas on the basis of a collisional- radiative (CR) model. Overpopulation density Δn 32 , which is defined as the difference between the population densities per unit statistical weight of the upper and lower excited levels 3 and 2, is found to be much higher than a threshold level for the laser oscillation in the quasi-steady state when the hydrogen plasma with nsub(e) = 10 13 --10 14 cm -3 interacts with the helium gas with pressure of --50 Torr. (author)

  16. Hydrogen concentration and distribution in high-purity germanium crystals

    International Nuclear Information System (INIS)

    Hansen, W.L.; Haller, E.E.; Luke, P.N.

    1981-10-01

    High-purity germanium crystals used for making nuclear radiation detectors are usually grown in a hydrogen ambient from a melt contained in a high-purity silica crucible. The benefits and problems encountered in using a hydrogen ambient are reviewed. A hydrogen concentration of about 2 x 10 15 cm -3 has been determined by growing crystals in hydrogen spiked with tritium and counting the tritium β-decays in detectors made from these crystals. Annealing studies show that the hydrogen is strongly bound, either to defects or as H 2 with a dissociation energy > 3 eV. This is lowered to 1.8 eV when copper is present. Etching defects in dislocation-free crystals grown in hydrogen have been found by etch stripping to have a density of about 1 x 10 7 cm -3 and are estimated to contain 10 8 H atoms each

  17. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    Science.gov (United States)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  18. Molecular dynamic simulation study of plasma etching L10 FePt media in embedded mask patterning (EMP) process

    OpenAIRE

    Jianxin Zhu; P. Quarterman; Jian-Ping Wang

    2017-01-01

    Plasma etching process of single-crystal L10-FePt media [H. Wang et al., Appl. Phys. Lett. 102(5) (2013)] is studied using molecular dynamic simulation. Embedded-Atom Method [M. S. Daw and M. I. Baskes, Phy. Rev. B 29, 6443 (1984); X. W. Zhou, R. A. Johnson and H. N. G. Wadley, Phy. Rev. B 69, 144113 (2004)] is used to calculate the interatomic potential within atoms in FePt alloy, and ZBL potential [J.F. Ziegler, J. P. Biersack and U. Littmark, “The Stopping and Range of Ions in Matter,” Vol...

  19. Hydrogen Generation by Koh-Ethanol Plasma Electrolysis Using Double Compartement Reactor

    Science.gov (United States)

    Saksono, Nelson; Sasiang, Johannes; Dewi Rosalina, Chandra; Budikania, Trisutanti

    2018-03-01

    This study has successfully investigated the generation of hydrogen using double compartment reactor with plasma electrolysis process. Double compartment reactor is designed to achieve high discharged voltage, high concentration, and also reduce the energy consumption. The experimental results showed the use of double compartment reactor increased the productivity ratio 90 times higher compared to Faraday electrolysis process. The highest hydrogen production obtained is 26.50 mmol/min while the energy consumption can reach up 1.71 kJ/mmol H2 at 0.01 M KOH solution. It was shown that KOH concentration, addition of ethanol, cathode depth, and temperature have important effects on hydrogen production, energy consumption, and process efficiency.

  20. Laser diagnostics of atomic hydrogen and oxygen production in rf and microwave plasma discharges

    International Nuclear Information System (INIS)

    Preppernau, B.L.

    1993-01-01

    The research for this thesis involved the application of two-photon allowed laser-induced fluorescence (TALIF) to the study of atomic hydrogen and oxygen production in industrial scale radio-frequency and microwave plasma discharge apparatus. Absolute atomic hydrogen concentration profiles were measured in a Gaseous Electronics Conference Reference Cell installed at Wright-Patterson AFB, Ohio operating with a simple H 2 discharge. Two-dimensional atomic hydrogen concentration profiles were also measured in an ASTEX HPMM microwave plasma diamond deposition reactor during actual diamond growth. In addition absolute atomic oxygen concentrations were measured in the ASTEX system. Particular attention as paid to refining the concentration calibration technique and in determining a correction to account for the collisional quenching of excited state fluorescence in high pressure gases

  1. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  2. Negative-ion production on carbon materials in hydrogen plasma : influence of the carbon hybridization state and the hydrogen content on H- yield

    NARCIS (Netherlands)

    Ahmad, A.; Pardanaud, C.; Carrère, M.; Layet, J.M.; Gicquel, A.; Kumar, P.; Eon, D.; Jaoul, C.; Engeln, R.A.H.; Cartry, G.

    2014-01-01

    Highly oriented polycrystalline graphite (HOPG), boron-doped diamond (BDD), nanocrystalline diamond, ultra-nanocrystalline diamond and diamond-like carbon surfaces are exposed to low-pressure hydrogen plasma in a 13.56 MHz plasma reactor. Relative yields of surface-produced H- ions due to

  3. Etch bias inversion during EUV mask ARC etch

    Science.gov (United States)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  4. Study of the hydrogen behavior in amorphous hydrogenated materials of type a - C:H and a - SiC:H facing fusion reactor plasma; Etude du comportament de l`hydrogene dans des materiaux amorphes hydrogenes de type a - C:H et a - SiC:H devant faire face au plasma des reacteurs a fusion

    Energy Technology Data Exchange (ETDEWEB)

    Barbier, G. [Lyon-1 Univ., 69 - Villeurbanne (France). Inst. de Physique Nucleaire

    1997-04-10

    Plasma facing components of controlled fusion test devices (tokamaks) are submitted to several constraints (irradiation, high temperatures). The erosion (physical sputtering and chemical erosion) and the hydrogen recycling (retention and desorption) of these materials influence many plasma parameters and thus affect drastically the tokamak running. First, we will describe the different plasma-material interactions. It will be pointed out, how erosion and hydrogen recycling are strongly related to both chemical and physical properties of the material. In order to reduce these interactions, we have selected two amorphous hydrogenated materials (a-C:H and a-SiC:H), which are known for their good thermal and chemical qualities. Some samples have been then implanted with lithium ions at different fluences. Our materials have been then irradiated with deuterium ions at low energy. From our results, it is shown that both the lithium implantation and the use of an a - SiC:H substrate can be beneficial in enhancing the hydrogen retention. These results were completed with thermal desorption studies of these materials. It was evidenced that the hydrogen fixation was more efficient in a-SiC:H than in a-C:H substrate. Results in good agreement with those described above have been obtained by exposing a - C:H and a - SiC:H samples to the scrape off layer of the tokamak of Varennes (TdeV, Canada). A modelling of hydrogen diffusion under irradiation has been also proposed. (author) 176 refs.

  5. Decomposition of methane hydrate for hydrogen production using microwave and radio frequency in-liquid plasma methods

    International Nuclear Information System (INIS)

    Rahim, Ismail; Nomura, Shinfuku; Mukasa, Shinobu; Toyota, Hiromichi

    2015-01-01

    This research involves two in-liquid plasma methods of methane hydrate decomposition, one using radio frequency wave (RF) irradiation and the other microwave radiation (MW). The ultimate goal of this research is to develop a practical process for decomposition of methane hydrate directly at the subsea site for fuel gas production. The mechanism for methane hydrate decomposition begins with the dissociation process of methane hydrate formed by CH_4 and water. The process continues with the simultaneously occurring steam methane reforming process and methane cracking reaction, during which the methane hydrate is decomposed releasing CH_4 into H_2, CO and other by-products. It was found that methane hydrate can be decomposed with a faster rate of CH_4 release using microwave irradiation over that using radio frequency irradiation. However, the radio frequency plasma method produces hydrogen with a purity of 63.1% and a CH conversion ratio of 99.1%, which is higher than using microwave plasma method which produces hydrogen with a purity of 42.1% and CH_4 conversion ratio of 85.5%. - Highlights: • The decomposition of methane hydrate is proposed using plasma in-liquid method. • Synthetic methane hydrate is used as the sample for decomposition in plasma. • Hydrogen can be produced from decomposition of methane hydrate. • Hydrogen purity is higher when using radio frequency stimulation.

  6. Reactive ion etching of GaSb, (Al,Ga)Sb, and InAs for novel device applications

    International Nuclear Information System (INIS)

    LaTulipe, D.C.; Frank, D.J.; Munekata, H.

    1991-01-01

    Although a variety of novel device proposals for GaSb/(Al,Ga)Sb/InAs heterostructures have been made, relatively little is known about processing these materials. The authors of this paper have studied the reactive ion etching characteristics of GaSb, (Al,Ga)Sb, and InAs in both methane/hydrogen and chlorine gas chemistries. At conditions similar to those reported elsewhere for RIE of InP and GaAs in CH 4 /H 2 , the etch rate of (Al,Ga)Sb was found to be near zero, while GaSb and InAs etched at 200 Angstrom/minute. Under conditions where the etch mechanism is primarily physical sputtering, the three compounds etch at similar rates. Etching in Cl 2 was found to yield anistropic profiles, with the etch rate of (Al,Ga)Sb increasing with Al mole fraction, while InAs remains unetched. Damage to the InAs stop layer was investigated by sheet resistance and mobility measurements. These etching techniques were used to fabricate a novel InAs- channel FET composed of these materials. Several scanning electron micrographs of etching results are shown along with preliminary electrical characteristics

  7. Multiphoton ionization for hydrogen plasma diagnostics

    International Nuclear Information System (INIS)

    Bonnie, J.H.M.

    1987-01-01

    In this thesis the processes leading to the formation of negative ions (H - ) in hydrogen discharges are studied. These ions enable efficient production of a beam of fast neutral particles. Such beams are applied in nuclear fusion research. A model has been generally accepted in which H - is formed by means of dissociative attachment (DA) of electrons to vibrationally excited hydrogen molecules [H 2 (υ'')] molecule: when υ'' is low, electron emission is most probable, but when υ'' is high, H - production dominates. A necessary preliminary to the DA process is the presence of sufficient [H 2 (υ'')] molecules with υ'' > 4. By determining the densities of hydrogen molecules in the various vibrational levels as a function of the various discharge parameters (scaling laws), insight can be gained into the extent to which the DA process contributes to H - formation. Since the de-excitation of [H 2 (υ'')] molecules by H atoms is expected to have a large cross section, it is also relevant to determine the scaling laws for atomic hydrogen. This thesis gives an account of the development of an experimental setup for obtaining such measurements, and reports the first results achieved. In view of the anticipated density of the vibrationally excited molecules and the detection limit considered feasible, the diagnostic chosen was resonance-enhanced multiphoton ionization (REMPI). The principle is based on state-selective ionization with REMPI of particles effusing from the discharge chamber through an aperture in the wall. The ions produced in the REMPI-process are then detected. The use of both an electric and a magnetic field makes it possible to distinguish the REMPI ions from those originating elsewhere, such as plasma ions or photodesorption ions. 145 refs.; 25 figs.; 6 tabs

  8. Hydrogen retention properties of co-deposition under high-density plasmas in TRIAM-1M

    International Nuclear Information System (INIS)

    Tokitani, M.; Miyamoto, M.; Tokunaga, K.; Fujiwara, T.; Yoshida, N.; Sakamoto, M.; Zushi, H.; Hanada, K.; TRIAM Group,; Nagata, S.; Tsuchiya, B.

    2007-01-01

    Retention of hydrogen in co-deposits formed under high-density plasma discharge in TRIAM-1M was studied. In order to quantify the retained hydrogen, material probe experiments were performed under the high-density (n at e ∼10 19 m -3 ) discharges. After the exposure to the plasma, the quantitative analysis of deposition, hydrogen retention, and microscopic modification of specimens were performed by means of ion beam analysis and transmission electron microscopy. The co-deposits mainly consisted of Mo. The deposition rate of Mo was about ten times higher than that of the low-density discharge case. The hydrogen concentrations (H/Mo) retained in the co-deposits were 0.06-0.17, which was much higher than that in bulk-Mo and almost equal to the low-density case. These results indicate that as long as the co-deposition layers are continuously formed, strong wall pumping in TRIAM-1M is maintained during the discharges

  9. Electron cyclotron resonance hydrogen/helium plasma characterization and simulation of pumping in tokamaks

    International Nuclear Information System (INIS)

    Outten, C.A.

    1992-01-01

    Electron Cyclotron Resonance (ECR) plasmas have been employed to simulate the plasma conditions at the edge of a tokamak in order to investigate hydrogen/helium uptake in thin metal films. The process of microwave power absorption, important to characterizing the ECR plasma source, was investigated by measuring the electron density and temperature with a Langmuir probe and optical spectroscopy as a function of the magnetic field gradient and incident microwave power. A novel diagnostic, carbon resistance probe, provided a direct measure of the ion energy and fluence while measurements from a Langmuir probe were used for comparison. The Langmuir probe gave a plasma potential minus floating potential of 30 ± 5 eV, in good agreement with the carbon resistance probe result of ion energy ≤ 40 eV. The measured ion energy was consistent with the ion energy predicted from a model based upon divergent magnetic field extraction. Also, based upon physical sputtering of the carbon, the hydrogen fluence rate was determined to be 1 x 10 16 /cm 2 -sec for 50 Watts of incident microwave power. ECR hydrogen/helium plasmas were used to study preferential pumping of helium in candidate materials for tokamak pump-limiters: nickel, vanadium, aluminum, and nickel/aluminum multi-layers. Nickel and vanadium exhibited similar pumping capacities whereas aluminum showed a reduced capacity due to increased sputtering. A helium retention model based upon ion implantation ranges and sputtering rates agreed with the experimental data. A new multilayer/bilayer pumping concept showed improved pumping above that for single element films

  10. Strong temperature effect on X-ray photo-etching of polytetrafluoroethylene using a 10Hz laser-plasma radiation source based on a gas puff target

    Czech Academy of Sciences Publication Activity Database

    Bartnik, A.; Fiedorowicz, H.; Jarocki, R.; Juha, Libor; Kostecki, J.; Rakowski, R.; Szczurek, M.

    2006-01-01

    Roč. 82, - (2006), s. 529-532 ISSN 0946-2171 R&D Projects: GA MŠk(CZ) LC510 Grant - others:Ministery of Scientific Research(PL) 3 T08C 002 27 Institutional research plan: CEZ:AV0Z10100523 Keywords : photo-etching * organic polymers * laser-produced plasmas Subject RIV: BH - Optics, Masers, Lasers Impact factor: 2.023, year: 2006

  11. RF plasma-driven hydrogen permeation through a biased iron membrane

    International Nuclear Information System (INIS)

    Banno, T.; Waelbroeck, F.; Winter, J.

    1984-01-01

    The steady-state RF plasma-driven hydrogen permeation through an electrically biased iron membrane has been investigated as a function of the bias potential Vsub(M) for membrane temperatures in the range of 150-400 0 C. Vsub(M) has been gradually increased positively from the floating potential of the membrane. The permeation flux decreases when Vsub(M) increases at low voltages: positive hydrogen ions are repelled. The membrane temperature does not influence this effect measurably. The permeation flux starts to increase when Vsub(M) is raised higher, i.e. when energetic electrons strike the surface. This phenomenon shows a pronounced temperature dependence - the enhancement is largest for the lowest temperatures. The effect is interpreted in terms of an electron-induced dissociation of hydrogen molecules on the membrane surface. (orig.)

  12. Production of hydrogen and deuterium negative ions in an electron cyclotron resonance driven plasma

    Energy Technology Data Exchange (ETDEWEB)

    Dougar-Jabon, V.D. [Industrial Univ. of Santander, Bucaramanga (Colombia)

    2001-04-01

    An electron cyclotron resonance source with driven plasma rings for hydrogen isotope ion production is studied. Extracted currents of positive and negative ions depending on gas pressure, microwave power value and extraction voltage are obtained. The study shows that the negative ion yield is an order of magnitude higher than the yield of positive particles when a driven ring is in contact with the surface of the plasma electrode. The production of negative ions of deuterium, D{sup -}, is close to the production of negative ions of light hydrogen isotope, H{sup -}. The comparison of the experimental data with the calculated ones shows that the most probable process of the H{sup -} and D{sup -} ion formation in the electron cyclotron driven plasma is dissociative attachment of electrons to molecules in high Rydberg states. For hydrogen ions and ions of deuterium, the negative current at a microwave power of 200 W through a 3-mm aperture and 8 kV extraction voltage are 4.7 mA and 3.1 mA respectively. (orig.)

  13. Production of hydrogen and deuterium negative ions in an electron cyclotron resonance driven plasma

    International Nuclear Information System (INIS)

    Dougar-Jabon, V.D.

    2001-01-01

    An electron cyclotron resonance source with driven plasma rings for hydrogen isotope ion production is studied. Extracted currents of positive and negative ions depending on gas pressure, microwave power value and extraction voltage are obtained. The study shows that the negative ion yield is an order of magnitude higher than the yield of positive particles when a driven ring is in contact with the surface of the plasma electrode. The production of negative ions of deuterium, D - , is close to the production of negative ions of light hydrogen isotope, H - . The comparison of the experimental data with the calculated ones shows that the most probable process of the H - and D - ion formation in the electron cyclotron driven plasma is dissociative attachment of electrons to molecules in high Rydberg states. For hydrogen ions and ions of deuterium, the negative current at a microwave power of 200 W through a 3-mm aperture and 8 kV extraction voltage are 4.7 mA and 3.1 mA respectively. (orig.)

  14. Hard graphitelike hydrogenated amorphous carbon grown at high rates by a remote plasma

    DEFF Research Database (Denmark)

    Singh, Shailendra Vikram; Zaharia, T.; Creatore, M.

    2010-01-01

    Hydrogenated amorphous carbon (a-C:H) deposited from an Ar-C 2H2 expanding thermal plasma chemical vapor deposition (ETP-CVD) is reported. The downstream plasma region of an ETP is characterized by a low electron temperature (∼0.3 eV), which leads to an ion driven chemistry and negligible physical...

  15. Density functional and many-body theories of Hydrogen plasmas

    International Nuclear Information System (INIS)

    Perrot, F.; Dharma-Wardana, M.W.C.

    1983-11-01

    This work is an attempt to go beyond the standard description of hot condensed matter using the well-known ''average atom model''. The first part describes a static model using ''Density functional theory'' to calculate self-consistent coupled electron and ion density profiles of the plasma not restricted to a single average atomic sphere. In a second part, the results are used as ingredients for a many-body approach to electronic properties: the one-particle Green-function self-energy is calculated, from which shifted levels, populations and level-widths are deduced. Results for the Hydrogen plasma are reported, with emphasis on the 1s bound state

  16. Electron energy distribution control by fiat: breaking from the conventional flux ratio scaling rules in etch

    Science.gov (United States)

    Ranjan, Alok; Wang, Mingmei; Sherpa, Sonam; Ventzek, Peter

    2015-03-01

    With shrinking critical dimensions, minimizing each of aspect ratio dependent etching (ARDE), bowing, undercut, selectivity, and within die uniformly across a wafer is met by trading off one requirement against another. The problem of trade-offs is especially critical. At the root of the problem is that roles radical flux, ion flux and ion energy play may be both good and bad. Increasing one parameter helps meeting one requirement but hinders meeting the other. Managing process by managing flux ratios and ion energy alone with conventional sources is not adequate because surface chemistry is uncontrollable. At the root of lack of control is that the electron energy distribution function (eedf) has not been controlled. Fortunately the high density surface wave sources control the eedf by fiat. High density surface wave sources are characterized by distinct plasma regions: an active plasma generation region with high electron temperature (Te) and an ionization free but chemistry rich diffusive region (low Te region). Pressure aids is segregating the regions by proving a means for momentum relaxation between the source and downstream region. "Spatial pulsing" allows access to plasma chemistry with reasonably high ion flux, from the active plasma generation region, just above the wafer. Low plasma potential enables precise passivation of surfaces which is critical for atomic layer etch (ALE) or high precision etch where the roles of plasma species can be limited to their purposed roles. High precision etch need not be at the cost of speed and manufacturability. Large ion flux at precisely controlled ion energy with RLSATM realizes fast desorption steps for ALE without compromising process throughput and precision.

  17. Atomic hydrogen and diatomic titanium-monoxide molecular spectroscopy in laser-induced plasma

    Science.gov (United States)

    Parigger, Christian G.; Woods, Alexander C.

    2017-03-01

    This article gives a brief review of experimental studies of hydrogen Balmer series emission spectra. Ongoing research aims to evaluate early plasma evolution following optical breakdown in laboratory air. Of interest is as well laser ablation of metallic titanium and characterization of plasma evolution. Emission of titanium monoxide is discussed together with modeling of diatomic spectra to infer temperature. The behavior of titanium particles in plasma draws research interests ranging from the modeling of stellar atmospheres to the enhancement of thin film production via pulsed laser deposition.

  18. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    Science.gov (United States)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  19. Flux based modeling and simulation of dry etching for fabrication of silicon deep trench structures

    Energy Technology Data Exchange (ETDEWEB)

    Malik Rizwan [State Key Laboratory of Digital Manufacturing Equipment and technology, Huazhong University of Science and Technology, 1037 Luoyu road, Wuhan, China 43007 (China); Shi Tielin; Tang Zirong; Liu Shiyuan, E-mail: zirong@mail.hust.edu.cn, E-mail: rizwanmalik@smail.hust.edu.cn [Wuhan National Laboratory for Optoelectronics, Huazhong University of Science and Technology, 1037 Luoyu road Wuhan, 430074 (China)

    2011-02-01

    Deep reactive ion etching (DRIE) process is a key growth for fabrication of micro-electromechanical system (MEMS) devices. Due to complexity of this process, including interaction of the process steps, full analytical modeling is complex. Plasma process holds deficiency of understanding because it is very easy to measure the results empirically. However, as device parameters shrink, this issue is more critical. In this paper, our process was modeled qualitatively based on 'High Density Plasma Etch Model'. Deep trench solutions of etch rate based on continuity equation were successfully generated first time through mathematical analysis. It was also proved that the product of fluorine and gas phase concentration in SF{sub 6} remains identical during both deposition and etching stages. The etching process was treated as a combination of isotropic, directional and angle-dependent component parts. It exploited a synergistic balance of chemical as well as physical etching for promoting silicon trenches and high aspect ratio structures. Simulations were performed for comprehensive analysis of fluxes coming towards the surface during chemical reaction of gas. It is observed that near the surface, the distribution of the arrival flux follows a cosine distribution. Our model is feasible to analyze various parameters like gas delivery, reactor volume and temperature that help to assert large scale effects and to optimize equipment design.

  20. Development of a Photoelectrochemical Etch Process to Enable Heterogeneous Substrate Integration of Epitaxial III-Nitride Semiconductors

    Science.gov (United States)

    2017-12-01

    release stack. Recently, this technique has been refined with band engineering within the release layer7 and extended to the point where it has been...liftoff. Mesas with a 200-μm diameter are lithographically defined and etched down to a depth of approximately 450 nm using a plasma etching chemistry ...etch chemistry , bonding, and other materials processing vary, but the setup created for this project can be applied to others as well. Approved

  1. Ar plasma induced deep levels in epitaxial n-GaAs

    Energy Technology Data Exchange (ETDEWEB)

    Venter, A.; Nyamhere, C.; Botha, J. R. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Auret, F. D.; Janse van Rensburg, P. J.; Meyer, W. E.; Coelho, S. M. M. [Department of Physics, University of the Pretoria, Lynnwood Road, Pretoria 0002 (South Africa); Kolkovsky, V. l. [Technische Universitaet, Dresden, 01062 Dresden (Germany)

    2012-01-01

    Ar plasma etching of n-type (Si doped) GaAs introduces several electron traps (E{sub c} - 0.04 eV, E{sub c} - 0.07 eV, E{sub c} - 0.19 eV, E{sub c} - 0.31 eV, E{sub c} - 0.53 eV, and E{sub c} - 0.61 eV). The trap, E{sub c} - 0.04 eV, labelled E1' and having a trap signature similar to irradiation induced defect E1, appears to be metastable. E{sub c} - 0.31 eV and E{sub c} - 0.61 eV are metastable too and they are similar to the M3/M4 defect configuration present in hydrogen plasma exposed n-GaAs.

  2. Study by optical spectroscopy of the interaction between a hydrogen multi-polar plasma and a gallium arsenide surface

    International Nuclear Information System (INIS)

    Ferdinand, Robin

    1990-01-01

    The objective of this research thesis has been to understand which are the involved species during the deoxidation-passivation stage of the processing of gallium arsenide platelets used in semiconductor industry. The author describes problems related to the presence of oxides, and highlights the benefit of using a hydrogen multi-polar plasma to softly remove surface oxides. The experimental set-up is notably characterised by the role of magnetic confinement and its influence on plasma. A theoretical model is then developed for a better understanding of chemical and physical-chemical reactions occurring in the hydrogen plasma. Based on the use of the Boltzmann equation, the model calculates the electron energy distribution function, and allows the follow-up of species present in the plasma with respect to available and accessible parameters (pressure, discharge current, discharge voltage). A spectroscopic study of the hydrogen plasma is then reported, and the numerical model is validated by interpreting line shapes of the hydrogen Balmer series. A second experimental approach, based on electrostatic probes, is implemented, and the Laframboise theory is applied to this technique and allows electronic and ionic densities, and electron temperature to be determined. Experimental and numerical results are compared. All this leads to the study of the interaction of plasma with a sample, with a first step of study of a mixture plasma containing 85 per cent of hydrogen and 15 per cent of arsine, in order to get a general knowledge of emissions related to the presence of AsH 3 . Finally, interaction studies are performed by using laser-induced fluorescence and conventional space-resolved optical spectroscopy

  3. Extraction of negative lithium ions from a lithium-containing hydrogen plasma

    International Nuclear Information System (INIS)

    Wada, M.; Sasao, M.

    1996-01-01

    Negative lithium ions (Li - ) were extracted from a 6-cm-diam 7-cm-long negative hydrogen ion (H - ) source to simulate the condition of Li - extraction from a Li vapor introduced ion source for the neutral beam heating. The amount of the Li - current extracted from a hydrogen plasma with Li vapor was comparable to that extracted from a pure Li plasma. However, the amount of the H - current decreased as the H 2 gas pressure in the source decreased due to a getter-pump effect of Li during the introduction of Li vapor. A heat shield installed to keep a high wall temperature was effective in mitigating the pressure decrease. However, the H - current extracted from the ion source equipped with the heat shield became 20% of the original value, as Li vapor was injected into the ion source. copyright 1996 American Institute of Physics

  4. The fabrication of silicon nanostructures by local gallium implantation and cryogenic deep reactive ion etching

    International Nuclear Information System (INIS)

    Chekurov, N; Grigoras, K; Franssila, S; Tittonen, I; Peltonen, A

    2009-01-01

    We show that gallium-ion-implanted silicon serves as an etch mask for fabrication of high aspect ratio nanostructures by cryogenic plasma etching (deep reactive ion etching). The speed of focused ion beam (FIB) patterning is greatly enhanced by the fact that only a thin approx. 30 nm surface layer needs to be modified to create a mask for the etching step. Etch selectivity between gallium-doped and undoped material is at least 1000:1, greatly decreasing the mask erosion problems. The resolution of the combined FIB-DRIE process is 20 lines μm -1 with the smallest masked feature size of 40 nm. The maximum achieved aspect ratio is 15:1 (e.g. 600 nm high pillars 40 nm in diameter).

  5. Breakdown transient study of plasma distributions in a 2.45 GHz hydrogen discharge

    Energy Technology Data Exchange (ETDEWEB)

    Cortázar, O.D., E-mail: daniel.cortazar@uclm.es [Universidad de Castilla-La Mancha, ETSII-INEI, Applied Mechanics and Projects Department, C.J. Cela s/n, 13170 Ciudad Real (Spain); Megía-Macías, A. [ESS Bilbao Consortium, Polígono Ugaldeguren-III Pol. A 7B, 48170-Zamudio, Vizcaya (Spain); Tarvainen, O.; Koivisto, H. [University of Jyväskylä, Department of Physics, PO Box 35 (YFL), 40500 Jyväskylä (Finland)

    2015-05-01

    Plasma distribution transients associated with the breakdown of a 2.45 GHz hydrogen discharge similar to high current microwave ion sources are studied by means of an ultra-fast frame image acquisition system in visible light range. Eight different plasma distributions have been studied by photographing the 2D projections of the discharge through a transparent plasma electrode. The temporal evolution of images in Balmer-alpha and Fulcher band wavelengths have been recorded associated to atomic and molecular excitation and ionization processes. Some unexpected plasma distributions transient behaviors during breakdown are reported.

  6. Formation of carbon nanostructures using acetylene, argon-acetylene and argon-hydrogen-acetylene plasmas

    International Nuclear Information System (INIS)

    Marcinauskas, L.; Grigonis, A.; Minialga, V.; Marcinauskas, L.; Valincius, V.

    2013-01-01

    The films prepared in argon-acetylene plasma are attributed to graphite-like carbon films. Addition of the hydrogen decreases growth rate and the surface roughness of the films and lead to the formation of nanocrystalline graphite. The carbon nanotubes were formed at low (≤ 450°C; p = 40 Pa) temperature in pure acetylene plasma. (authors)

  7. Some non-LTE diagnostic methods for hydrogen plasmas

    International Nuclear Information System (INIS)

    Eddy, T.L.; Cho, K.Y.

    1986-01-01

    This paper shows that if electric and magnetic fields are not negligible, then the 2-T model assumed by many non-LTE plasma diagnostic techniques may lead to serious errors. Significant difference between T e and T ex have been shown to exist with electric field strengths as low as ∼10 V/cm. Multithermal equilibrium (MTE) calculations show significant deviations in line emission coefficients when T e ≠ T ex compared to equivalent T e ≠ T q . A quasi non-dimentional MTE continuum relation is present to assist in diagnostics. Normalized line emission coefficients verses N e are used to indicate the type and extent of non-LTE. The MTE state diagram for hydrogen is used to show why non-LTE plasmas often appear to be in LTE based on N e determinations

  8. Plasma screening effects on the energies of hydrogen atom under the influence of velocity-dependent potential

    International Nuclear Information System (INIS)

    Bahar, M. K.

    2014-01-01

    In order to examine the plasma screening and velocity-dependent potential effects on the hydrogen atom, the Schrödinger equation including a more general exponential cosine screened Coulomb and velocity-dependent potential is solved numerically in the framework asymptotic iteration method. The more general exponential cosine screened Coulomb potential is used to model Debye and quantum plasma for the specific values of the parameters in its structure. However, in order to examine effects of velocity-dependent potential on energy values of hydrogen atom in Debye and quantum plasma, the isotropic form factor of velocity-dependent potential is given as harmonic oscillator type, ρ(r)=ρ o r 2 . Then, the energies of s and p states are calculated numerically without any approximation. In order to investigate thoroughly plasma screening effects and contribution of velocity-dependent potential on energy values of hydrogen atom, the corresponding calculations are carried out by using different values of parameters of more general exponential cosine screened Coulomb potential and isotropic dependence, results of which are discussed

  9. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    Science.gov (United States)

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  10. Oxidation of Inconel 625 superalloy upon treatment with oxygen or hydrogen plasma at high temperature

    Czech Academy of Sciences Publication Activity Database

    Vesel, A.; Drenik, A.; Elersic, K.; Mozetič, M.; Kovač, J.; Gyergyek, T.; Stöckel, Jan; Varju, Jozef; Pánek, Radomír; Balat-Pichelin, M.

    2014-01-01

    Roč. 305, June (2014), s. 674-682 ISSN 0169-4332 R&D Projects: GA MŠk(CZ) LM2011021 Institutional support: RVO:61389021 Keywords : Inconel * Oxidation * High temperature * Oxygen plasma * Hydrogen plasma Subject RIV: BL - Plasma and Gas Discharge Physics OBOR OECD: Fluids and plasma physics (including surface physics) Impact factor: 2.711, year: 2014 https://www.sciencedirect.com/science/article/pii/S0169433214007119

  11. Neutral molecules in tokamak edge plasma - role of vibrationally excited hydrogen molecules

    International Nuclear Information System (INIS)

    Cadez, I.; Cercek, M.; Pelicon, P.; Razpet, A.

    2003-01-01

    The role of neutral molecules in edge plasma is discussed with special emphasis on the vibrationally excited hydrogen. Neutral molecules are formed mostly by surface processes on the walls and then released to the edge plasma where they take part in volumetric reactions with other particles. Typically these molecules are formed in excited states and data are needed for their reactions on the wall and in the volume. Processes in edge plasma determine particle and energy flux what is especially critical issue in tokamak divertor region. Various cross sections and reaction rates are needed for modelling edge plasma and its interaction with walls. (author)

  12. Development of a hydrogen electrothermal accelerator for plasma fueling

    International Nuclear Information System (INIS)

    Schuresko, D.D.; Milora, S.L.; Combs, S.K.; Foust, C.R.; Argo, B.E.; Barber, G.C.; Foster, C.A.; Ponte, N.S.

    1986-01-01

    We have developed a prototype high velocity pneumatic pellet injector which utilizes hydrogen plasma propellant generated in a high current arc discharge. A single barrel pneumatic pellet gun has been fitted with a cylindrical arc chamber interposed between the hydrogen propellant inlet valve and the gun breech. The chamber incorporates a ceramic insert for generating vortex flow in the incoming gas stream, which provides azimuthal arc stabilization. The arc is initiated after the propellant valve opens and the breech pressure starts to rise; a typical discharge lasts 150-300 microseconds with peak currents up to 2 kA. The gun has been operated with 4mm diameter by 6 to 11 mm long deuterium and hydrogen pellets. At 100 bar breech pressure (hydrogen propellant), the arc characteristics are = 350 to 800 V, = 600 A, so that 60 to 150 joules of electrical power is dissipated. Pellet speeds increase by 300 to 500 m/s depending on the projectile mass, which typically represents a 10 joule increment in the pellet kinetic energy. Velocities up to 1.7 km/s for deuterium pellets and 2.0 km/s for hydrogen pellets have been achieved. Comparing these data to muzzle velocities calculated from lossless, one-dimensional compressible flow gun theory demonstrates that substantial propellant heating, resulting in increased propellant sound speed, has been achieved

  13. Improved amorphous/crystalline silicon interface passivation by hydrogen plasma treatment

    Czech Academy of Sciences Publication Activity Database

    Descoeudres, A.; Barraud, L.; De Wolf, S.; Strahm, B.; Lachenal, D.; Guérin, C.; Holman, Z.C.; Zicarelli, F.; Demaurex, B.; Seif, J.; Holovský, Jakub; Ballif, C.

    2011-01-01

    Roč. 99, č. 12 (2011), 123506/1-123506/3 ISSN 0003-6951 Institutional research plan: CEZ:AV0Z10100521 Keywords : hererojunction * solar cells * hydrogen plasma Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.844, year: 2011 http://apl.aip.org/resource/1/applab/v99/i12/p123506_s1

  14. Two-photon transitions in hydrogen atoms embedded in weakly coupled plasmas

    International Nuclear Information System (INIS)

    Paul, S.; Ho, Y. K.

    2008-01-01

    The pseudostate method has been applied to calculate energy eigenvalues and corresponding eigenfunctions of the hydrogen atom in Debye plasma environments. Resonant two-photon transition rates from the ground state of atomic hydrogen to 2s and 3s excited states have been computed as a function of photon frequency in the length and velocity gauges for different Debye lengths. A two-photon transparency is found in correspondence to each resonance for 1s-3s. The transparency frequency and resonance enhancement frequency vary significantly with the Debye length.

  15. Hydrogen desorption from hydrogen fluoride and remote hydrogen plasma cleaned silicon carbide (0001) surfaces

    Energy Technology Data Exchange (ETDEWEB)

    King, Sean W., E-mail: sean.king@intel.com; Tanaka, Satoru; Davis, Robert F. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695 (United States); Nemanich, Robert J. [Department of Physics, North Carolina State University, Raleigh, North Carolina 27695 (United States)

    2015-09-15

    Due to the extreme chemical inertness of silicon carbide (SiC), in-situ thermal desorption is commonly utilized as a means to remove surface contamination prior to initiating critical semiconductor processing steps such as epitaxy, gate dielectric formation, and contact metallization. In-situ thermal desorption and silicon sublimation has also recently become a popular method for epitaxial growth of mono and few layer graphene. Accordingly, numerous thermal desorption experiments of various processed silicon carbide surfaces have been performed, but have ignored the presence of hydrogen, which is ubiquitous throughout semiconductor processing. In this regard, the authors have performed a combined temperature programmed desorption (TPD) and x-ray photoelectron spectroscopy (XPS) investigation of the desorption of molecular hydrogen (H{sub 2}) and various other oxygen, carbon, and fluorine related species from ex-situ aqueous hydrogen fluoride (HF) and in-situ remote hydrogen plasma cleaned 6H-SiC (0001) surfaces. Using XPS, the authors observed that temperatures on the order of 700–1000 °C are needed to fully desorb C-H, C-O and Si-O species from these surfaces. However, using TPD, the authors observed H{sub 2} desorption at both lower temperatures (200–550 °C) as well as higher temperatures (>700 °C). The low temperature H{sub 2} desorption was deconvoluted into multiple desorption states that, based on similarities to H{sub 2} desorption from Si (111), were attributed to silicon mono, di, and trihydride surface species as well as hydrogen trapped by subsurface defects, steps, or dopants. The higher temperature H{sub 2} desorption was similarly attributed to H{sub 2} evolved from surface O-H groups at ∼750 °C as well as the liberation of H{sub 2} during Si-O desorption at temperatures >800 °C. These results indicate that while ex-situ aqueous HF processed 6H-SiC (0001) surfaces annealed at <700 °C remain terminated by some surface C–O and

  16. Characteristics of SiO{sub 2} etching with a C{sub 4}F{sub 8}/Ar/CHF{sub 3}/O{sub 2} gas mixture in 60-MHz/2-MHz dual-frequency capacitively coupled plasmas

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, M. H.; Kang, S. K.; Park, J. Y.; Yeom, G. Y. [Sungkyunkwan University, Suwon (Korea, Republic of)

    2011-11-15

    Nanoscale SiO{sub 2} contact holes were etched by using C{sub 4}F{sub 8}/CHF{sub 3}/O{sub 2}/Ar gas mixtures in dual frequency capacitively coupled plasmas (DF-CCPs) where a 60-MHz source power was applied to the top electrode while a 2-MHz bias power was applied to the bottom electrode. The initial increase in the CHF{sub 3} gas flow rate at a fixed CHF{sub 3}+O{sub 2} flow rate increased the SiO{sub 2} etch rate as well as SiO{sub 2} etch selectivity over that of the amorphous carbon layer (ACL). When the high-frequency (HF) power was increased both SiO{sub 2} etch rate and the etch selectivity over ACL were increased. For a 300 W/500 W power ratio of 60-MHz HF power/ 2-MHz low-freqeuncy (LF) and a gas mixture of Ar (140 sccm) /C{sub 4}F{sub 8} (30 sccm) /CHF{sub 3} (25 sccm) /O{sub 2} (5 sccm) while maintaining 20 mTorr, an anisotropic etch profile with an SiO{sub 2} etch rate of 3350 A/min and an etch selectivity of higher than 6 over ACL could be obtained.

  17. FFM Applications to Dense and Warm Hydrogen Plasma Study

    International Nuclear Information System (INIS)

    Calisti, A.; Talin, B.; Bureyeva, L. A.; Lisitsa, V. S.; Shuvaev, D.

    2006-01-01

    A study of hydrogen lines emitted in dense and low temperature plasmas is presented. A transition from impact to quasi-static broadening for electrons is analyzed with the help of the Frequency Fluctuation Model (FFM). Electron broadening of Balmer series lines is studied for different densities and temperatures spanning a wide domain from impact to quasi-static limit. It is shown that electronic broadening makes a transition from impact to quasi-static limit depending on plasma conditions and principal quantum number. Even for the Balmer alpha line, at a density equals 1018 cm-3 and a temperature equals 1 eV, this transition occurs both in the wings and the core of the line

  18. Ground-state populations of atomic hydrogen and hydrogen-like ions in nonthermal plasmas, and collisional-radiative recombination and ionization coefficients

    International Nuclear Information System (INIS)

    Drawin, H.W.; Emard, F.

    1978-01-01

    The populations of atomic hydrogen and hydrogen-like ions have been calculated using a collisional-radiative model. The global collisional-radiative excitation coefficients rsub(j)sup((0)) and rsub(j)sup((1)) valid for homogeneous-stationary and/or quasi-homogeneous quasi-stationary plasmas were published recently. The present paper contains in tabulated form the ground state populations and Saha decrements for the homogeneous stationary state, and the collisional-radiative recombination and ionization coefficients. (Auth.)

  19. Amorphous hydrogenated carbon films treated by SF{sub 6} plasma

    Energy Technology Data Exchange (ETDEWEB)

    Marins, N M S; Mota, R P; Santos, D C R; Honda, R Y; Kayama, M E; Kostov, K G; Algatti, M A [Laboratorio de Plasma, Faculdade de Engenharia, UNESP, Av. Dr. Ariberto Pereira da Cunha-333, 12516-410, Guaratingueta, SP (Brazil); Cruz, N C; Rangel, E C, E-mail: nazir@feg.unesp.b [Laboratorio de Plasmas Tecnologicos, Unidade Diferenciada Sorocaba/Ipero, UNESP, Av. Tres de Marco-511, 18085-180, Sorocaba, SP (Brazil)

    2009-05-01

    This work was performed to verify the chemical structure, mechanical and hydrophilic properties of amorphous hydrogenated carbon films prepared by plasma enhanced chemical vapor deposition, using acetylene/argon mixture as monomer. Films were prepared in a cylindrical quartz reactor, fed by 13.56 MHz radiofrequency. The films were grown during 5 min, for power varying from 25 to 125 W at a fixed pressure of 9.5 Pa. After deposition, all samples were treated by SF{sub 6} plasma with the aim of changing their hydrophilic character. Film chemical structure investigated by Raman spectroscopy, revealed the increase of sp{sup 3} hybridized carbon bonds as the plasma power increases. Hardness measurements performed by the nanoindentation technique showed an improvement from 5 GPa to 14 GPa following the increase discharge power. The untreated films presented a hydrophilic character, which slightly diminished after SF{sub 6} plasma treatment.

  20. Layer-controllable graphene by plasma thinning and post-annealing

    Science.gov (United States)

    Zhang, Lufang; Feng, Shaopeng; Xiao, Shaoqing; Shen, Gang; Zhang, Xiumei; Nan, Haiyan; Gu, Xiaofeng; Ostrikov, Kostya (Ken)

    2018-05-01

    The electronic structure of graphene depends crucially on its layer number and therefore engineering the number of graphene's atomic stacking layers is of great importance for the preparation of graphene-based devices. In this paper, we demonstrated a relatively less invasive, high-throughput and uniform large-area plasma thinning of graphene based on direct bombardment effect of fast-moving ionic hydrogen or argon species. Any desired number of graphene layers including trilayer, bilayer and monolayer can be obtained. Structural changes of graphene layers are studied by optical microscopy, Raman spectroscopy and atomic force microscopy. Post annealing is adopted to self-heal the lattice defects induced by the ion bombardment effect. This plasma etching technique is efficient and compatible with semiconductor manufacturing processes, and may find important applications for graphene-based device fabrication.