WorldWideScience

Sample records for hydride vapor-phase epitaxy

  1. Hydride vapor phase epitaxy growth of GaN, InGaN, ScN, and ScAIN

    NARCIS (Netherlands)

    Bohnen, T.

    2010-01-01

    Chemical vapor deposition (CVD); hydride vapor phase epitaxy (HVPE); gallium nitride (GaN); indium gallium nitride (InGaN); scandium nitride (ScN); scandium aluminum nitride (ScAlN); semiconductors; thin films; nanowires; III nitrides; crystal growth - We studied the HVPE growth of different III

  2. Structural, electrical and luminescent characteristics of ultraviolet light emitting structures grown by hydride vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    A.Y. Polyakov

    2017-03-01

    Full Text Available Electrical and luminescent properties of near-UV light emitting diode structures (LEDs prepared by hydride vapor phase epitaxy (HVPE were studied. Variations in photoluminescence and electroluminescence efficiency observed for LEDs grown under nominally similar conditions could be attributed to the difference in the structural quality (dislocation density, density of dislocations agglomerates of the GaN active layers, to the difference in strain relaxation achieved by growth of AlGaN/AlGaN superlattice and to the presence of current leakage channels in current confining AlGaN layers of the double heterostructure.

  3. Highly resistive C-doped hydride vapor phase epitaxy-GaN grown on ammonothermally crystallized GaN seeds

    Science.gov (United States)

    Iwinska, Malgorzata; Piotrzkowski, Ryszard; Litwin-Staszewska, Elzbieta; Sochacki, Tomasz; Amilusik, Mikolaj; Fijalkowski, Michal; Lucznik, Boleslaw; Bockowski, Michal

    2017-01-01

    GaN crystals were grown by hydride vapor phase epitaxy (HVPE) and doped with C. The seeds were high-structural-quality ammonothermally crystallized GaN. The grown crystals were highly resistive at 296 K and of high structural quality. High-temperature Hall effect measurements revealed p-type conductivity and a deep acceptor level in the material with an activation energy of 1 eV. This is in good agreement with density functional theory calculations based on hybrid functionals as presented by the Van de Walle group. They obtained an ionization energy of 0.9 eV when C was substituted for N in GaN and acted as a deep acceptor.

  4. Electrical, optical, and structural properties of GaN films prepared by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Polyakov, A.Y.; Smirnov, N.B.; Yakimov, E.B.; Usikov, A.S.; Helava, H.; Shcherbachev, K.D.; Govorkov, A.V.; Makarov, Yu N.; Lee, In-Hwan

    2014-01-01

    Highlights: • GaN films are prepared by hydride vapor phase epitaxy (HVPE). • Residual donors and deep traps show a minimum density versus growth temperature. • This minimum is located close to the HVPE growth temperature of 950 °C. • Good crystalline GaN with residual donor density < 10 16 cm −3 can be grown at 950 °C. - Abstract: Two sets of undoped GaN films with the thickness of 10–20 μm were prepared by hydride vapor phase epitaxy (HVPE) and characterized by capacitance–voltage (C–V) profiling, microcathodoluminescence (MCL) spectra measurements, MCL imaging, electron beam induced current (EBIC) imaging, EBIC dependence on accelerating voltage, deep levels transient spectroscopy, high resolution X-ray diffraction measurements. The difference in growth conditions was mainly related to the lower (850 °C, group 1) or higher (950 °C, group 2) growth temperature. Both groups of samples showed similar crystalline quality with the dislocation density close to 10 8 cm −2 , but very different electrical and optical properties. In group 1 samples the residual donors concentration was ∼10 17 cm −3 or higher, the MCL spectra were dominated by the band-edge luminescence, and the diffusion length of charge carriers was close to 0.1 μm. Group 2 samples had a 2–4.5 μm thick highly resistive layer on top, for which MCL spectra were determined by green, yellow and red defect bands, and the diffusion length was 1.5 times higher than in group 1. We also present brief results of growth at the “standard” HVPE growth temperature of 1050 °C that show the presence of a minimum in the net donor concentration and deep traps density as a function of the growth temperature. Possible reasons for the observed results are discussed in terms of the electrical compensation of residual donors by deep traps

  5. Preparation of freestanding GaN wafer by hydride vapor phase epitaxy on porous silicon

    Science.gov (United States)

    Wu, Xian; Li, Peng; Liang, Renrong; Xiao, Lei; Xu, Jun; Wang, Jing

    2018-05-01

    A freestanding GaN wafer was prepared on porous Si (111) substrate using hydride vapor phase epitaxy (HVPE). To avoid undesirable effects of the porous surface on the crystallinity of the GaN, a GaN seed layer was first grown on the Si (111) bare wafer. A pattern with many apertures was fabricated in the GaN seed layer using lithography and etching processes. A porous layer was formed in the Si substrate immediately adjacent to the GaN seed layer by an anodic etching process. A 500-μm-thick GaN film was then grown on the patterned GaN seed layer using HVPE. The GaN film was separated from the Si substrate through the formation of cracks in the porous layer caused by thermal mismatch stress during the cooling stage of the HVPE. Finally, the GaN film was polished to obtain a freestanding GaN wafer.

  6. Temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Kumagai, Yoshinao; Adachi, Hirokazu; Otake, Aya; Higashikawa, Yoshihiro; Togashi, Rie; Murakami, Hisashi; Koukitu, Akinori

    2010-01-01

    The temperature dependence of InN growth on (0001) sapphire substrates by atmospheric pressure hydride vapor phase epitaxy (HVPE) was investigated. N-polarity single-crystal InN layers were successfully grown at temperatures ranging from 400 to 500 C. The a and c lattice constants of InN layers grown at 450 C or below were slightly larger than those of InN layers grown above 450 C due to oxygen incorporation that also increased the carrier concentration. The optical absorption edge of the InN layer decreased from above 2.0 to 0.76 eV when the growth temperature was increased from 450 to 500 C. (copyright 2010 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Structural and optical inhomogeneities of Fe doped GaN grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Malguth, E.; Hoffmann, A.; Phillips, M. R.

    2008-12-01

    We present the results of cathodoluminescence experiments on a set of Fe doped GaN samples with Fe concentrations of 5×1017, 1×1018, 1×1019, and 2×1020 cm-3. These specimens were grown by hydride vapor phase epitaxy with different concentrations of Fe. The introduction of Fe is found to promote the formation of structurally inhomogeneous regions of increased donor concentration. We detect a tendency of these regions to form hexagonal pits at the surface. The locally increased carrier concentration leads to enhanced emission from the band edge and the internal T41(G)-A61(S) transition of Fe3+. In these areas, the luminescence forms a finely structured highly symmetric pattern, which is attributed to defect migration along strain-field lines. Fe doping is found to quench the yellow defect luminescence band and to enhance the blue luminescence band due to the lowering of the Fermi level and the formation of point defects, respectively.

  8. Elimination of macrostep-induced current flow nonuniformity in vertical GaN PN diode using carbon-free drift layer grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi

    2018-04-01

    In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.

  9. A model for arsenic anti-site incorporation in GaAs grown by hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Schulte, K. L.; Kuech, T. F. [Department of Chemical and Biological Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706 (United States)

    2014-12-28

    GaAs growth by hydride vapor phase epitaxy (HVPE) has regained interest as a potential route to low cost, high efficiency thin film photovoltaics. In order to attain the highest efficiencies, deep level defect incorporation in these materials must be understood and controlled. The arsenic anti-site defect, As{sub Ga} or EL2, is the predominant deep level defect in HVPE-grown GaAs. In the present study, the relationships between HVPE growth conditions and incorporation of EL2 in GaAs epilayers were determined. Epitaxial n-GaAs layers were grown under a wide range of deposition temperatures (T{sub D}) and gallium chloride partial pressures (P{sub GaCl}), and the EL2 concentration, [EL2], was determined by deep level transient spectroscopy. [EL2] agreed with equilibrium thermodynamic predictions in layers grown under conditions in which the growth rate, R{sub G}, was controlled by conditions near thermodynamic equilibrium. [EL2] fell below equilibrium levels when R{sub G} was controlled by surface kinetic processes, with the disparity increasing as R{sub G} decreased. The surface chemical composition during growth was determined to have a strong influence on EL2 incorporation. Under thermodynamically limited growth conditions, e.g., high T{sub D} and/or low P{sub GaCl}, the surface vacancy concentration was high and the bulk crystal was close to equilibrium with the vapor phase. Under kinetically limited growth conditions, e.g., low T{sub D} and/or high P{sub GaCl}, the surface attained a high GaCl coverage, blocking As adsorption. This competitive adsorption process reduced the growth rate and also limited the amount of arsenic that incorporated as As{sub Ga}. A defect incorporation model which accounted for the surface concentration of arsenic as a function of the growth conditions, was developed. This model was used to identify optimal growth parameters for the growth of thin films for photovoltaics, conditions in which a high growth rate and low [EL2] could be

  10. Polycrystalline indium phosphide on silicon by indium assisted growth in hydride vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Metaferia, Wondwosen; Sun, Yan-Ting, E-mail: yasun@kth.se; Lourdudoss, Sebastian [Laboratory of Semiconductor Materials, Department of Materials and Nano Physics, KTH—Royal Institute of Technology, Electrum 229, 164 40 Kista (Sweden); Pietralunga, Silvia M. [CNR-Institute for Photonics and Nanotechnologies, P. Leonardo da Vinci, 32 20133 Milano (Italy); Zani, Maurizio; Tagliaferri, Alberto [Department of Physics Politecnico di Milano, P. Leonardo da Vinci, 32 20133 Milano (Italy)

    2014-07-21

    Polycrystalline InP was grown on Si(001) and Si(111) substrates by using indium (In) metal as a starting material in hydride vapor phase epitaxy (HVPE) reactor. In metal was deposited on silicon substrates by thermal evaporation technique. The deposited In resulted in islands of different size and was found to be polycrystalline in nature. Different growth experiments of growing InP were performed, and the growth mechanism was investigated. Atomic force microscopy and scanning electron microscopy for morphological investigation, Scanning Auger microscopy for surface and compositional analyses, powder X-ray diffraction for crystallinity, and micro photoluminescence for optical quality assessment were conducted. It is shown that the growth starts first by phosphidisation of the In islands to InP followed by subsequent selective deposition of InP in HVPE regardless of the Si substrate orientation. Polycrystalline InP of large grain size is achieved and the growth rate as high as 21 μm/h is obtained on both substrates. Sulfur doping of the polycrystalline InP was investigated by growing alternating layers of sulfur doped and unintentionally doped InP for equal interval of time. These layers could be delineated by stain etching showing that enough amount of sulfur can be incorporated. Grains of large lateral dimension up to 3 μm polycrystalline InP on Si with good morphological and optical quality is obtained. The process is generic and it can also be applied for the growth of other polycrystalline III–V semiconductor layers on low cost and flexible substrates for solar cell applications.

  11. Hydride vapor phase epitaxy of high structural perfection thick AlN layers on off-axis 6H-SiC

    Science.gov (United States)

    Volkova, Anna; Ivantsov, Vladimir; Leung, Larry

    2011-01-01

    The employment of more than 10 μm thick AlN epilayers on SiC substrates for AlGaN/GaN high-electron-mobility transistors (HEMTs) substantially raises their performance in high-power energy-efficient amplifiers for 4G wireless mobile stations. In this paper, structural properties and surface morphology of thick AlN epilayers deposited by hydride vapor phase epitaxy (HVPE) on off-axis conductive 6H-SiC substrates are reported. The epilayers were examined in detail by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), Nomarski differential interference contrast (DIC), scanning electron microscopy (SEM), and selective wet chemical etching. At optimal substrate preparation and growth conditions, a full width at half-maximum (FWHM) of the XRD rocking curve (RC) for the symmetric (00.2) reflex was very close to that of the substrate (less than 40 arcsec) suggesting low screw dislocation density in the epilayer (˜10 6 cm -2) and small in-plane tilt misorientation. Reciprocal space mapping around asymmetric reflexes and measured lattice parameters indicated a fully relaxed state of the epilayers. The unit-cell-high stepped areas of the epilayers with 0.5 nm root mean square (RMS) roughness over 1×1 μm 2 scan were alternated with step-bunching instabilities up to 350 nm in height. Low warp of the substrates makes them suitable for precise epitaxy of HEMT structures.

  12. Addition of Sb as a surfactant for the growth of nonpolar a-plane GaN by using mixed-source hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Ok, Jin Eun; Jo, Dong Wan; Yun, Wy Il; Han, Young Hun; Jeon, Hun Soo; Lee, Gang Suok; Jung, Se Gyo; Bae, Seon Min; Ahn, Hyung Soo; Yang, Min

    2011-01-01

    The influence of Sb as a surfactant on the morphology and on the structural and the optical characteristics of a-plane GaN grown on r-plane sapphire by using mixed-source hydride vapor phase epitaxy was investigated. The a-plane GaN:Sb layers were grown at various temperatures ranging from 1000 .deg. C to 1100 .deg. C, and the reactor pressure was maintained at 1 atm. The atomic force microscope (AFM), scanning electron microscope (SEM), X-ray diffraction (XRD) and photoluminescence(PL) results indicated that the surface morphologies and the structural and the optical characteristics of a-plane GaN were markedly improved, compared to the a-plane GaN layers grown without Sb, by using Sb as a surfactant. The addition of Sb was found to alter epitaxial lateral overgrowth (ELO) facet formation. The Sb was not detected from the a-plane-GaN epilayers within the detection limit of the energy dispersive spectroscopy (EDS) and x-ray photoelectron spectroscopy (XPS) measurements, suggesting that Sb act as a surfactant during the growth of a-plane GaN by using mixed-source HVPE method.

  13. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  14. Dislocations limited electronic transport in hydride vapour phase epitaxy grown GaN templates: A word of caution for the epitaxial growers

    Energy Technology Data Exchange (ETDEWEB)

    Chatterjee, Abhishek, E-mail: cabhishek@rrcat.gov.in; Khamari, Shailesh K.; Kumar, R.; Dixit, V. K.; Oak, S. M.; Sharma, T. K., E-mail: tarun@rrcat.gov.in [Semiconductor Physics and Devices Laboratory, Raja Ramanna Centre for Advanced Technology, Indore 452013 (India)

    2015-01-12

    GaN templates grown by hydride vapour phase epitaxy (HVPE) and metal organic vapour phase epitaxy (MOVPE) techniques are compared through electronic transport measurements. Carrier concentration measured by Hall technique is about two orders larger than the values estimated by capacitance voltage method for HVPE templates. It is learnt that there exists a critical thickness of HVPE templates below which the transport properties of epitaxial layers grown on top of them are going to be severely limited by the density of charged dislocations lying at layer-substrate interface. On the contrary MOVPE grown templates are found to be free from such limitations.

  15. Effects of AlN nucleation layers on the growth of AlN films using high temperature hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Balaji, M.; Claudel, A.; Fellmann, V.; Gélard, I.; Blanquet, E.; Boichot, R.; Pierret, A.

    2012-01-01

    Highlights: ► Growth of AlN Nucleation layers and its effect on high temperature AlN films quality were investigated. ► AlN nucleation layers stabilizes the epitaxial growth of AlN and improves the surface morphology of AlN films. ► Increasing growth temperature of AlN NLs as well as AlN films improves the structural quality and limits the formation of cracks. - Abstract: AlN layers were grown on c-plane sapphire substrates with AlN nucleation layers (NLs) using high temperature hydride vapor phase epitaxy (HT-HVPE). Insertion of low temperature NLs, as those typically used in MOVPE process, prior to the high temperature AlN (HT-AlN) layers has been investigated. The NLs surface morphology was studied by atomic force microscopy (AFM) and NLs thickness was measured by X-ray reflectivity. Increasing nucleation layer deposition temperature from 650 to 850 °C has been found to promote the growth of c-oriented epitaxial HT-AlN layers instead of polycrystalline layers. The growth of polycrystalline layers has been related to the formation of dis-oriented crystallites. The density of such disoriented crystallites has been found to decrease while increasing NLs deposition temperature. The HT-AlN layers have been characterized by X-ray diffraction θ − 2θ scan and (0 0 0 2) rocking curve measurement, Raman and photoluminescence spectroscopies, AFM and field emission scanning electron microscopy. Increasing the growth temperature of HT-AlN layers from 1200 to 1400 °C using a NL grown at 850 °C improves the structural quality as well as the surface morphology. As a matter of fact, full-width at half-maximum (FWHM) of 0 0 0 2 reflections was improved from 1900 to 864 arcsec for 1200 °C and 1400 °C, respectively. Related RMS roughness also found to decrease from 10 to 5.6 nm.

  16. Photoluminescence investigation of thick GaN films grown on Si substrates by hydride vapor phase epitaxy

    International Nuclear Information System (INIS)

    Yang, M.; Ahn, H. S.; Chang, J. H.; Yi, S. N.; Kim, K. H.; Kim, H.; Kim, S. W.

    2003-01-01

    The optical properties of thick GaN films grown by hydried vapor phase epitaxy (HVPE) using a low-temperature intermediate GaN buffer layer grown on a (111) Si substrate with a ZnO thin film were investigated by using photoluminescence (PL) measurement at 300 K and 77 K. The strong donor bound exciton (DBE) at 357 nm with a full width at half maximum (FWHM) of 15 meV was observed at 77 K. The value of 15 meV is extremely narrow for GaN grown on Si substrate by HVPE. An impurity-related peak was also observed at 367 nm. The origin of impurity was investigated using Auger spectroscopy.

  17. Hydride vapor phase GaN films with reduced density of residual electrons and deep traps

    International Nuclear Information System (INIS)

    Polyakov, A. Y.; Smirnov, N. B.; Govorkov, A. V.; Yugova, T. G.; Cox, H.; Helava, H.; Makarov, Yu.; Usikov, A. S.

    2014-01-01

    Electrical properties and deep electron and hole traps spectra are compared for undoped n-GaN films grown by hydride vapor phase epitaxy (HVPE) in the regular process (standard HVPE samples) and in HVPE process optimized for decreasing the concentration of residual donor impurities (improved HVPE samples). It is shown that the residual donor density can be reduced by optimization from ∼10 17  cm −3 to (2–5) × 10 14  cm −3 . The density of deep hole traps and deep electron traps decreases with decreased donor density, so that the concentration of deep hole traps in the improved samples is reduced to ∼5 × 10 13  cm −3 versus 2.9 × 10 16  cm −3 in the standard samples, with a similar decrease in the electron traps concentration

  18. Macrodefect-free, large, and thick GaN bulk crystals for high-quality 2–6 in. GaN substrates by hydride vapor phase epitaxy with hardness control

    Science.gov (United States)

    Fujikura, Hajime; Konno, Taichiro; Suzuki, Takayuki; Kitamura, Toshio; Fujimoto, Tetsuji; Yoshida, Takehiro

    2018-06-01

    On the basis of a novel crystal hardness control, we successfully realized macrodefect-free, large (2–6 in.) and thick +c-oriented GaN bulk crystals by hydride vapor phase epitaxy. Without the hardness control, the introduction of macrodefects including inversion domains and/or basal-plane dislocations seemed to be indispensable to avoid crystal fracture in GaN growth with millimeter thickness. However, the presence of these macrodefects tended to limit the applicability of the GaN substrate to practical devices. The present technology markedly increased the GaN crystal hardness from below 20 to 22 GPa, thus increasing the available growth thickness from below 1 mm to over 6 mm even without macrodefect introduction. The 2 and 4 in. GaN wafers fabricated from these crystals had extremely low dislocation densities in the low- to mid-105 cm‑2 range and low off-angle variations (2 in.: <0.1° 4 in.: ∼0.2°). The realization of such high-quality 6 in. wafers is also expected.

  19. Growth of cubic GaN on a nitrided AlGaAs (001) substrate by using hydried vapor phase epitaxy

    International Nuclear Information System (INIS)

    Lee, H. J.; Yang, M.; Ahn, H. S.; Kim, K. H.; Yi, J. Y.; Jang, K. S.; Chang, J. H.; Kim, H. S.; Cho, C. R.; Kim, S. W.

    2006-01-01

    GaN layers were grown on AlGaAs (001) substrates by using hydride vapor phase epitaxy (HVPE). Growth parameters such as the nitridation temperature of the AlGaAs substrate and the growth rate of the GaN layer were found to be critical determinants for the growth of cubic GaN layer. Nitridation of the AlGaAs surface was performed in a NH 3 atmosphere at a temperature range of 550 - 700 .deg. C. GaN layers were grown at different growth rates on the nitrided AlGaAs substrates. The surface morphologies and the chemical constituents of the nitrided AlGaAs layers were characterized with scanning electron microscopy (SEM) and X-ray photoelectron spectroscopy (XPS). For the optical and the crystalline characterization of the GaN films, cathodoluminescence (CL) and X-ray diffraction (XRD) were carried out.

  20. Design and characterization of thick InxGa1-xAs metamorphic buffer layers grown by hydride vapor phase epitaxy

    Science.gov (United States)

    Schulte, K. L.; Zutter, B. T.; Wood, A. W.; Babcock, S. E.; Kuech, T. F.

    2014-03-01

    Thick InxGa1-xAs metamorphic buffer layers (MBLs) grown by hydride vapor phase epitaxy (HVPE) were studied. Relationships between MBL properties and growth parameters such as grading rate, cap layer thickness, final xInAs, and deposition temperature (TD) were explored. The MBLs were characterized by measurement of in-plane residual strain (ɛ¦¦), surface etch pit density (EPD), and surface roughness. Capping layer thickness had a strong effect on strain relaxation, with thickly capped samples exhibiting the lowest ɛ¦¦. EPD was higher in samples with thicker caps, reflecting their increased relaxation through dislocation generation. ɛ¦¦ and EPD were weakly affected by the grading rate, making capping layer thickness the primary structural parameter which controls these properties. MBLs graded in discrete steps had similar properties to MBLs with continuous grading. In samples with identical thickness and 10-step grading style, ɛ¦¦ increased almost linearly with final xInAs, while total relaxation stayed relatively constant. Relaxation as a function of xInAs could be described by an equilibrium model in which dislocation nucleation is impeded by the energy of the existing dislocation array. EPD was constant from xInAs = 0 to 0.24 then increased exponentially, which is related to the increased dislocation interaction and blocking seen at higher dislocation densities. RMS roughness increased with xInAs above a certain strain rate (0.15%/µm) samples grown below this level possessed large surface hillocks and high roughness values. The elimination of hillocks at higher values of xInAs is attributed to increased density of surface steps and is related to the out-of-plane component of the burgers vector of the dominant type of 60° dislocation. TD did not affect ɛ¦¦ for samples with a given xInAs. EPD tended to increase with TD, indicating dislocation glide likely is impeded at higher temperatures.

  1. Growth of GaN layers using Ga2O vapor obtained from Ga and H2O vapor

    International Nuclear Information System (INIS)

    Sumi, Tomoaki; Taniyama, Yuuki; Takatsu, Hiroaki; Juta, Masami; Kitamoto, Akira; Imade, Mamoru; Yoshimura, Masashi; Mori, Yusuke; Isemura, Masashi

    2015-01-01

    In this study, we performed growth of GaN layers using Ga 2 O vapor synthesized from Ga and H 2 O vapor. In this process, we employed H 2 O vapor instead of HCl gas in hydride vapor phase epitaxy (HVPE) to synthesize Ga source gas. In the synthesis reaction of Ga 2 O, a Ga 2 O 3 whisker formed and covered Ga, which impeded the synthesis reaction of Ga 2 O. The formation of the Ga 2 O 3 whisker was suppressed in H 2 ambient at high temperatures. Then, we adopted this process to supply a group III precursor and obtained an epitaxial layer. X-ray diffraction (XRD) measurement revealed that the epitaxial layer was single-crystalline GaN. Growth rate increased linearly with Ga 2 O partial pressure and reached 104 µm/h. (author)

  2. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Isobe, Shigehito [Creative Research Institution, Hokkaido University, Sapporo 001-0021 (Japan); Graduate School of Engineering, Hokkaido University, Sapporo 060-8628 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Orimo, Shin-ichi [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan)

    2015-09-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10{sup −2} Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R{sub RMS} of ∼0.4 nm.

  3. Pulsed laser deposition of air-sensitive hydride epitaxial thin films: LiH

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Isobe, Shigehito; Kuwano, Hiroki; Shiraki, Susumu; Hitosugi, Taro; Orimo, Shin-ichi

    2015-01-01

    We report on the epitaxial thin film growth of an air-sensitive hydride, lithium hydride (LiH), using pulsed laser deposition (PLD). We first synthesized a dense LiH target, which is key for PLD growth of high-quality hydride films. Then, we obtained epitaxial thin films of [100]-oriented LiH on a MgO(100) substrate at 250 °C under a hydrogen pressure of 1.3 × 10 −2 Pa. Atomic force microscopy revealed that the film demonstrates a Stranski-Krastanov growth mode and that the film with a thickness of ∼10 nm has a good surface flatness, with root-mean-square roughness R RMS of ∼0.4 nm

  4. Formation of gallium nitride templates and freestanding substrates by hydride vapor phase epitaxy for homoepitaxial growth of III-nitride devices

    Science.gov (United States)

    Williams, Adrian Daniel

    Gallium nitride (GaN) is a direct wide band gap semiconductor currently under heavy development worldwide due to interest in its applications in ultra-violet optoelectronics, power electronics, devices operating in harsh environments (high temperature or corrorsive), etc. While a number of devices have been demonstrated with this material and its related alloys, the unavailability of GaN substrates is seen as one of the current major bottlenecks to both material quality and device performance. This dissertation is concerned with the synthesis of high quality GaN substrates by the hydride vapor phase epitaxy method (HVPE). In this work, the flow of growth precursors in a home-built HVPE reactor was modeled by the Navier-Stokes equation and solved by finite element analysis to promote uniformity of GaN on 2'' sapphire substrates. Kinetics of growth was studied and various regimes of growth were identified to establish a methodology for HVPE GaN growth, independent of reactor geometry. GaN templates as well as bulk substrates were fabricated in this work. Realization of freestanding GaN substrates was achieved through discovery of a natural stress-induced method of separating bulk GaN from sapphire via mechanical failure of a low-temperature GaN buffer layer. Such a process eliminates the need for pre- or post-processing of sapphire substrates, as is currently the standard. Stress in GaN-on-sapphire is discussed, with the dominant contributor identified as thermal stress due to thermal expansion coefficient mismatch between the two materials. This thermal stress is analyzed using Stoney's equation and conditions for crack-free growth of thick GaN substrates were identified. An etch-back process for planarizing GaN templates was also developed and successfully applied to rough GaN templates. The planarization of GaN has been mainly addressed by chemo-mechanical polishing (CMP) methods in the literature, with notable shortcomings including the inability to effectively

  5. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    Science.gov (United States)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  6. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    International Nuclear Information System (INIS)

    Oguchi, Hiroyuki; Ikeshoji, Tamio; Orimo, Shin-ichi; Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro; Kuwano, Hiroki

    2014-01-01

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al 2 O 3 substrates indicated polycrystalline films with a LiAlO 2 secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides

  7. Nitrogen doping efficiency during vapor phase epitaxy of 4H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Rowland, L.B.; Brandt, C.D. [Northrop Grumman Science and Technology Center, Pittsburgh, PA (United States); Burk, A.A. Jr. [Northrop Grumman Advanced Technology Lab., Baltimore, MD (United States)

    1998-06-01

    This work examines the interrelationships among doping efficiency, mole fraction, and Si/C ratio for intentional doping of 4H-SiC during vapor phase epitaxy using N{sub 2}. For four Si/C ratios, the doping concentration increased linearly as a function of increasing N{sub 2} partial pressure with a slope of 1.0 {+-} 0.03. Variation of propane mole fraction while the SiH{sub 4} and N{sub 2} mole fractions were kept constant revealed two different modes of nitrogen incorporation, corresponding to carbon-rich and silicon-rich conditions. (orig.) 14 refs.

  8. Possibility of the use of intermediate carbidsiliconoxide nanolayers on polydiamond substrates for gallium nitride layers epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Averichkin, P. A., E-mail: P-Yugov@mail.ru; Donskov, A. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Dukhnovsky, M. P. [R & D Enterprise Istok (Russian Federation); Knyazev, S. N. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation); Kozlova, Yu. P. [Russian Academy of Sciences, Institute for Nuclear Research (Russian Federation); Yugova, T. G.; Belogorokhov, I. A. [State Research and Design Institute of Rare-Metal Industry Giredmet AO (Russian Federation)

    2016-04-15

    The results of using carbidsiliconoxide (a-C:SiO1{sub .5}) films with a thickness of 30–60 nm, produced by the pyrolysis annealing of oligomethylsilseskvioksana (CH{sub 3}–SiO{sub 1.5}){sub n} with cyclolinear (staircased) molecular structure, as intermediate films in the hydride vapor phase epitaxy of gallium nitride on polycrystalline CVD-diamond substrates are presented. In the pyrolysis annealing of (CH{sub 3}–SiO{sub 1.5}){sub n} films in an atmosphere of nitrogen at a temperature of 1060°C, methyl radicals are carbonized to yield carbon atoms chemically bound to silicon. In turn, these atoms form a SiC monolayer on the surface of a-C:SiO{sub 1.5} films via covalent bonding with silicon. It is shown that GaN islands grow on such an intermediate layer on CVD-polydiamond substrates in the process of hydride vapor phase epitaxy in a vertical reactor from the GaCl–NH{sub 3}–N{sub 2} gas mixture.

  9. Epitaxial thin film growth of LiH using a liquid-Li atomic template

    Energy Technology Data Exchange (ETDEWEB)

    Oguchi, Hiroyuki, E-mail: oguchi@nanosys.mech.tohoku.ac.jp [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan); Micro System Integration Center (muSIC), Tohoku University, Sendai 980-0845 (Japan); Ikeshoji, Tamio; Orimo, Shin-ichi [Institute for Materials Research, Tohoku University, Sendai 980-8577 (Japan); Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Ohsawa, Takeo; Shiraki, Susumu; Hitosugi, Taro [Advanced Institute for Materials Research (AIMR), Tohoku University, Sendai 980-8577 (Japan); Kuwano, Hiroki [Department of Nanomechanics, Tohoku University, Sendai 980-8579 (Japan)

    2014-11-24

    We report on the synthesis of lithium hydride (LiH) epitaxial thin films through the hydrogenation of a Li melt, forming abrupt LiH/MgO interface. Experimental and first-principles molecular dynamics studies reveal a comprehensive microscopic picture of the crystallization processes, which sheds light on the fundamental atomistic growth processes that have remained unknown in the vapor-liquid-solid method. We found that the periodic structure that formed, because of the liquid-Li atoms at the film/MgO-substrate interface, serves as an atomic template for the epitaxial growth of LiH crystals. In contrast, films grown on the Al{sub 2}O{sub 3} substrates indicated polycrystalline films with a LiAlO{sub 2} secondary phase. These results and the proposed growth process provide insights into the preparation of other alkaline metal hydride thin films on oxides. Further, our investigations open the way to explore fundamental physics and chemistry of metal hydrides including possible phenomena that emerge at the heterointerfaces of metal hydrides.

  10. Growth kinetics and mass transport mechanisms of GaN columns by selective area metal organic vapor phase epitaxy

    Science.gov (United States)

    Wang, Xue; Hartmann, Jana; Mandl, Martin; Sadat Mohajerani, Matin; Wehmann, Hergo-H.; Strassburg, Martin; Waag, Andreas

    2014-04-01

    Three-dimensional GaN columns recently have attracted a lot of attention as the potential basis for core-shell light emitting diodes for future solid state lighting. In this study, the fundamental insights into growth kinetics and mass transport mechanisms of N-polar GaN columns during selective area metal organic vapor phase epitaxy on patterned SiOx/sapphire templates are systematically investigated using various pitch of apertures, growth time, and silane flow. Species impingement fluxes on the top surface of columns Jtop and on their sidewall Jsw, as well as, the diffusion flux from the substrate Jsub contribute to the growth of the GaN columns. The vertical and lateral growth rates devoted by Jtop, Jsw and Jsub are estimated quantitatively. The diffusion length of species on the SiOx mask surface λsub as well as on the sidewall surfaces of the 3D columns λsw are determined. The influences of silane on the growth kinetics are discussed. A growth model is developed for this selective area metal organic vapor phase epitaxy processing.

  11. Chirality-Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and Scalable Production

    Science.gov (United States)

    2016-09-15

    AFRL-AFOSR-VA-TR-2016-0319 Chirality -Controlled Growth of Single-Wall Carbon Nanotubes Using Vapor Phase Epitaxy: Mechanistic Understanding and...TELEPHONE NUMBER (Include area code) DISTRIBUTION A: Distribution approved for public release. 15-06-2016 final Jun 2014 - Jun 2016 Chirality ...for Public Release; Distribution is Unlimited. In this report, we present our efforts in establishing a novel and effective approach for chirality

  12. High-quality single crystalline NiO with twin phases grown on sapphire substrate by metalorganic vapor phase epitaxy

    Directory of Open Access Journals (Sweden)

    Kazuo Uchida

    2012-12-01

    Full Text Available High-quality single crystalline twin phase NiO grown on sapphire substrates by metalorganic vapor phase epitaxy is reported. X-ray rocking curve analysis of NiO films grown at different temperatures indicates a minimum full width at half maximum of the cubic (111 diffraction peak of 0.107° for NiO film grown at as low as 550 °C. Detailed microstructural analysis by Φ scan X-ray diffraction and transmission electron microscopy reveal that the NiO film consists of large single crystalline domains with two different crystallographic orientations which are rotated relative to each other along the [111] axis by 60°. These single crystal domains are divided by the twin phase boundaries.

  13. Cyan laser diode grown by plasma-assisted molecular beam epitaxy

    International Nuclear Information System (INIS)

    Turski, H.; Muziol, G.; Wolny, P.; Cywiński, G.; Grzanka, S.; Sawicka, M.; Perlin, P.; Skierbiszewski, C.

    2014-01-01

    We demonstrate AlGaN-cladding-free laser diodes (LDs), operating in continuous wave (CW) mode at 482 nm grown by plasma-assisted molecular beam epitaxy (PAMBE). The maximum CW output power was 230 mW. LDs were grown on c-plane GaN substrates obtained by hydride vapor phase epitaxy. The PAMBE process was carried out in metal-rich conditions, supplying high nitrogen flux (Φ N ) during quantum wells (QWs) growth. We found that high Φ N improves quality of high In content InGaN QWs. The role of nitrogen in the growth of InGaN on (0001) GaN surface as well as the influence of LDs design on threshold current density are discussed

  14. InAs film grown on Si(111) by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Caroff, P; Jeppsson, M; Mandl, B; Wernersson, L-E; Wheeler, D; Seabaugh, A; Keplinger, M; Stangl, J; Bauer, G

    2008-01-01

    We report the successful growth of high quality InAs films directly on Si(111) by Metal Organic Vapor Phase Epitaxy. A nearly mirror-like and uniform InAs film is obtained at 580 0 C for a thickness of 2 μm. We measured a high value of the electron mobility of 5100 cm 2 /Vs at room temperature. The growth is performed using a standard two-step procedure. The influence of the nucleation layer, group V flow rate, and layer thickness on the electrical and morphological properties of the InAs film have been investigated. We present results of our studies by Atomic Force Microscopy, Scanning Electron Microscopy, electrical Hall/van der Pauw and structural X-Ray Diffraction characterization

  15. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    International Nuclear Information System (INIS)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah; Patriarche, Gilles; Sundaram, Suresh; El Gmili, Youssef; Salvestrini, Jean-Paul; Heer, Walt A. de; Berger, Claire

    2016-01-01

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  16. Nanoselective area growth of GaN by metalorganic vapor phase epitaxy on 4H-SiC using epitaxial graphene as a mask

    Energy Technology Data Exchange (ETDEWEB)

    Puybaret, Renaud; Jordan, Matthew B.; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Patriarche, Gilles [CNRS, Laboratoire de Photonique et de Nanostructures, Route de Nozay, 91460 Marcoussis (France); Sundaram, Suresh; El Gmili, Youssef [CNRS UMI 2958, Georgia Institute of Technology, 2 Rue Marconi, 57070 Metz (France); Salvestrini, Jean-Paul [Université de Lorraine, CentraleSupélec, LMOPS, EA4423, 57070 Metz (France); Heer, Walt A. de [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Berger, Claire [School of Physics, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); CNRS, Institut Néel, BP166, 38042 Grenoble Cedex 9 (France)

    2016-03-07

    We report the growth of high-quality triangular GaN nanomesas, 30-nm thick, on the C-face of 4H-SiC using nanoselective area growth (NSAG) with patterned epitaxial graphene grown on SiC as an embedded mask. NSAG alleviates the problems of defects in heteroepitaxy, and the high mobility graphene film could readily provide the back low-dissipative electrode in GaN-based optoelectronic devices. A 5–8 graphene-layer film is first grown on the C-face of 4H-SiC by confinement-controlled sublimation of silicon carbide. Graphene is then patterned and arrays of 75-nm-wide openings are etched in graphene revealing the SiC substrate. A 30-nm-thick GaN is subsequently grown by metal organic vapor phase epitaxy. GaN nanomesas grow epitaxially with perfect selectivity on SiC, in the openings patterned through graphene. The up-or-down orientation of the mesas on SiC, their triangular faceting, and cross-sectional scanning transmission electron microscopy show that they are biphasic. The core is a zinc blende monocrystal surrounded with single-crystal wurtzite. The GaN crystalline nanomesas have no threading dislocations or V-pits. This NSAG process potentially leads to integration of high-quality III-nitrides on the wafer scalable epitaxial graphene/silicon carbide platform.

  17. Vapor phase epitaxy of silicon on meso porous silicon for deposition on economical substrate and low cost photovoltaic application

    International Nuclear Information System (INIS)

    Quoizola, S.

    2003-01-01

    The silicon is more and more used in the industry. Meanwhile the production cost is a problem to solve to develop the photovoltaic cells production. This thesis presents a new technology based on the use of a meso-porous silicon upper layer,to grow the active silicon layer of 50 μm width. The photovoltaic cell is then realized, the device is removed and placed on a low cost substrate. The silicon substrate of beginning can be used again after cleaning. The first chapter presents the operating and the characteristics of the silicon photovoltaic cell. The second chapter is devoted to the growth technique, the vapor phase epitaxy, and the third chapter to the epitaxy layer. The chapter four deals with the porous silicon and the structure chosen in this study. The chapter five is devoted to the characterization of the epitaxy layer on porous silicon. The photovoltaic cells realized on these layers are presented in the last chapter. (A.L.B.)

  18. Thermodynamic analysis of trimethylgallium decomposition during GaN metal organic vapor phase epitaxy

    Science.gov (United States)

    Sekiguchi, Kazuki; Shirakawa, Hiroki; Chokawa, Kenta; Araidai, Masaaki; Kangawa, Yoshihiro; Kakimoto, Koichi; Shiraishi, Kenji

    2018-04-01

    We analyzed the decomposition of Ga(CH3)3 (TMG) during the metal organic vapor phase epitaxy (MOVPE) of GaN on the basis of first-principles calculations and thermodynamic analysis. We performed activation energy calculations of TMG decomposition and determined the main reaction processes of TMG during GaN MOVPE. We found that TMG reacts with the H2 carrier gas and that (CH3)2GaH is generated after the desorption of the methyl group. Next, (CH3)2GaH decomposes into (CH3)GaH2 and this decomposes into GaH3. Finally, GaH3 becomes GaH. In the MOVPE growth of GaN, TMG decomposes into GaH by the successive desorption of its methyl groups. The results presented here concur with recent high-resolution mass spectroscopy results.

  19. Metal organic vapor phase epitaxy growth of (Al)GaN heterostructures on SiC/Si(111) templates synthesized by topochemical method of atoms substitution

    DEFF Research Database (Denmark)

    Rozhavskaya, Mariia M.; Kukushkin, Sergey A.; Osipov, Andrey V.

    2017-01-01

    We report a novel approach for metal organic vapor phase epitaxy of (Al)GaN heterostructures on Si substrates. An approximately 90–100 nm thick SiC buffer layer is synthesized using the reaction between Si substrate and CO gas. Highresolution transmission electron microscopy reveals sharp...

  20. Vapor phase epitaxial growth of FeS sub 2 pyrite and evaluation of the carrier collection in liquid-junction solar cell

    Energy Technology Data Exchange (ETDEWEB)

    Ennaoui, A.; Schlichthoerl, G.; Fiechter, S.; Tributsch, H. (Hahn-Meitner-Inst., Abt. Solare Energetik und Materialforschung, Berlin (Germany))

    1992-01-01

    Photoactive epitaxial layers of FeS{sub 2} were grown using bromine as a transport agent and a simple closed ampoule technique. The substrates used were (100)-oriented slices of natural pyrite 1 mm thick. A vapor-liquid-solid (VLS) growth mechanism was elucidated by means of optical microscopy. Macrosteps, terrace surfaces and protuberances are often accompanied with the presence of liquid FeBr{sub 3} droplets. In the absence of a liquid phase growth hillocks are found. Localized photovoltaic response for the evaluation of carrier collection using a scanning laser spot system has been used to effectively locate and characterize non-uniformities present in the epitaxial thin films. (orig.).

  1. Interface amorphization in hexagonal boron nitride films on sapphire substrate grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Yang, Xu; Nitta, Shugo; Pristovsek, Markus; Liu, Yuhuai; Nagamatsu, Kentaro; Kushimoto, Maki; Honda, Yoshio; Amano, Hiroshi

    2018-05-01

    Hexagonal boron nitride (h-BN) films directly grown on c-plane sapphire substrates by pulsed-mode metalorganic vapor phase epitaxy exhibit an interlayer for growth temperatures above 1200 °C. Cross-sectional transmission electron microscopy shows that this interlayer is amorphous, while the crystalline h-BN layer above has a distinct orientational relationship with the sapphire substrate. Electron energy loss spectroscopy shows the energy-loss peaks of B and N in both the amorphous interlayer and the overlying crystalline h-BN layer, while Al and O signals are also seen in the amorphous interlayer. Thus, the interlayer forms during h-BN growth through the decomposition of the sapphire at elevated temperatures.

  2. Epitaxy physical principles and technical implementation

    CERN Document Server

    Herman, Marian A; Sitter, Helmut

    2004-01-01

    Epitaxy provides readers with a comprehensive treatment of the modern models and modifications of epitaxy, together with the relevant experimental and technological framework. This advanced textbook describes all important aspects of the epitaxial growth processes of solid films on crystalline substrates, including a section on heteroepitaxy. It covers and discusses in details the most important epitaxial growth techniques, which are currently widely used in basic research as well as in manufacturing processes of devices, namely solid-phase epitaxy, liquid-phase epitaxy, vapor-phase epitaxy, including metal-organic vapor-phase epitaxy and molecular-beam epitaxy. Epitaxy’s coverage of science and texhnology thin-film is intended to fill the need for a comprehensive reference and text examining the variety of problems related to the physical foundations and technical implementation of epitaxial crystallization. It is intended for undergraduate students, PhD students, research scientists, lecturers and practic...

  3. Aluminum Gallium Nitride Alloys Grown via Metalorganic Vapor-Phase Epitaxy Using a Digital Growth Technique

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-04-01

    This work investigates the use of a digital growth technique as a viable method for achieving high-quality aluminum gallium nitride (Al x Ga1- x N) films via metalorganic vapor-phase epitaxy. Digital alloys are superlattice structures with period thicknesses of a few monolayers. Alloys with an AlN mole fraction ranging from 0.1 to 0.9 were grown by adjusting the thickness of the AlN layer in the superlattice. High-resolution x-ray diffraction was used to determine the superlattice period and c-lattice parameter of the structure, while reciprocal-space mapping was used to determine the a-lattice parameter and evaluate growth coherency. A comparison of the measured lattice parameter with both the nominal value and also the underlying buffer layer is discussed.

  4. Island dynamics and anisotropy during vapor phase epitaxy of m-plane GaN

    Energy Technology Data Exchange (ETDEWEB)

    Perret, Edith [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; University of Fribourg, Department of Physics and Fribourg Center for Nanomaterials, Chemin du Musée 3, CH-1700 Fribourg, Switzerland; Xu, Dongwei [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Highland, M. J. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Stephenson, G. B. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Zapol, P. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Fuoss, P. H. [Materials Science Division, Argonne National Laboratory, Argonne, Illinois 60439, USA; Munkholm, A. [Munkholm Consulting, Mountain View, California 94043, USA; Thompson, Carol [Department of Physics, Northern Illinois University, DeKalb, Illinois 60115, USA

    2017-12-04

    Using in situ grazing-incidence x-ray scattering, we have measured the diffuse scattering from islands that form during layer-by-layer growth of GaN by metal-organic vapor phase epitaxy on the (1010) m-plane surface. The diffuse scattering is extended in the (0001) in-plane direction in reciprocal space, indicating a strong anisotropy with islands elongated along [1210] and closely spaced along [0001]. This is confirmed by atomic force microscopy of a quenched sample. Islands were characterized as a function of growth rate F and temperature. The island spacing along [0001] observed during the growth of the first monolayer obeys a power-law dependence on growth rate F-n, with an exponent n = 0:25 + 0.02. The results are in agreement with recent kinetic Monte Carlo simulations, indicating that elongated islands result from the dominant anisotropy in step edge energy and not from surface diffusion anisotropy. The observed power-law exponent can be explained using a simple steady-state model, which gives n = 1/4.

  5. Suppression of metastable-phase inclusion in N-polar (0001¯) InGaN/GaN multiple quantum wells grown by metalorganic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Shojiki, Kanako; Iwabuchi, Takuya; Kuboya, Shigeyuki; Choi, Jung-Hun; Tanikawa, Tomoyuki; Hanada, Takashi; Katayama, Ryuji; Matsuoka, Takashi; Usami, Noritaka

    2015-01-01

    The metastable zincblende (ZB) phase in N-polar (0001 ¯ ) (−c-plane) InGaN/GaN multiple quantum wells (MQWs) grown by metalorganic vapor phase epitaxy is elucidated by the electron backscatter diffraction measurements. From the comparison between the −c-plane and Ga-polar (0001) (+c-plane), the −c-plane MQWs were found to be suffered from the severe ZB-phase inclusion, while ZB-inclusion is negligible in the +c-plane MQWs grown under the same growth conditions. The ZB-phase inclusion is a hurdle for fabricating the −c-plane light-emitting diodes because the islands with a triangular shape appeared on a surface in the ZB-phase domains. To improve the purity of stable wurtzite (WZ)-phase, the optimum conditions were investigated. The ZB-phase is dramatically eliminated with decreasing the V/III ratio and increasing the growth temperature. To obtain much-higher-quality MQWs, the thinner InGaN wells and the hydrogen introduction during GaN barriers growth were tried. Consequently, MQWs with almost pure WZ phase and with atomically smooth surface have been demonstrated

  6. High quality long-wavelength lasers grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine

    International Nuclear Information System (INIS)

    Miller, B.I.; Young, M.G.; Oron, M.; Koren, U.; Kisker, D.

    1990-01-01

    High quality long-wavelength InGaAsP/InP lasers were grown by atmospheric organometallic vapor phase epitaxy using tertiarybutylarsine (TBA) as a substitute for AsH 3 . Electrical and photoluminescence measurements on InGaAs and InGaAsP showed that TBA-grown material was at least as good as AsH 3 material in terms of suitability for lasers. From two wafers grown by TBA, current thresholds I th as low as 11 mA were obtained for a 2-μm-wide semi-insulating blocking planar buried heterostructure laser lasing near 1.3 μm wavelength. The differential quantum efficiencies η D were as high as 21%/facet with a low internal loss α=21 cm -1 . In addition I th as low as 18 mA and η D as high as 18% have been obtained for multiplequantum well lasers at 1.54 μm wavelength. These results show that TBA might be used to replace AsH 3 without compromising on laser performance

  7. Improvement of electrical property of Si-doped GaN grown on r-plane sapphire by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kusakabe, K.; Furuzuki, T.; Ohkawa, K.

    2006-01-01

    Electrical property of Si-doped GaN layers grown on r-plane sapphire substrates by atmospheric metalorganic vapor-phase epitaxy was investigated. The electron mobility was drastically improved when GaN was grown by means of optimized combinations of growth temperature and low-temperature GaN buffer thickness. The highest room-temperature mobility of 220cm 2 /Vs was recorded at the carrier density of 1.1x10 18 cm -3 . Temperature dependence of electrical property revealed that the peak mobility of 234cm 2 /Vs was obtained at 249K. From the slope of carrier density as a function of inverse temperature, the activation energy of Si-donors was evaluated to be 11meV

  8. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.; Alves, E.; Roqan, Iman S.; O’ Donnell, K. P.; Nishikawa, A.; Fujiwara, Y.; Boćkowski, M.

    2010-01-01

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  9. Lattice site location of optical centers in GaN:Eu light emitting diode material grown by organometallic vapor phase epitaxy

    KAUST Repository

    Lorenz, K.

    2010-09-16

    Eu-doped GaN was grown by organometallic vapor phase epitaxy at temperatures from 900 to 1100 °C. Eu incorporation is influenced by temperature with the highest concentration found for growth at 1000 °C. In all samples, Eu is incorporated entirely on substitutional Ga sites with a slight displacement which is highest (∼0.2 Å) in the sample grown at 900 °C and mainly directed along the c-axis. The major optical Eu3+ centers are identical for in situdoped and ion-implanted samples after high temperature and pressure annealing. The dominant Eu3+luminescence lines are attributed to isolated, substitutional Eu.

  10. Structural and electrical properties of InAs/GaSb superlattices grown by metalorganic vapor phase epitaxy for midwavelength infrared detectors

    Energy Technology Data Exchange (ETDEWEB)

    Arikata, Suguru; Kyono, Takashi [Semiconductor Technologies Laboratory, Sumitomo Electric Industries, LTD., Hyogo (Japan); Miura, Kouhei; Balasekaran, Sundararajan; Inada, Hiroshi; Iguchi, Yasuhiro [Transmission Devices Laboratory, Sumitomo Electric Industries, LTD., Yokohama (Japan); Sakai, Michito [Sensor System Research Group, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Katayama, Haruyoshi [Space Technology Directorate I, Japan Aerospace Exploration Agency (JAXA), Tsukuba, Ibaraki (Japan); Kimata, Masafumi [College of Science and Engineering, Ritsumeikan University, Shiga (Japan); Akita, Katsushi [Sumiden Semiconductor Materials, LTD., Hyogo (Japan)

    2017-03-15

    InAs/GaSb superlattice (SL) structures were fabricated on GaSb substrates by metalorganic vapor phase epitaxy (MOVPE) toward midwavelength infrared (MWIR) photodiodes. Almost defect-free 200-period SLs with a strain-compensation interfacial layer were successfully fabricated and demonstrate an intense photoluminescence peak centered at 6.1 μm at 4 K and an external quantum efficiency of 31% at 3.5 μm at 20 K. These results indicate that the high-performance MWIR detectors can be fabricated in application with the InAs/GaSb SLs grown by MOVPE as an attractive method for production. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  11. Preparation of 2-in.-diameter (001) β-Ga2O3 homoepitaxial wafers by halide vapor phase epitaxy

    Science.gov (United States)

    Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Sasaki, Kohei; Goto, Ken; Konishi, Keita; Murakami, Hisashi; Kuramata, Akito; Kumagai, Yoshinao; Yamakoshi, Shigenobu

    2017-11-01

    The homoepitaxial growth of thick β-Ga2O3 layers on 2-in.-diameter (001) wafers was demonstrated by halide vapor phase epitaxy. Growth rates of 3 to 4 µm/h were confirmed for growing intentionally Si-doped n-type layers. A homoepitaxial layer with an average thickness and carrier concentration of 10.9 µm and 2.7 × 1016 cm-3 showed standard deviations of 1.8 µm (16.5%) and 0.5 × 1016 cm-3 (19.7%), respectively. Ni Schottky barrier diodes fabricated directly on a 5.3-µm-thick homoepitaxial layer with a carrier concentration of 3.4 × 1016 cm-3 showed reasonable reverse and forward characteristics, i.e., breakdown voltages above 200 V and on-resistances of 3.8-7.7 mΩ cm2 at room temperature.

  12. Optical properties of C-doped bulk GaN wafers grown by halide vapor phase epitaxy

    International Nuclear Information System (INIS)

    Khromov, S.; Hemmingsson, C.; Monemar, B.; Hultman, L.; Pozina, G.

    2014-01-01

    Freestanding bulk C-doped GaN wafers grown by halide vapor phase epitaxy are studied by optical spectroscopy and electron microscopy. Significant changes of the near band gap (NBG) emission as well as an enhancement of yellow luminescence have been found with increasing C doping from 5 × 10 16 cm −3 to 6 × 10 17 cm −3 . Cathodoluminescence mapping reveals hexagonal domain structures (pits) with high oxygen concentrations formed during the growth. NBG emission within the pits even at high C concentration is dominated by a rather broad line at ∼3.47 eV typical for n-type GaN. In the area without pits, quenching of the donor bound exciton (DBE) spectrum at moderate C doping levels of 1–2 × 10 17 cm −3 is observed along with the appearance of two acceptor bound exciton lines typical for Mg-doped GaN. The DBE ionization due to local electric fields in compensated GaN may explain the transformation of the NBG emission

  13. Epitaxial III-V nanowires on silicon for vertical devices

    NARCIS (Netherlands)

    Bakkers, E.P.A.M.; Borgström, M.T.; Einden, Van Den W.; Weert, van M.H.M.; Helman, A.; Verheijen, M.A.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the Vapor-Liquid-Solid (VLS) mechanism with laser ablation as well as metal organic vapor phase epitaxy. The VLS growth enables the fabrication of complex axial and radial

  14. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    Science.gov (United States)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  15. Influence of the interface on growth rates in AlN/GaN short period superlattices via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Korakakis, D.

    2011-11-01

    AlN/GaN short period superlattices are well suited for a number of applications including, but not limited to, digital alloys, intersubband devices, and emitters. In this work, AlN/GaN superlattices with periodicities ranging from 10 to 20 Å have been grown via metal organic vapor phase epitaxy in order to investigate the influence of the interface on the binary alloy growth rates. The GaN growth rate at the interface was observed to decrease with increasing GaN thickness while the AlN growth rate remained constant. This has been attributed to a decrease in the decomposition rate of GaN at the hetero-interface as seen in other III-V hetero-structures.

  16. High growth rate GaN on 200 mm silicon by metal-organic vapor phase epitaxy for high electron mobility transistors

    Science.gov (United States)

    Charles, M.; Baines, Y.; Bavard, A.; Bouveyron, R.

    2018-02-01

    It is increasingly important to reduce the cycle time of epitaxial growth, in order to reduce the costs of device fabrication, especially for GaN based structures which typically have growth cycles of several hours. We have performed a comprehensive study using metal-organic vapor phase epitaxy (MOVPE) investigating the effects of changing GaN growth rates from 0.9 to 14.5 μm/h. Although there is no significant effect on the strain incorporated in the layers, we have seen changes in the surface morphology which can be related to the change in dislocation behaviour and surface diffusion effects. At the small scale, as seen by AFM, increased dislocation density for higher growth rates leads to increased pinning of growth terraces, resulting in more closely spaced terraces. At a larger scale of hundreds of μm observed by optical profiling, we have related the formation of grains to the rate of surface diffusion of adatoms using a random walk model, implying diffusion distances from 30 μm for the highest growth rates up to 100 μm for the lowest. The increased growth rate also increases the intrinsic carbon incorporation which can increase the breakdown voltage of GaN films. Despite an increased threading dislocation density, these very high growth rates of 14.5 μm/hr by MOVPE have been shown to be appealing for reducing epitaxial growth cycle times and therefore costs in High Electron Mobility Transistor (HEMT) structures.

  17. Study of Charge Carrier Transport in GaN Sensors

    Science.gov (United States)

    Gaubas, Eugenijus; Ceponis, Tomas; Kuokstis, Edmundas; Meskauskaite, Dovile; Pavlov, Jevgenij; Reklaitis, Ignas

    2016-01-01

    Capacitor and Schottky diode sensors were fabricated on GaN material grown by hydride vapor phase epitaxy and metal-organic chemical vapor deposition techniques using plasma etching and metal deposition. The operational characteristics of these devices have been investigated by profiling current transients and by comparing the experimental regimes of the perpendicular and parallel injection of excess carrier domains. Profiling of the carrier injection location allows for the separation of the bipolar and the monopolar charge drift components. Carrier mobility values attributed to the hydride vapor phase epitaxy (HVPE) GaN material have been estimated as μe = 1000 ± 200 cm2/Vs for electrons, and μh = 400 ± 80 cm2/Vs for holes, respectively. Current transients under injection of the localized and bulk packets of excess carriers have been examined in order to determine the surface charge formation and polarization effects. PMID:28773418

  18. Structural characterization of epitaxial LiFe_5O_8 thin films grown by chemical vapor deposition

    International Nuclear Information System (INIS)

    Loukya, B.; Negi, D.S.; Sahu, R.; Pachauri, N.; Gupta, A.; Datta, R.

    2016-01-01

    We report on detailed microstructural and atomic ordering characterization by transmission electron microscopy in epitaxial LiFe_5O_8 (LFO) thin films grown by chemical vapor deposition (CVD) on MgO (001) substrates. The experimental results of LFO thin films are compared with those for bulk LFO single crystal. Electron diffraction studies indicate weak long-range ordering in LFO (α-phase) thin films in comparison to bulk crystal where strong ordering is observed in optimally annealed samples. The degree of long-range ordering depends on the growth conditions and the thickness of the film. Annealing experiment along with diffraction study confirms the formation of α-Fe_2O_3 phase in some regions of the films. This suggests that under certain growth conditions γ-Fe_2O_3-like phase forms in some pockets in the as-grown LFO thin films that then convert to α-Fe_2O_3 on annealing. - Highlights: • Atomic ordering in LiFe_5O_8 bulk single crystal and epitaxial thin films. • Electron diffraction studies reveal different level of ordering in the system. • Formation of γ-Fe_2O_3 like phase has been observed.

  19. Electrical, luminescent, and deep trap properties of Si doped n-GaN grown by pendeo epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Polyakov, A. Y. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Smirnov, N. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Rare Metals, B. Tolmachevsky, 5, Moscow 119017 (Russian Federation); Yakimov, E. B. [National University of Science and Technology MISiS, Leninskiy pr. 4, Moscow 119049 (Russian Federation); Institute of Microelectronics Technology and High Purity Materials, Russian Academy of Science, 6, Academician Ossipyan str., Chernogolovka, Moscow Region 142432 (Russian Federation); Lee, In-Hwan, E-mail: ihlee@jbnu.ac.kr [School of Advanced Materials Engineering and Research Center of Advanced Materials Development, Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Pearton, S. J. [University of Florida, Gainesville, Florida 32611 (United States)

    2016-01-07

    Electrical and luminescent properties and deep trap spectra of Si doped GaN films grown by maskless epitaxial lateral overgrowth (MELO) are reported. The dislocation density in the wing region of the structure was 10{sup 6 }cm{sup −2}, while in the seed region it was 10{sup 8 }cm{sup −2}. The major electron traps present had activation energy of 0.56 eV and concentrations in the high 10{sup 15 }cm{sup −3} range. A comparison of diffusion length values and 0.56 eV trap concentration in MELO GaN and epitaxial lateral overgrowth (ELOG) GaN showed a good correlation, suggesting these traps could be effective in carrier recombination. The doped MELO films were more uniform in their electrical properties than either ELOG films or undoped MELO films. We also discuss the differences in deep trap spectra and luminescence spectra of low-dislocation-density MELO, ELOG, and bulk n-GaN samples grown by hydride vapor phase epitaxy. It is suggested that the observed differences could be caused by the differences in oxygen and carbon contamination levels.

  20. Hydrogen Outgassing from Lithium Hydride

    Energy Technology Data Exchange (ETDEWEB)

    Dinh, L N; Schildbach, M A; Smith, R A; Balazs1, B; McLean II, W

    2006-04-20

    Lithium hydride is a nuclear material with a great affinity for moisture. As a result of exposure to water vapor during machining, transportation, storage and assembly, a corrosion layer (oxide and/or hydroxide) always forms on the surface of lithium hydride resulting in the release of hydrogen gas. Thermodynamically, lithium hydride, lithium oxide and lithium hydroxide are all stable. However, lithium hydroxides formed near the lithium hydride substrate (interface hydroxide) and near the sample/vacuum interface (surface hydroxide) are much less thermally stable than their bulk counterpart. In a dry environment, the interface/surface hydroxides slowly degenerate over many years/decades at room temperature into lithium oxide, releasing water vapor and ultimately hydrogen gas through reaction of the water vapor with the lithium hydride substrate. This outgassing can potentially cause metal hydriding and/or compatibility issues elsewhere in the device. In this chapter, the morphology and the chemistry of the corrosion layer grown on lithium hydride (and in some cases, its isotopic cousin, lithium deuteride) as a result of exposure to moisture are investigated. The hydrogen outgassing processes associated with the formation and subsequent degeneration of this corrosion layer are described. Experimental techniques to measure the hydrogen outgassing kinetics from lithium hydride and methods employing the measured kinetics to predict hydrogen outgassing as a function of time and temperature are presented. Finally, practical procedures to mitigate the problem of hydrogen outgassing from lithium hydride are discussed.

  1. Surfactant effects of indium on cracking in AlN/GaN distributed Bragg reflectors grown via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Miller, C. M.; Korakakis, D.

    2011-01-01

    Aluminum Nitride (AlN) and Gallium Nitride (GaN) superlattice structures are often characterized by a network of cracks resulting from the large lattice mismatch and difference in thermal expansion coefficients, especially as the thickness of the layers increases. This work investigates the influence of indium as a surfactant on strain and cracking in AlN/GaN DBRs grown via Metal Organic Vapor Phase Epitaxy (MOVPE). DBRs with peak reflectivities ranging from 465 nm to 540 nm were grown and indium was introduced during the growth of the AlN layer. Image processing techniques were used to quantify the crack length per square millimeter and it was observed that indium has a significant effect on the crack formation and reduced the total crack length in these structures by a factor of two.

  2. Effect of gas flow on the selective area growth of gallium nitride via metal organic vapor phase epitaxy

    Science.gov (United States)

    Rodak, L. E.; Kasarla, K. R.; Korakakis, D.

    2007-08-01

    The effect of gas flow on the selective area growth (SAG) of gallium nitride (GaN) grown via metal organic vapor phase epitaxy (MOVPE) has been investigated. In this study, the SAG of GaN was carried out on a silicon dioxide striped pattern along the GaN direction. SAG was initiated with the striped pattern oriented parallel and normal to the incoming gas flow in a horizontal reactor. The orientation of the pattern did not impact cross section of the structure after re-growth as both orientations resulted in similar trapezoidal structures bounded by the (0 0 0 1) and {1 1 2¯ n} facets ( n≈1.7-2.2). However, the growth rates were shown to depend on the orientation of the pattern as the normally oriented samples exhibited enhanced vertical and cross-sectional growth rates compared to the parallel oriented samples. All growths occurred under identical conditions and therefore the difference in growth rates must be attributed to a difference in mass transport of species.

  3. Anatase thin film with diverse epitaxial relationship grown on yttrium stabilized zirconia substrate by chemical vapor deposition

    International Nuclear Information System (INIS)

    Miyagi, Takahira; Ogawa, Tomoyuki; Kamei, Masayuki; Wada, Yoshiki; Mitsuhashi, Takefumi; Yamazaki, Atsushi

    2003-01-01

    An anatase epitaxial thin film with diverse epitaxial relationship, YSZ (001) // anatase (001), YSZ (010) // anatase (110), was grown on a single crystalline yttrium stabilized zirconia (YSZ) (001) substrate by metal organic chemical vapor deposition (MOCVD). The full width at half maximum (FWHM) of the (004) reflection of this anatase epitaxial film was 0.4deg, and the photoluminescence of this anatase epitaxial film showed visible emission with broad spectral width and large Stokes shift at room temperature. These results indicate that this anatase epitaxial film possessed almost equal crystalline quality compared with that grown under identical growth conditions on single crystalline SrTiO 3 substrate. (author)

  4. Hall-effect measurements of metalorganic vapor-phase epitaxy-grown p-type homoepitaxial GaN layers with various Mg concentrations

    Science.gov (United States)

    Horita, Masahiro; Takashima, Shinya; Tanaka, Ryo; Matsuyama, Hideaki; Ueno, Katsunori; Edo, Masaharu; Takahashi, Tokio; Shimizu, Mitsuaki; Suda, Jun

    2017-03-01

    Mg-doped p-type gallium nitride (GaN) layers with doping concentrations in the range from 6.5 × 1016 cm-3 (lightly doped) to 3.8 × 1019 cm-3 (heavily doped) were investigated by Hall-effect measurement for the analysis of hole concentration and mobility. p-GaN was homoepitaxially grown on a GaN free-standing substrate by metalorganic vapor-phase epitaxy. The threading dislocation density of p-GaN was 4 × 106 cm-2 measured by cathodoluminescence mapping. Hall-effect measurements of p-GaN were carried out at a temperature in the range from 130 to 450 K. For the lightly doped p-GaN, the acceptor concentration of 7.0 × 1016 cm-3 and the donor concentration of 3.2 × 1016 cm-3 were obtained, where the compensation ratio was 46%. We also obtained the depth of the Mg acceptor level to be 220 meV. The hole mobilities of 86, 31, 14 cm2 V-1 s-1 at 200, 300, 400 K, respectively, were observed in the lightly doped p-GaN.

  5. Neutron scattering study of the phase transformation of LaNi3 induced by hydriding

    International Nuclear Information System (INIS)

    Ruan Jinghui; Zeng Xiangxin; Niu Shiwen

    1994-01-01

    The phase transformation of LaNi 3 induced by hydriding and de-hydriding is investigated using the neutron diffraction and the neutron inelastic scattering. The results show that the hydriding sample, LaNi 3 H x , is transformed from crystalline state of the LaNi 3 into amorphous state with a microcrystalline characteristic of LaNi 5 , and the de-hydriding sample produced by LaNi 3 H x dehydrated at 600 degree C is decomposed into new crystalline states composed by LaNi 5 -and La-hydrides. The procedure of phase transformation is that the result of the transformation of LaNi 3 induced by hydriding shows the properties of LaNi 5 -H 2 system

  6. Growth and optical characteristics of Tm-doped AlGaN layer grown by organometallic vapor phase epitaxy

    Science.gov (United States)

    Takatsu, J.; Fuji, R.; Tatebayashi, J.; Timmerman, D.; Lesage, A.; Gregorkiewicz, T.; Fujiwara, Y.

    2018-04-01

    We report on the growth and optical properties of Tm-doped AlGaN layers by organometallic vapor phase epitaxy (OMVPE). The morphological and optical properties of Tm-doped GaN (GaN:Tm) and Tm-doped AlGaN (AlGaN:Tm) were investigated by Nomarski differential interference contrast microscopy and photoluminescence (PL) characterization. Nomarski images reveal an increase of surface roughness upon doping Tm into both GaN and AlGaN layers. The PL characterization of GaN:Tm shows emission in the near-infrared range originating from intra-4f shell transitions of Tm3+ ions. In contrast, AlGaN:Tm also exhibits blue light emission from Tm3+ ions. In that case, the wider band gap of the AlGaN host allows energy transfer to higher states of the Tm3+ ions. With time-resolved PL measurements, we could distinguish three types of luminescent sites of Tm3+ in the AlGaN:Tm layer, having different decay times. Our results confirm that Tm ions can be doped into GaN and AlGaN by OMVPE, and show potential for the fabrication of novel high-color-purity blue light emitting diodes.

  7. Magnesium hydrides and their phase transitions

    Czech Academy of Sciences Publication Activity Database

    Paidar, Václav

    2016-01-01

    Roč. 41, č. 23 (2016), s. 9769-9773 ISSN 0360-3199 R&D Projects: GA MŠk(CZ) LD13069 Institutional support: RVO:68378271 Keywords : hydrogen * magnesium and transition metal hydrides * crystal structure stability * displacive phase transformations Subject RIV: BM - Solid Matter Physics ; Magnetism Impact factor: 3.582, year: 2016

  8. Controlling the size of InAs quantum dots on Si1-xGex/Si(0 0 1) by metalorganic vapor-phase epitaxy

    International Nuclear Information System (INIS)

    Kawaguchi, Kenichi; Ebe, Hiroji; Ekawa, Mitsuru; Sugama, Akio; Arakawa, Yasuhiko

    2009-01-01

    The formation of III-V InAs quantum dots (QDs) on group-IV Si 1-x Ge x /Si(0 0 1) was investigated by metalorganic vapor-phase epitaxy. Two types of QDs, round-shaped QDs and giant QDs elongated in the [1 1 0] or [1,-1,0] direction, were observed in a growth condition of low V/III ratios. An increase in the V/III ratio and AsH 3 preflow during the cooling process was found to suppress the formation of giant QDs. It was considered that replacing the H-stabilized SiGe surface with the As-stabilized surface was necessary for increasing the QD nucleation. The size and density of InAs QDs on SiGe were controllable as well as that on III-V semiconductor buffer layers, and InAs QDs with a density as high as 5 x 10 10 cm -2 were obtained.

  9. Scalable solution-phase epitaxial growth of symmetry-mismatched heterostructures on two-dimensional crystal soft template.

    Science.gov (United States)

    Lin, Zhaoyang; Yin, Anxiang; Mao, Jun; Xia, Yi; Kempf, Nicholas; He, Qiyuan; Wang, Yiliu; Chen, Chih-Yen; Zhang, Yanliang; Ozolins, Vidvuds; Ren, Zhifeng; Huang, Yu; Duan, Xiangfeng

    2016-10-01

    Epitaxial heterostructures with precisely controlled composition and electronic modulation are of central importance for electronics, optoelectronics, thermoelectrics, and catalysis. In general, epitaxial material growth requires identical or nearly identical crystal structures with small misfit in lattice symmetry and parameters and is typically achieved by vapor-phase depositions in vacuum. We report a scalable solution-phase growth of symmetry-mismatched PbSe/Bi 2 Se 3 epitaxial heterostructures by using two-dimensional (2D) Bi 2 Se 3 nanoplates as soft templates. The dangling bond-free surface of 2D Bi 2 Se 3 nanoplates guides the growth of PbSe crystal without requiring a one-to-one match in the atomic structure, which exerts minimal restriction on the epitaxial layer. With a layered structure and weak van der Waals interlayer interaction, the interface layer in the 2D Bi 2 Se 3 nanoplates can deform to accommodate incoming layer, thus functioning as a soft template for symmetry-mismatched epitaxial growth of cubic PbSe crystal on rhombohedral Bi 2 Se 3 nanoplates. We show that a solution chemistry approach can be readily used for the synthesis of gram-scale PbSe/Bi 2 Se 3 epitaxial heterostructures, in which the square PbSe (001) layer forms on the trigonal/hexagonal (0001) plane of Bi 2 Se 3 nanoplates. We further show that the resulted PbSe/Bi 2 Se 3 heterostructures can be readily processed into bulk pellet with considerably suppressed thermal conductivity (0.30 W/m·K at room temperature) while retaining respectable electrical conductivity, together delivering a thermoelectric figure of merit ZT three times higher than that of the pristine Bi 2 Se 3 nanoplates at 575 K. Our study demonstrates a unique epitaxy mode enabled by the 2D nanocrystal soft template via an affordable and scalable solution chemistry approach. It opens up new opportunities for the creation of diverse epitaxial heterostructures with highly disparate structures and functions.

  10. Aluminum Nitride Micro-Channels Grown via Metal Organic Vapor Phase Epitaxy for MEMs Applications

    Energy Technology Data Exchange (ETDEWEB)

    Rodak, L.E.; Kuchibhatla, S.; Famouri, P.; Ting, L.; Korakakis, D.

    2008-01-01

    Aluminum nitride (AlN) is a promising material for a number of applications due to its temperature and chemical stability. Furthermore, AlN maintains its piezoelectric properties at higher temperatures than more commonly used materials, such as Lead Zirconate Titanate (PZT) [1, 2], making AlN attractive for high temperature micro and nanoelectromechanical (MEMs and NEMs) applications including, but not limited to, high temperature sensors and actuators, micro-channels for fuel cell applications, and micromechanical resonators. This work presents a novel AlN micro-channel fabrication technique using Metal Organic Vapor Phase Epitaxy (MOVPE). AlN easily nucleates on dielectric surfaces due to the large sticking coefficient and short diffusion length of the aluminum species resulting in a high quality polycrystalline growth on typical mask materials, such as silicon dioxide and silicon nitride [3,4]. The fabrication process introduced involves partially masking a substrate with a silicon dioxide striped pattern and then growing AlN via MOVPE simultaneously on the dielectric mask and exposed substrate. A buffered oxide etch is then used to remove the underlying silicon dioxide and leave a free standing AlN micro-channel. The width of the channel has been varied from 5 ìm to 110 ìm and the height of the air gap from 130 nm to 800 nm indicating the stability of the structure. Furthermore, this versatile process has been performed on (111) silicon, c-plane sapphire, and gallium nitride epilayers on sapphire substrates. Reflection High Energy Electron Diffraction (RHEED), Atomic Force Microscopy (AFM), and Raman measurements have been taken on channels grown on each substrate and indicate that the substrate is influencing the growth of the AlN micro-channels on the SiO2 sacrificial layer.

  11. Controlling the physical parameters of crystalline CIGS nanowires for use in superstrate configuration using vapor phase epitaxy

    Science.gov (United States)

    Lee, Dongjin; Jeon, H. C.; Kang, T. W.; Kumar, Sunil

    2018-03-01

    Indium tin oxide (ITO) is a suitable candidate for smart windows and bifacial semi-transparent solar cell applications. In this study, highly crystalline CuInGaSe2 (CIGS) nanowires were successfully grown by horizontal-type vapor phase epitaxy on an ITO substrate. Length, diameter, and density of the nanowires were studied by varying the growth temperature (500, 520, and 560 °C), time (3.5, 6.5, and 9.5 h), and type of catalyst (In, Au, and Ga). Length, diameter, and density of the nanowires were found to be highly dependent on the growth conditions. At an optimized growth period and temperature of 3.5 h and 520 °C, respectively, the length and diameter of the nanowires were found to increase when grown in a catalyst-free environment. However, the density of the nanowires was found to be higher while using a catalyst during growth. Even in a catalyst-free environment, an Indium cluster formed at the bottom of the nanowires. The source of these nanowires is believed to be Indium from the ITO substrate which was observed in the EDS measurement. TEM-based EDS and line EDS indicated that the nanowires are made up of CIGS material with a very low Gallium content. XRD measurements also show the appearance of wurtzite CIS nanowires grown on ITO in addition to the chalcopyrite phase. PL spectroscopy was done to see the near-band-edge emission for finding band-to-band optical transition in this material. Optical response of the CIGS nanowire network was also studied to see the photovoltaic effect. This work creates opportunities for making real solar cell devices in superstrate configuration.

  12. Hydrides of Alkaline Earth–Tetrel (AeTt) Zintl Phases: Covalent Tt–H Bonds from Silicon to Tin

    Energy Technology Data Exchange (ETDEWEB)

    Auer, Henry; Guehne, Robin; Bertmer, Marko; Weber, Sebastian; Wenderoth, Patrick; Hansen, Thomas Christian; Haase, Jürgen; Kohlmann, Holger (Leipzig); (Saarland-MED); (ILL)

    2017-01-18

    Zintl phases form hydrides either by incorporating hydride anions (interstitial hydrides) or by covalent bonding of H to the polyanion (polyanionic hydrides), which yields a variety of different compositions and bonding situations. Hydrides (deuterides) of SrGe, BaSi, and BaSn were prepared by hydrogenation (deuteration) of the CrB-type Zintl phases AeTt and characterized by laboratory X-ray, synchrotron, and neutron diffraction, NMR spectroscopy, and quantum-chemical calculations. SrGeD4/3–x and BaSnD4/3–x show condensed boatlike six-membered rings of Tt atoms, formed by joining three of the zigzag chains contained in the Zintl phase. These new polyanionic motifs are terminated by covalently bound H atoms with d(Ge–D) = 1.521(9) Å and d(Sn–D) = 1.858(8) Å. Additional hydride anions are located in Ae4 tetrahedra; thus, the features of both interstitial hydrides and polyanionic hydrides are represented. BaSiD2–x retains the zigzag Si chain as in the parent Zintl phase, but in the hydride (deuteride), it is terminated by H (D) atoms, thus forming a linear (SiD) chain with d(Si–D) = 1.641(5) Å.

  13. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  14. Epitaxial Integration of Nanowires in Microsystems by Local Micrometer Scale Vapor Phase Epitaxy

    DEFF Research Database (Denmark)

    Mølhave, Kristian; Wacaser, Brent A.; Petersen, Dirch Hjorth

    2008-01-01

    deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating...... a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid...

  15. Synthesis and characterization of a liquid Eu precursor (EuCppm2) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Mitchell, Brandon; Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf; Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru; Ofuchi, Hironori; Honma, Tetsuo; Fujiwara, Yasufumi

    2017-01-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu 2+ to Eu 3+ could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  16. Characterization of a U-Mo alloy subjected to direct hydriding of the gamma phase

    International Nuclear Information System (INIS)

    Balart, Silvia N.; Bruzzoni, Pablo; Granovsky, Marta S.

    2003-01-01

    The Reduced Enrichment for Research and Test Reactors (RERTR) program has imposed the need to develop plate-type fuel elements based on high density uranium compounds, such as U-Mo alloys. One of the steps in the fabrication of the fuel elements is the pulverization of the fissile material. In the case of the U-Mo alloys, the pulverization can be accomplished through hydriding - dehydriding. Two alternative methods of the hydriding-dehydriding process, namely the selective hydriding in alpha phase (HS-alpha) and the massive hydriding in gamma phase (HM-gamma) are currently being studied at the Comision Nacional de Energia Atomica. The HM-gamma method was reproduced at laboratory scale starting from a U-7 wt % Mo alloy. The hydrided and dehydrided materials were characterized using metallographic techniques, scanning electron microscopy, energy dispersive X-ray analysis and X-ray diffraction. These results are compared with previous results of the HS-alpha method. (author)

  17. Epitaxial growth and new phase of single crystal Dy by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Yang, Kai-Yueh; Homma, Hitoshi; Schuller, I.K.

    1987-09-01

    We have grown two novel epitaxial phases of dysprosium (Dy) on vanadium (V) by molecular beam epitaxy technique. Surface and bulk structures are studied by in-situ reflection high energy electron diffraction (RHEED) and x-ray diffraction techniques. The new hcp phases are ∼4% expanded uniformly in-plane (0001), and ∼9% and ∼4% expanded out of plane along the c-axes for non-interrupted and interrupted deposition case, respectively. We also observed (2 x 2), (3 x 3), and (4 x 4) Dy surface reconstruction patterns and a series of transitions as the Dy film thickness increases. 12 refs., 3 figs

  18. Semiconductor light sources fabricated by vapor phase epitaxial regrowth

    International Nuclear Information System (INIS)

    Powazinik, W.; Olshansky, R.; Meland, E.; Lauer, R.B.

    1986-01-01

    An extremely versatile technique for the fabrication of semiconductor light sources is described. The technique which is based on the halide vapor phase regrowth (VPR) of InP on channeled and selectively etched InGaAsP/InP double heterostructure material, results in a buried heterostructure (BH) index-guided VPR-BH diode laser structure which can be optimized for a number of different types of semiconductor light sources. The conditions and parameters associated with the halide VPR process are given, and the properties of the regrown InP are reported. The processing and characterization of high-frequency lasers with 18-GHz bandwidths and high-power lasers with cw single-spatial-mode powers of 60 mW are described. Additionally, the fabrication and characterization of superluminescent LEDs based on the this basic VPR-BH structure are described. These LEDs are capable of coupling more than 80 μW of optical power into a single-mode fiber at 100 mA, and can couple as much as 8 μW of optical power into a single-mode fiber at drive currents as low as 20 mA

  19. Uranium/water vapor reactions in gaseous atmospheres

    International Nuclear Information System (INIS)

    Jackson, R.L.; Condon, J.B.; Steckel, L.M.

    1977-07-01

    Experiments have been performed to determine the effect of varying humidities, gaseous atmospheres, and temperatures on the uranium/water vapor reaction. A balance, which allowed continuous in-system weighings, was used to determine the rates of the uranium/water vapor reactions at water vapor pressures of 383, 1586, and 2853 Pa and at temperatures of 80, 100, and 150 0 C in atmospheres of hydrogen, argon, or argon/oxygen mixtures. Based on rate data, the reactions were characterized as hydriding or nonhydriding. Hydriding reactions were found to be preferred in moist hydrogen systems at the higher temperatures and the lower humidities. The presence of hydrogen in hydriding systems was found to initially inhibit the reaction, but causes an acceleration of the rate in the final stages. In general, reaction rates of hydriding systems approached the hydriding rates calculated and observed in dry hydrogen. Hydriding and nonhydriding reaction rates showed a positive correlation to temperature and water vapor pressure. Final reaction rates in moist argon/oxygen mixtures of 1.93, 4.57, and 9.08 mole percent oxygen were greater than the rates observed in moist hydrogen or argon. Final reaction rates were negatively correlated to the oxygen concentration

  20. GaN:Co epitaxial layers grown by MOVPE

    Czech Academy of Sciences Publication Activity Database

    Šimek, P.; Sedmidubský, D.; Klímová, K.; Mikulics, M.; Maryško, Miroslav; Veselý, M.; Jurek, Karel; Sofer, Z.

    2015-01-01

    Roč. 44, Mar (2015), 62-68 ISSN 0022-0248 R&D Projects: GA ČR GA13-20507S Institutional support: RVO:68378271 Keywords : doping * metalorganic vapor phase epitaxy * cobalt * gallium compounds * nitrides * magnetic materials spintronics Subject RIV: CA - Inorganic Chemistry Impact factor: 1.462, year: 2015

  1. High power ultraviolet light emitting diodes based on GaN/AlGaN quantum wells produced by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Cabalu, J. S.; Bhattacharyya, A.; Thomidis, C.; Friel, I.; Moustakas, T. D.; Collins, C. J.; Komninou, Ph.

    2006-01-01

    In this paper, we report on the growth by molecular beam epitaxy and fabrication of high power nitride-based ultraviolet light emitting diodes emitting in the spectral range between 340 and 350 nm. The devices were grown on (0001) sapphire substrates via plasma-assisted molecular beam epitaxy. The growth of the light emitting diode (LED) structures was preceded by detailed materials studies of the bottom n-AlGaN contact layer, as well as the GaN/AlGaN multiple quantum well (MQW) active region. Specifically, kinetic conditions were identified for the growth of the thick n-AlGaN films to be both smooth and to have fewer defects at the surface. Transmission-electron microscopy studies on identical GaN/AlGaN MQWs showed good quality and well-defined interfaces between wells and barriers. Large area mesa devices (800x800 μm 2 ) were fabricated and were designed for backside light extraction. The LEDs were flip-chip bonded onto a Si submount for better heat sinking. For devices emitting at 340 nm, the measured differential on-series resistance is 3 Ω with electroluminescence spectrum full width at half maximum of 18 nm. The output power under dc bias saturates at 0.5 mW, while under pulsed operation it saturates at approximately 700 mA to a value of 3 mW, suggesting that thermal heating limits the efficiency of these devices. The output power of the investigated devices was found to be equivalent with those produced by the metal-organic chemical vapor deposition and hydride vapor-phase epitaxy methods. The devices emitting at 350 nm were investigated under dc operation and the output power saturates at 4.5 mW under 200 mA drive current

  2. Tunnel currents produced by defects in p-n junctions of GaAs grown on vapor phase

    International Nuclear Information System (INIS)

    Barrales Guadarrama, V R; Rodríguez Rodriguez, E M; Barrales Guadarrama, R; Reyes Ayala, N

    2017-01-01

    With the purpose of assessing if the epitaxy on vapor phase technique “Close Space Vapor Deposition (CSVT)” is capable of produce thin films with adequate properties in order to manufacture p-n junctions, a study of invert and direct current was developed, in a temperature range of 94K to 293K, to junctions p-n of GaAs grown through the technique CSVT. It is shown that the dominant current, within the range 10 -7 to 10 -2 A, is consistent with a currents model of the type of internal emission form field, which shows these currents are due to the presence of localized states in the band gap. (paper)

  3. Oxygen and minority carrier lifetimes in N-and P-type AL0.2GA0.8AS grown by metal organics vapor phase epitaxy

    International Nuclear Information System (INIS)

    Zahraman, Khaled; Leroux, M.; Gibart, P.; Zaidi, M.A.; Bremond, G.; Guillot, G.

    2000-01-01

    author.The minority carrier lifetimes in Al x Ga 1-x As grown by Metal-Organics Vapor Phase Epitaxy (MOVPE) is generally lower than in GaAs. This is believed to be due to oxygen incorporation in the layers. We describe a study of radiative and non radiative minority carriers lifetimes in n-and p-type Al 0.2 Ga 0.8 As as a function of growth parameters, in correlation with oxygen concentration measurements and deep level transient spectroscopy (DLTS) studies. Long non radiative lifetimes and low oxygen contents are achieved using temperature growth. A main minority hole lifetime killer appears to be 0.4 eV deep O related electron trap detected by DLTS at concentrations three orders of magnitude lower than the atomic oxygen one. Record lifetimes in MOVPE grown n-and p-type Al 0.2 Ga 0.8 As are obtained. An Al 0.85 Ga 0.15 As/Al 0.2 Ga 0.8 As surface recombination velocity lower than 4.5x10 3 cm.s -1 is measured

  4. Control growth of silicon nanocolumns' epitaxy on silicon nanowires

    Energy Technology Data Exchange (ETDEWEB)

    Chong, Su Kong, E-mail: sukong1985@yahoo.com.my [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia); Dee, Chang Fu [Universiti Kebangsaan Malaysia (UKM), Institute of Microengineering and Nanoelectronics (IMEN) (Malaysia); Yahya, Noorhana [Universiti Teknologi PETRONAS, Faculty of Science and Information Technology (Malaysia); Rahman, Saadah Abdul [University of Malaya, Low Dimensional Materials Research Centre, Department of Physics (Malaysia)

    2013-04-15

    The epitaxial growth of Si nanocolumns on Si nanowires was studied using hot-wire chemical vapor deposition. A single-crystalline and surface oxide-free Si nanowire core (core radius {approx}21 {+-} 5 nm) induced by indium crystal seed was used as a substance for the vapor phase epitaxial growth. The growth process is initiated by sidewall facets, which then nucleate upon certain thickness to form Si islands and further grow to form nanocolumns. The Si nanocolumns with diameter of 10-20 nm and aspect ratio up to 10 can be epitaxially grown on the surface of nanowires. The results showed that the radial growth rate of the Si nanocolumns remains constant with the increase of deposition time. Meanwhile, the radial growth rates are controllable by manipulating the hydrogen to silane gas flow rate ratio. The optical antireflection properties of the Si nanocolumns' decorated SiNW arrays are discussed in the text.

  5. Vapor-phase etching of InP using anhydrous HCl and PH/sub 3/ gas

    International Nuclear Information System (INIS)

    Pak, K.; Koide, Y.; Imai, K.; Yoshida, A.; Nakamura, T.; Yasuda, Y.; Nishinaga, T.

    1986-01-01

    In situ etching of the substrate surface for vapor-phase epitaxy is a useful technique for obtaining a smooth and damage-free surface prior to the growth. Previous work showed that the incorporation of in situ etching of InP substrate with anhydrous HCl gas resulted in a significant improvement in the surface morphologies for MOVPE-grown InGaAs/InP and InP epitaxial layers. However, the experiment on the HCl etching of the InP substrate for a wide temperature range has not been performed as yet. In this note, the authors describe the effect of the substrate temperature on the etching morphology of InP substrate by using the anhydrous HCl and PH/sub 3/ gases. In the experiment, they used a standard MOVPE horizontal system. A quartz reactor tube in a 60 mm ID, 60 cm long, was employed

  6. Synthesis and characterization of a liquid Eu precursor (EuCp{sup pm}{sub 2}) allowing for valence control of Eu ions doped into GaN by organometallic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Mitchell, Brandon, E-mail: bmitchell@wcupa.edu [Department of Physics, West Chester University, West Chester, PA, 19383 (United States); Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Koizumi, Atsushi; Nunokawa, Takumi; Wakamatsu, Ryuta; Lee, Dong-gun; Saitoh, Yasuhisa; Timmerman, Dolf [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan); Kuboshima, Yoshinori; Mogi, Takayuki; Higashi, Shintaro; Kikukawa, Kaoru [Kojundo Chemical Laboratory Co., Ltd., 5-1-28 Chiyoda, Sakado, Saitama, 350-0284 (Japan); Ofuchi, Hironori; Honma, Tetsuo [Japan Synchrotron Radiation Research Institute (JASRI/SPring-8), 1-1-1 Kouto, Sayo-cho, Sayo-gun, Hyogo, 679-5198 (Japan); Fujiwara, Yasufumi, E-mail: fujiwara@mat.eng.osaka-u.ac.jp [Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka, 565-0871 (Japan)

    2017-06-01

    A liquid Eu precursor, bis(normal-propyl-tetramethylcyclopentadienyl)europium has been synthesized. This precursor exists as a liquid at temperatures higher than 49 °C, has a moderately high vapor pressure, contains no oxygen in its molecular structure, and can be distilled to high purity. These properties make it ideal for doping using a chemical vapor or atomic layer deposition method, and provide a degree of control previously unavailable. As a precursor the Eu exists in the divalent valance state, however, once doped into GaN by organometallic vapor phase epitaxy, the room-temperature photoluminescence of the Eu-doped GaN exhibited the typical red emission due to the intra-4f shell transition of trivalent Eu. After variation of the growth temperature, it was found that divalent Eu could be stabilized in the GaN matrix. By tuning the Fermi level through donor doping, the ratio of Eu{sup 2+} to Eu{sup 3+} could be controlled. The change in valence state of the Eu ions was confirmed using X-ray absorption near-edge structure. - Highlights: • A liquid Eu precursor was synthesized and its properties were characterized. • Precursor has a low melting point and a moderately high vapor pressure. • Does not contain oxygen in its molecular structure. • Eu can changed its valance state when incorporated into GaN. • Valence state of Eu in GaN can be controlled by donor doping.

  7. Photovoltaic X-ray detectors based on epitaxial GaAs structures

    Energy Technology Data Exchange (ETDEWEB)

    Achmadullin, R.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Artemov, V.V. [Shubnikov Institute of Crystallography, Russian Academy of Sciences, 59 Leninski pr., Moscow B-333, 117333 (Russian Federation); Dvoryankin, V.F. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation)]. E-mail: vfd217@ire216.msk.su; Dvoryankina, G.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Dikaev, Yu.M. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakov, M.G. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Ermakova, O.N. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Chmil, V.B. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Holodenko, A.G. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation); Kudryashov, A.A.; Krikunov, A.I.; Petrov, A.G.; Telegin, A.A. [Institute of Radio Engineering and Electronics, Russian Academy of Sciences, 1 Ac. Vvedenski square, Fryazino 141190, Moscow region (Russian Federation); Vorobiev, A.P. [Scientific State Center, High Energy Physics Institute, Protvino, Moscow region (Russian Federation)

    2005-12-01

    A new type of the photovoltaic X-ray detector based on epitaxial p{sup +}-n-n'-n{sup +} GaAs structures which provides a high efficiency of charge collection in the non-bias operation mode at room temperature is proposed. The GaAs epitaxial structures were grown by vapor-phase epitaxy on heavily doped n{sup +}-GaAs(1 0 0) substrates. The absorption efficiency of GaAs X-ray detector is discussed. I-V and C-V characteristics of the photovoltaic X-ray detectors are analyzed. The built-in electric field profiles in the depletion region of epitaxial structures are measured by the EBIC method. Charge collection efficiency to {alpha}-particles and {gamma}-radiation are measured. The application of X-ray detectors is discussed.

  8. Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition

    Science.gov (United States)

    Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki

    2017-06-01

    Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.

  9. Photoluminescence and surface photovoltage spectroscopy characterization of highly strained InGaAs/GaAs quantum well structures grown by metal organic vapor phase epitaxy

    International Nuclear Information System (INIS)

    Chan, C.H.; Wu, J.D.; Huang, Y.S.; Hsu, H.P.; Tiong, K.K.; Su, Y.K.

    2010-01-01

    Photoluminescence (PL) and surface photovoltage spectroscopy (SPS) are used to characterize a series of highly strained In x Ga 1-x As/GaAs quantum well (QW) structures grown by metal organic vapor phase epitaxy with different indium compositions (0.395 ≤ x ≤ 0.44) in the temperature range of 20 K ≤ T ≤ 300 K. The PL features show redshift in peak positions and broadened lineshape with increasing indium composition. The S-shaped temperature dependent PL spectra have been attributed to carrier localization effect resulting from the presence of indium clusters at QW interfaces. A lineshape fit of features in the differential surface photovoltage (SPV) spectra has been used to determine the transition energies accurately. At temperature below 100 K, the light-hole (LH) related feature shows a significant phase difference as compared to that of heavy-hole (HH) related features. The phase change of the LH feature can be explained by the existence of type-II configuration for the LH valence band and the process of separation of carriers within the QWs together with possible capture by the interface defect traps. A detailed analysis of the observed phenomena enables the identification of spectral features and to evaluate the band lineup of the QWs. The results demonstrate the usefulness of PL and SPS for the contactless and nondestructive characterization of highly strained InGaAs/GaAs QW structures.

  10. Epitaxial Pb(Mg1/3Nb2/3)O3 thin films synthesized by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Bai, G. R.; Streiffer, S. K.; Baumann, P. K.; Auciello, O.; Ghosh, K.; Stemmer, S.; Munkholm, A.; Thompson, Carol; Rao, R. A.; Eom, C. B.

    2000-01-01

    Metal-organic chemical vapor deposition was used to prepare Pb(Mg 1/3 Nb 2/3 )O 3 (PMN) thin films on (001) SrTiO 3 and SrRuO 3 /SrTiO 3 substrates, using solid Mg β-diketonate as the Mg precursor. Parameters including the precursor ratio in the vapor phase, growth temperature, growth rate, and reaction pressure in the reactor chamber were varied in order to determine suitable growth conditions for producing phase-pure, epitaxial PMN films. A cube-on-cube orientation relationship between the thin film and the SrTiO 3 substrate was found, with a (001) rocking curve width of 0.1 degree sign , and in-plane rocking-curve width of 0.8 degree sign . The root-mean-square surface roughness of a 200-nm-thick film on SrTiO 3 was 2 to 3 nm as measured by scanning probe microscopy. The zero-bias dielectric constant and loss measured at room temperature and 10 kHz for a 200-nm-thick film on SrRuO 3 /SrTiO 3 were approximately 1100 and 2%, respectively. The remnant polarization for this film was 16 μC/cm 2 . (c) 2000 American Institute of Physics

  11. Stress release during cyclic loading of 20 nm palladium films

    International Nuclear Information System (INIS)

    Lukáč, František; Vlček, Marián; Vlach, Martin; Wagner, Stefan; Uchida, Helmut; Pundt, Astrid; Bell, Anthony; Čížek, Jakub

    2015-01-01

    Highlights: • Repeated hydrogenation of 20 nm Pd films was investigated by in situ X-ray diffraction. • Hydride precipitates form coherent interfaces with matrix in nanocrystalline and epitaxial thin films. • Grain boundaries affect precipitation of the hydride phase in the nanocrystalline film. • Stress in epitaxial film is tensile due to different thermal expansion of Pd and sapphire. • After hydrogen absorption/desorption cycle the stress in both films becomes tensile. - Abstract: Gas phase loading of nanocrystalline and epitaxial 20 nm Pd films deposited on single crystalline sapphire substrates was studied in this work. The nanocrystalline film was deposited at room temperature and the epitaxial film deposited at 800 °C. The nanocrystalline film suffers from in-plane compressive stress imposed by atomic peening processes. The epitaxial film exhibits tensile stress caused by the different thermal expansion coefficients of Pd and sapphire substrate. Coherent phase transition into the hydride phase was observed both for the nanocrystalline and for the epitaxial film. For both films, the lattice parameters continuously increase during the phase transition to the hydride phase. Both films exhibit enhanced hydride formation pressure compared to bulk Pd. Misfit dislocations are formed at interface between Pd film and substrate during hydrogenation. This leads to irreversible change of stress state of the films subjected to sorption and desorption cycle with hydrogen

  12. Undoped and in-situ B doped GeSn epitaxial growth on Ge by atmospheric pressure-chemical vapor deposition

    DEFF Research Database (Denmark)

    Vincent, B.; Gencarelli, F.; Bender, H.

    2011-01-01

    In this letter, we propose an atmospheric pressure-chemical vapor deposition technique to grow metastable GeSn epitaxial layers on Ge. We report the growth of defect free fully strained undoped and in-situ B doped GeSn layers on Ge substrates with Sit contents up to 8%. Those metastable layers stay...

  13. Photoreflectance study of strained GaAsN/GaAs T-junction quantum wires grown by metal-organic vapor phase epitaxy.

    Science.gov (United States)

    Klangtakai, Pawinee; Sanorpim, Sakuntam; Onabe, Kentaro

    2011-12-01

    Strained GaAsN T-junction quantum wires (T-QWRs) with different N contents grown on GaAs by two steps metal-organic vapor phase epitaxy in [001] and [110] directions, namely QW1 and QW2 respectively, have been investigated by photoreflectance (PR) spectroscopy. Two GaAsN T-QWRs with different N contents were formed by T-intersection of (i) a 6.4-nm-thick GaAs0.89N0.011 QW1 and a 5.2-nm-thick GaAs0.968N0.032 QW2 and (ii) a 5.0-nm-thick GaAs0.985N0.015 QW1 and a 5.2-nm-thick GaAs0.968N0.032 QW2. An evidence of a one-dimensional structure at T-intersection of the two QWs on the (001) and (110) surfaces was established by PR resonances associated with extended states in all the QW and T-QWR samples. It is found that larger lateral confinement energy than 100 meV in both of [001] and [110] directions were achieved for GaAsN T-QWRs. With increasing temperature, the transition energy of GaAsN T-QWRs decreases with a faster shrinking rate compared to that of bulk GaAs. Optical quality of GaAsN T-QWRs is found to be affected by the N-induced band edge fluctuation, which is the unique characteristic of dilute III-V-nitrides.

  14. Influence of incoherent twin boundaries on the electrical properties of β-Ga2O3 layers homoepitaxially grown by metal-organic vapor phase epitaxy

    Science.gov (United States)

    Fiedler, A.; Schewski, R.; Baldini, M.; Galazka, Z.; Wagner, G.; Albrecht, M.; Irmscher, K.

    2017-10-01

    We present a quantitative model that addresses the influence of incoherent twin boundaries on the electrical properties in β-Ga2O3. This model can explain the mobility collapse below a threshold electron concentration of 1 × 1018 cm-3 as well as partly the low doping efficiency in β-Ga2O3 layers grown homoepitaxially by metal-organic vapor phase epitaxy on (100) substrates of only slight off-orientation. A structural analysis by transmission electron microscopy (TEM) reveals a high density of twin lamellae in these layers. In contrast to the coherent twin boundaries parallel to the (100) plane, the lateral incoherent twin boundaries exhibit one dangling bond per unit cell that acts as an acceptor-like electron trap. Since the twin lamellae are thin, we consider the incoherent twin boundaries to be line defects with a density of 1011-1012 cm-2 as determined by TEM. We estimate the influence of the incoherent twin boundaries on the electrical transport properties by adapting Read's model of charged dislocations. Our calculations quantitatively confirm that the mobility reduction and collapse as well as partly the compensation are due to the presence of twin lamellae.

  15. An InP/Si heterojunction photodiode fabricated by self-aligned corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Sun, Y. T.; Omanakuttan, G.; Lourdudoss, S.

    2015-01-01

    An n-InP/p-Si heterojunction photodiode fabricated by corrugated epitaxial lateral overgrowth (CELOG) method is presented. N-InP/p-Si heterojunction has been achieved from a suitable pattern containing circular shaped openings in a triangular lattice on the InP seed layer on p-Si substrate and subsequent CELOG of completely coalesced n-InP. To avoid current path through the seed layer in the final photodiode, semi-insulating InP:Fe was grown with adequate thickness prior to n-InP growth in a low pressure hydride vapor phase epitaxy reactor. The n-InP/p-Si heterointerface was analyzed by scanning electron microscopy and Raman spectroscopy. Room temperature cross-sectional photoluminescence (PL) mapping illustrates the defect reduction effect in InP grown on Si by CELOG method. The InP PL intensity measured above the InP/Si heterojunction is comparable to that of InP grown on a native planar substrate indicating low interface defect density of CELOG InP despite of 8% lattice mismatch with Si. The processed n-InP/p-Si heterojunction photodiodes show diode characteristics from the current-voltage (I-V) measurements with a dark current density of 0.324 mA/cm 2 at a reverse voltage of −1 V. Under the illumination of AM1.5 conditions, the InP/Si heterojunction photodiode exhibited photovoltaic effect with an open circuit voltage of 180 mV, a short circuit current density of 1.89 mA/cm 2 , an external quantum efficiency of 4.3%, and an internal quantum efficiency of 6.4%. This demonstration of epitaxially grown InP/Si heterojunction photodiode will open the door for low cost and high efficiency solar cells and photonic integration of III-Vs on silicon

  16. Metal hydrides for hydrogen storage in nickel hydrogen batteries

    International Nuclear Information System (INIS)

    Bittner, H.F.; Badcock, C.C.; Quinzio, M.V.

    1984-01-01

    Metal hydride hydrogen storage in nickel hydrogen (Ni/H 2 ) batteries has been shown to increase battery energy density and improve battery heat management capabilities. However the properties of metal hydrides in a Ni/H 2 battery environment, which contains water vapor and oxygen in addition to the hydrogen, have not been well characterized. This work evaluates the use of hydrides in Ni/H 2 batteries by fundamental characterization of metal hydride properties in a Ni/H 2 cell environment. Hydrogen sorption properties of various hydrides have been measured in a Ni/H 2 cell environment. Results of detailed thermodynamic and kinetic studies of hydrogen sorption in LaNi 5 in a Ni/H 2 cell environment are presented. Long-term cycling studies indicate that degradation of the hydride can be minimized by cycling between certain pressure limits. A model describing the mechanism of hydride degradation is presented

  17. Effects of Mg/Ga and V/III source ratios on hole concentration of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy

    Science.gov (United States)

    Nonoda, Ryohei; Shojiki, Kanako; Tanikawa, Tomoyuki; Kuboya, Shigeyuki; Katayama, Ryuji; Matsuoka, Takashi

    2016-05-01

    The effects of growth conditions such as Mg/Ga and V/III ratios on the properties of N-polar (000\\bar{1}) p-type GaN grown by metalorganic vapor phase epitaxy were studied. Photoluminescence spectra from Mg-doped GaN depended on Mg/Ga and V/III ratios. For the lightly doped samples, the band-to-acceptor emission was observed at 3.3 eV and its relative intensity decreased with increasing V/III ratio. For the heavily doped samples, the donor-acceptor pair emission was observed at 2.8 eV and its peak intensity monotonically decreased with V/III ratio. The hole concentration was maximum for the Mg/Ga ratio. This is the same tendency as in group-III polar (0001) growth. The V/III ratio also reduced the hole concentration. The higher V/III ratio reduced the concentration of residual donors such as oxygen by substituting nitrogen atoms. The surface became rougher with increasing V/III ratio and the hillock density increased.

  18. Growth and Characterization of (211)B Cadmium Telluride Buffer Layer Grown by Metal-organic Vapor Phase Epitaxy on Nanopatterned Silicon for Mercury Cadmium Telluride Based Infrared Detector Applications

    Science.gov (United States)

    Shintri, Shashidhar S.

    Mercury cadmium telluride (MCT or Hg1-xCdxTe) grown by molecular beam epitaxy (MBE) is presently the material of choice for fabricating infrared (IR) detectors used in night vision based military applications. The focus of MCT epitaxy has gradually shifted since the last decade to using Si as the starting substrate since it offers several advantages. But the ˜19 % lattice mismatch between MCT and Si generates lots of crystal defects some of which degrade the performance of MCT devices. Hence thick CdTe films are used as buffer layers on Si to accommodate the defects. However, growth of high quality single crystal CdTe on Si is challenging and to date, the best MBE CdTe/Si reportedly has defects in the mid-105 cm -2 range. There is a critical need to reduce the defect levels by at least another order of magnitude, which is the main motivation behind the present work. The use of alternate growth technique called metal-organic vapor phase epitaxy (MOVPE) offers some advantages over MBE and in this work MOVPE has been employed to grow the various epitaxial films. In the first part of this work, conditions for obtaining high quality (211)B CdTe epitaxy on (211)Si were achieved, which also involved studying the effect of having additional intermediate buffer layers such as Ge and ZnTe and incorporation of in-situ thermal cyclic annealing (TCA) to reduce the dislocation density. A critical problem of Si cross-contamination due to 'memory effect' of different reactant species was minimized by introducing tertiarybutylArsine (TBAs) which resulted in As-passivation of (211)Si. The best 8-10 µm thick CdTe films on blanket (non-patterned) Si had dislocations around 3×105 cm-2, which are the best reported by MOVPE till date and comparable to the highest quality films available by MBE. In the second part of the work, nanopatterned (211)Si was used to study the effect of patterning on the crystal quality of epitaxial CdTe. In one such study, patterning of ˜20 nm holes in SiO2

  19. Spectroscopy of helium hydride and triatomic hydrogen molecules

    International Nuclear Information System (INIS)

    Ketterle, W.

    1986-07-01

    Helium hydride and triatomic hydrogen has been produced by charge exchange between fast mass selected beams of molecular ions and alkali vapor. Using this method, the first discrete spectra of helium hydride were obtained. Fine electronic transitions with resolved rotational structure were observed in the visible and near infrared. Four isotopic mixtures were studied. Furthermore the first lifetime measurement of triatomic hydrogen states were performed and compared to theoretical predictions. (orig.)

  20. Effect of growth conditions on the Al composition and optical properties of Al x Ga 1−x N layers grown by atmospheric-pressure metal organic vapor phase epitaxy

    KAUST Repository

    Soltani, S.

    2017-02-17

    The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.

  1. Effect of growth conditions on the Al composition and optical properties of Al x Ga 1−x N layers grown by atmospheric-pressure metal organic vapor phase epitaxy

    KAUST Repository

    Soltani, S.; Bouzidi, M.; Chine, Z.; Toure, A.; Halidou, I.; El Jani, B.; Shakfa, M. K.

    2017-01-01

    The effect of growth conditions on the Al composition and optical properties of AlxGa1-xN layers grown by atmospheric-pressure metal organic vapor phase epitaxy is investigated. The Al content of the samples is varied between 3.0% and 9.3% by changing the gas flow rate of either trimethylaluminum (TMA) or trimethylgallium (TMG) while other growth parameters are kept constant. The optical properties of the AlxGa1-xN layers are studied by photoreflectance and time-resolved photoluminescence (TR-PL) spectroscopies. A degeneration in the material quality of the samples is revealed when the Al content is increased by increasing the TMA flow rate. When the TMG flow rate is decreased with a fixed TMA flow rate, the Al content of the AlxGa1-xN layers is increased and, furthermore, an improvement in the optical properties corresponding with an increase in the PL decay time is observed. (C) 2017 Elsevier B.V. All rights reserved.

  2. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    OpenAIRE

    Hyoungjeen Jeen; Ho Nyung Lee

    2015-01-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model syste...

  3. Amorphous inclusions during Ge and GeSn epitaxial growth via chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gencarelli, F., E-mail: federica.gencarelli@imec.be [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium); Shimura, Y. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Kumar, A. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Vincent, B.; Moussa, A.; Vanhaeren, D.; Richard, O.; Bender, H. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, W. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Nuclear and Radiation Physics Section, KU Leuven, B-3001 Leuven (Belgium); Caymax, M.; Loo, R. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Heyns, M. [imec, Kapeldreef 75, 3001 Leuven (Belgium); Dept. of Metallurgy and Materials Engineering, KU Leuven, B-3001 Leuven (Belgium)

    2015-09-01

    In this work, we discuss the characteristics of particular island-type features with an amorphous core that are developed during the low temperature epitaxial growth of Ge and GeSn layers by means of chemical vapor deposition with Ge{sub 2}H{sub 6}. Although further investigations are needed to unambiguously identify the origin of these features, we suggest that they are originated by the formation of clusters of H and/or contaminants atoms during growth. These would initially cause the formation of pits with crystalline rough facets over them, resulting in ring-shaped islands. Then, when an excess surface energy is overcome, an amorphous phase would nucleate inside the pits and fill them. Reducing the pressure and/or increasing the growth temperature can be effective ways to prevent the formation of these features, likely due to a reduction of the surface passivation from H and/or contaminant atoms. - Highlights: • Island features with amorphous cores develop during low T Ge(Sn) CVD with Ge{sub 2}H{sub 6.} • These features are thoroughly characterized in order to understand their origin. • A model is proposed to describe the possible evolution of these features. • Lower pressures and/or higher temperatures avoid the formation of these features.

  4. U-8 wt %Mo and 7 wt %Mo alloys powder obtained by an hydride-de hydride process

    International Nuclear Information System (INIS)

    Balart, Silvia N.; Bruzzoni, Pablo; Granovsky, Marta S.; Gribaudo, Luis M. J.; Hermida, Jorge D.; Ovejero, Jose; Rubiolo, Gerardo H.; Vicente, Eduardo E.

    2000-01-01

    Uranium-molybdenum alloys are been tested as a component in high-density LEU dispersion fuels with very good performances. These alloys need to be transformed to powder due to the manufacturing requirements of the fuels. One method to convert ductile alloys into powder is the hydride-de hydride process, which takes advantage of the ability of the U-α phase to transform to UH 3 : a brittle and relatively low-density compound. U-Mo alloys around 7 and 8 wt % Mo were melted and heat treated at different temperature ranges in order to partially convert γ -phase to α -phase. Subsequent hydriding transforms this α -phase to UH 3 . The volume change associated to the hydride formation embrittled the material which ends up in a powdered alloy. Results of the optical metallography, scanning electron microscopy, X-ray diffraction during different steps of the process are shown. (author)

  5. A quantitative phase field model for hydride precipitation in zirconium alloys: Part I. Development of quantitative free energy functional

    International Nuclear Information System (INIS)

    Shi, San-Qiang; Xiao, Zhihua

    2015-01-01

    A temperature dependent, quantitative free energy functional was developed for the modeling of hydride precipitation in zirconium alloys within a phase field scheme. The model takes into account crystallographic variants of hydrides, interfacial energy between hydride and matrix, interfacial energy between hydrides, elastoplastic hydride precipitation and interaction with externally applied stress. The model is fully quantitative in real time and real length scale, and simulation results were compared with limited experimental data available in the literature with a reasonable agreement. The work calls for experimental and/or theoretical investigations of some of the key material properties that are not yet available in the literature

  6. Study on an innovative fast reactor utilizing hydride neutron absorber - Final report of phase I study

    International Nuclear Information System (INIS)

    Konashi, K.; Iwasaki, T.; Itoh, K.; Hirai, M.; Sato, J.; Kurosaki, K.; Suzuki, A.; Matsumura, Y.; Abe, S.

    2010-01-01

    These days, the demand to use nuclear resources efficiently is growing for long-term energy supply and also for solving the green house problem. It is indispensable to develop technologies to reduce environmental load with the nuclear energy supply for sustainable development of human beings. In this regard, the development of the fast breeder reactor (FBR) is preferable to utilize nuclear resources effectively and also to burn minor actinides which possess very long toxicity for more than thousands years if they are not extinguished. As one of the FBR developing works in Japan this phase I study started in 2006 to introduce hafnium (Hf) hydride and Gadolinium-Zirconium (Gd-Zr) hydride as new control materials in FBR. By adopting them, the FBR core control technology is improved by two ways. One is extension of control rod life time by using long life Hf hydride which leads to reduce the fabrication and disposal cost and the other is reduction of the excess reactivity by adopting Gd-Zr hydride which leads to reduce the number of control rods and simplifies the core upper structure. This three year study was successfully completed and the following results were obtained. The core design was performed to examine the applicability of the Hf hydride absorber to Japanese Sodium Fast Reactor (JSFR) and it is clarified that the control rod life time can be prolonged to 6 years by adopting Hf hydride and the excess reactivity of the beginning of the core cycle can be reduced to half and the number of the control rods is also reduced to half by using the Gd-Zr hydride burnable poison. The safety analyses also certified that the core safety can be maintained with the same reliability of JSFR Hf hydride and Gd-Zr hydride pellets were fabricated in good manner and their basic features for design use were measured by using the latest devices such as SEM-EDX. In order to reduce the hydrogen transfer through the stainless steel cladding a new technique which shares calorizing

  7. The Effect of Hydrogen and Hydrides on the Integrity of Zirconium Alloy Components Delayed Hydride Cracking

    CERN Document Server

    Puls, Manfred P

    2012-01-01

    By drawing together the current theoretical and experimental understanding of the phenomena of delayed hydride cracking (DHC) in zirconium alloys, The Effect of Hydrogen and Hydrides on the Integrity of Zirconium Alloy Components: Delayed Hydride Cracking provides a detailed explanation focusing on the properties of hydrogen and hydrides in these alloys. Whilst the focus lies on zirconium alloys, the combination of both the empirical and mechanistic approaches creates a solid understanding that can also be applied to other hydride forming metals.   This up-to-date reference focuses on documented research surrounding DHC, including current methodologies for design and assessment of the results of periodic in-service inspections of pressure tubes in nuclear reactors. Emphasis is placed on showing that our understanding of DHC is supported by progress across a broad range of fields. These include hysteresis associated with first-order phase transformations; phase relationships in coherent crystalline metallic...

  8. Vapor Compressor Driven Hybrid Two-Phase Loop, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This Small Business Innovation Research Phase I project will demonstrate a vapor compressor driven hybrid two-phase loop technology. The hybrid two-phase loop...

  9. β-Ga2O3 versus ε-Ga2O3: Control of the crystal phase composition of gallium oxide thin film prepared by metal-organic chemical vapor deposition

    Science.gov (United States)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Pei, Yanli; Wang, Gang

    2017-10-01

    Gallium oxide thin films of β and ε phase were grown on c-plane sapphire using metal-organic chemical vapor deposition and the phase compositions were analyzed using X-ray diffraction. The epitaxial phase diagram was constructed as a function of the growth temperature and VI/III ratio. A low growth temperature and low VI/III ratio were beneficial for the formation of hexagonal-type ε-Ga2O3. Further structure analysis revealed that the epitaxial relationship between ε-Ga2O3 and c-plane sapphire is ε-Ga2O3 (0001) || Al2O3 (0001) and ε-Ga2O3 || Al2O3 . The structural evolution of the mixed-phase sample during film thickening was investigated. By reducing the growth rate, the film evolved from a mixed phase to the energetically favored ε phase. Based on these results, a Ga2O3 thin film with a phase-pure ε-Ga2O3 upper layer was successfully obtained.

  10. Abstracts of 4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology

    International Nuclear Information System (INIS)

    2001-01-01

    4. International Workshop on Molecular Beam Epitaxy and Vapour Phase Epitaxy Growth Physics and Technology is the periodically held forum for discussion the problems connected with manufacturing of different nanostructures (thin films, quantum wells, quantum dots) needed in microelectronics. Preparation of such materials with desirable optical, electrical and magnetic properties being determined by their chemical composition and crystal structure has been discussed in detail during the workshop sessions. Optimization of crystal growth methods such as VPE and MBE from the view point of obtained material properties has also been extensively discussed

  11. Vapor-solid-solid grown Ge nanowires at integrated circuit compatible temperature by molecular beam epitaxy

    Science.gov (United States)

    Zhu, Zhongyunshen; Song, Yuxin; Zhang, Zhenpu; Sun, Hao; Han, Yi; Li, Yaoyao; Zhang, Liyao; Xue, Zhongying; Di, Zengfeng; Wang, Shumin

    2017-09-01

    We demonstrate Au-assisted vapor-solid-solid (VSS) growth of Ge nanowires (NWs) by molecular beam epitaxy at the substrate temperature of ˜180 °C, which is compatible with the temperature window for Si-based integrated circuit. Low temperature grown Ge NWs hold a smaller size, similar uniformity, and better fit with Au tips in diameter, in contrast to Ge NWs grown at around or above the eutectic temperature of Au-Ge alloy in the vapor-liquid-solid (VLS) growth. Six ⟨110⟩ growth orientations were observed on Ge (110) by the VSS growth at ˜180 °C, differing from only one vertical growth direction of Ge NWs by the VLS growth at a high temperature. The evolution of NWs dimension and morphology from the VLS growth to the VSS growth is qualitatively explained by analyzing the mechanism of the two growth modes.

  12. Highly sensitive and interference-free determination of bismuth in environmental samples by electrothermal vaporization atomic fluorescence spectrometry after hydride trapping on iridium-coated tungsten coil

    International Nuclear Information System (INIS)

    Liu Rui; Wu Peng; Xu Kailai; Lv Yi; Hou Xiandeng

    2008-01-01

    Bismuthine was on-line trapped on tungsten coil and subsequently electrothermally vaporized for the determination by atomic fluorescence spectrometry (AFS). Several noble metals, including Pd, Rh, Pt, and Ir, were explored as permanent chemical modifier for tungsten coil on-line trapping. Investigation showed that Ir gave the best performance, in which bismuthine was on-line trapped on Ir-coated tungsten coil at 560 o C, and then released at 1550 o C for subsequent transfer to AFS by a mixture of Ar and H 2 . Under optimum instrumental conditions, the trapping efficiency was found to be 73 ± 3%. With 120 s (12 mL sample volume) trapping time, a limit of detection (LOD) of 4 ng L -1 was obtained, compared to conventional hydride generation AFS (0.09 μg L -1 ); the LOD can be lowered down to 1 ng L -1 by increasing the trapping time to 480 s. The LOD was found to be better or at least comparable to literature levels involving on-line trapping and some other sophisticated instrumental methods such as ICP-MS and GF-AAS. A comprehensive interference study involving conventional hydride-forming elements and some transition metals was carried out, and the result showed that the gas phase interference from other hydride-forming elements was largely reduced, thanks to the use of on-line tungsten coil trapping. Finally, the proposed method was applied to the determination of bismuth in several biological and environmental standard reference materials, and a t-test shows that the analytical results by the proposed method have no significant difference from the certified values at the confidence level of 95%

  13. Chemical lift-off of (11-22) semipolar GaN using periodic triangular cavities

    Science.gov (United States)

    Jeon, Dae-Woo; Lee, Seung-Jae; Jeong, Tak; Baek, Jong Hyeob; Park, Jae-Woo; Jang, Lee-Woon; Kim, Myoung; Lee, In-Hwan; Ju, Jin-Woo

    2012-01-01

    Chemical lift-off of (11-22) semipolar GaN using triangular cavities was investigated. The (11-22) semipolar GaN was grown using epitaxial lateral overgrowth by metal-organic chemical vapor deposition on m-plane sapphire, in such a way as to keep N terminated surface of c-plane GaN exposed in the cavities. After regrowing 300 μm thick (11-22) semipolar GaN by hydride vapor phase epitaxy for a free-standing (11-22) semipolar GaN substrate, the triangular cavities of the templates were chemically etched in molten KOH. The (000-2) plane in the triangular cavities can be etched in the [0002] direction with the high lateral etching rate of 196 μm/min. The resulting free-standing (11-22) semipolar GaN substrate was confirmed to be strain-free by the Raman analysis.

  14. In-situ epitaxial growth of heavily phosphorus doped SiGe by low pressure chemical vapor deposition

    CERN Document Server

    Lee, C J

    1998-01-01

    We have studied epitaxial crystal growth of Si sub 1 sub - sub x Ge sub x films on silicon substrates at 550 .deg. C by low pressure chemical vapor deposition. In a low PH sub 3 partial pressure region such as below 1.25x10 sup - sup 3 Pa, both the phosphorus and carrier concentrations increased with increasing PH sub 3 partial pressure, but the deposition rate and the Ge fraction remained constant. In a higher PH sub 3 partial pressure region, the deposition rate, the phosphorus concentration, and the carrier concentration decreased, while the Ge fraction increased. These suggest that high surface coverage of phosphorus suppresses both SiH sub 4 and GeH sub 4 adsorption/reactions on the surfaces, and its suppression effect on SiH sub 4 is actually much stronger than on GeH sub 4. In particular, epitaxial crystal growth is largely controlled by surface coverage effect of phosphorus in a higher PH sub 3 partial pressure region.

  15. Growth of InP directly on Si by corrugated epitaxial lateral overgrowth

    International Nuclear Information System (INIS)

    Metaferia, Wondwosen; Kataria, Himanshu; Sun, Yan-Ting; Lourdudoss, Sebastian

    2015-01-01

    In an attempt to achieve an InP–Si heterointerface, a new and generic method, the corrugated epitaxial lateral overgrowth (CELOG) technique in a hydride vapor phase epitaxy reactor, was studied. An InP seed layer on Si (0 0 1) was patterned into closely spaced etched mesa stripes, revealing the Si surface in between them. The surface with the mesa stripes resembles a corrugated surface. The top and sidewalls of the mesa stripes were then covered by a SiO 2 mask after which the line openings on top of the mesa stripes were patterned. Growth of InP was performed on this corrugated surface. It is shown that growth of InP emerges selectively from the openings and not on the exposed silicon surface, but gradually spreads laterally to create a direct interface with the silicon, hence the name CELOG. We study the growth behavior using growth parameters. The lateral growth is bounded by high index boundary planes of {3 3 1} and {2 1 1}. The atomic arrangement of these planes, crystallographic orientation dependent dopant incorporation and gas phase supersaturation are shown to affect the extent of lateral growth. A lateral to vertical growth rate ratio as large as 3.6 is achieved. X-ray diffraction studies confirm substantial crystalline quality improvement of the CELOG InP compared to the InP seed layer. Transmission electron microscopy studies reveal the formation of a direct InP–Si heterointerface by CELOG without threading dislocations. While CELOG is shown to avoid dislocations that could arise due to the large lattice mismatch (8%) between InP and Si, staking faults could be seen in the layer. These are probably created by the surface roughness of the Si surface or SiO 2 mask which in turn would have been a consequence of the initial process treatments. The direct InP–Si heterointerface can find applications in high efficiency and cost-effective Si based III–V semiconductor multijunction solar cells and optoelectronics integration. (paper)

  16. Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN

    Energy Technology Data Exchange (ETDEWEB)

    Ayari, Taha; Li, Xin; Voss, Paul L.; Ougazzaden, Abdallah, E-mail: aougazza@georgiatech-metz.fr [School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, Georgia 30332 (United States); Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Sundaram, Suresh; El Gmili, Youssef [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Salvestrini, Jean Paul [Georgia Tech Lorraine, UMI 2958, Georgia Tech-CNRS, 57070 Metz (France); Université de Lorraine, LMOPS, EA 4423, 57070 Metz (France)

    2016-04-25

    Recent advances in epitaxial growth have led to the growth of III-nitride devices on 2D layered h-BN. This advance has the potential for wafer-scale transfer to arbitrary substrates, which could improve the thermal management and would allow III-N devices to be used more flexibly in a broader range of applications. We report wafer scale exfoliation of a metal organic vapor phase epitaxy grown InGaN/GaN Multi Quantum Well (MQW) structure from a 5 nm thick h-BN layer that was grown on a 2-inch sapphire substrate. The weak van der Waals bonds between h-BN atomic layers break easily, allowing the MQW structure to be mechanically lifted off from the sapphire substrate using a commercial adhesive tape. This results in the surface roughness of only 1.14 nm on the separated surface. Structural characterizations performed before and after the lift-off confirm the conservation of structural properties after lift-off. Cathodoluminescence at 454 nm was present before lift-off and 458 nm was present after. Electroluminescence near 450 nm from the lifted-off structure has also been observed. These results show that the high crystalline quality ultrathin h-BN serves as an effective sacrificial layer—it maintains performance, while also reducing the GaN buffer thickness and temperature ramps as compared to a conventional two-step growth method. These results support the use of h-BN as a low-tack sacrificial underlying layer for GaN-based device structures and demonstrate the feasibility of large area lift-off and transfer to any template, which is important for industrial scale production.

  17. Identification and characterization of a new Zirconium hydride

    International Nuclear Information System (INIS)

    Zhao, Z.

    2007-01-01

    In order to control the integrity of the fuel clad, alloy of zirconium, it is necessary to predict the behavior of zirconium hydrides in the environment (temperature, stress...), at a microscopic scale. A characterization study by TEM of hydrides has been realized. It shows little hydrides about 500 nm, in hydride Zircaloy 4. Then a more detailed study identified a new hydride phase presented in this paper. (A.L.B.)

  18. Properties of Hg1-xCdxTe epitaxial films grown on (211)CdTe and (211)CdZnTe

    International Nuclear Information System (INIS)

    Di Stefano, M.C.; Gilabert, U.; Heredia, E.; Trigubo, A.B.

    2004-01-01

    Hg 1-x Cd x Te (MCT) epitaxial films have been grown employing single crystalline substrates of CdTe and Cd 0.96 Zn 0.04 Te with (211)Cd and (211)Te crystalline orientations. The Isothermal Vapor Phase Epitaxy (ISOVPE) technique without Hg overpressure has been used for the epitaxial growth. Substrates and films were characterized by optical microscopy, chemical etching and X ray diffraction (Laue technique). The electrical properties were determined by Hall effect measurements. The characterization results allowed to evaluate the crystalline quality of MCT films. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  19. UV detectors based on epitaxial diamond films grown on single-crystal diamond substrates by vapor-phase synthesis

    International Nuclear Information System (INIS)

    Sharonov, G.V.; Petrov, S.A.; Bol'shakov, A.P.; Ral'chenko, V.G.; Kazyuchits, N.M.

    2010-01-01

    The prospects for use of CVD-technology for epitaxial growth of single-crystal diamond films of instrumental quality in UHF plasma for the production of optoelectronic devices are discussed. A technology for processing diamond single crystals that provides a perfect surface crystal structure with roughness less than 0,5 nm was developed. It was demonstrated that selective UV detectors based on synthetic single-crystal diamond substrates coated with single-crystal films can be produced. A criterion for selecting clean and structurally perfect single crystals of synthetic diamond was developed for the epitaxial growth technology. (authors)

  20. Effect of extrapolation length on the phase transformation of epitaxial ferroelectric thin films

    International Nuclear Information System (INIS)

    Hu, Z.S.; Tang, M.H.; Wang, J.B.; Zheng, X.J.; Zhou, Y.C.

    2008-01-01

    Effects of extrapolation length on the phase transformation of epitaxial ferroelectric thin films on dissimilar cubic substrates have been studied on the basis of the mean-field Landau-Ginzburg-Devonshire (LGD) thermodynamic theory by taking an uneven distribution of the interior stress with thickness into account. It was found that the polarization of epitaxial ferroelectric thin films is strongly dependent on the extrapolation length of films. The physical origin of the extrapolation length during the phase transformation from paraelectric to ferroelectric was revealed in the case of ferroelectric thin films

  1. Epitaxial lateral overgrowth of Ga{sub x}In{sub 1-x}P toward direct Ga{sub x}In{sub 1-x}P/Si heterojunction

    Energy Technology Data Exchange (ETDEWEB)

    Omanakuttan, Giriprasanth; Stergiakis, Stamoulis; Sychugov, Ilya; Lourdudoss, Sebastian; Sun, Yan-Ting [Department of Materials and Nano Physics, School of Information and Communication Technology, Royal Institute of Technology-KTH, Kista (Sweden); Sahgal, Abhishek [Department of Materials and Nano Physics, School of Information and Communication Technology, Royal Institute of Technology-KTH, Kista (Sweden); Department of Physics, Indian Institute of Technology Delhi, New Delhi (India)

    2017-03-15

    The growth of GaInP by hydride vapor phase epitaxy (HVPE) was studied on planar GaAs, patterned GaAs for epitaxial lateral overgrowth (ELOG), and InP/Si seed templates for corrugated epitaxial lateral overgrowth (CELOG). First results on the growth of direct GaInP/Si heterojunction by CELOG is presented. The properties of Ga{sub x}In{sub (1-x)}P layer and their dependence on the process parameters were investigated by X-ray diffraction, including reciprocal lattice mapping (XRD-RLM), scanning electron microscopy equipped with energy-dispersive X-ray spectroscopy (SEM-EDS), photoluminescence (PL), and Raman spectroscopy. The fluctuation of Ga composition in the Ga{sub x}In{sub (1-x)}P layer was observed on planar substrate, and the strain caused by the composition variation is retained until relaxation occurs. Fully relaxed GaInP layers were obtained by ELOG and CELOG. Raman spectroscopy reveals that there is a certain amount of ordering in all of the layers except those grown at high temperatures. Orientation dependent Ga incorporation in the CELOG, but not in the ELOG Ga{sub x}In{sub (1-x)}P layer, and Si incorporation in the vicinity of direct Ga{sub x}In{sub (1-x)}P/Si heterojunction from CELOG are observed in the SEM-EDS analyses. The high optical quality of direct GaInP/Si heterojunction was observed by cross-sectional micro-PL mapping and the defect reduction effect of CELOG was revealed by high PL intensity in GaInP above Si. (copyright 2017 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  2. Selective growth of Ge1- x Sn x epitaxial layer on patterned SiO2/Si substrate by metal-organic chemical vapor deposition

    Science.gov (United States)

    Takeuchi, Wakana; Washizu, Tomoya; Ike, Shinichi; Nakatsuka, Osamu; Zaima, Shigeaki

    2018-01-01

    We have investigated the selective growth of a Ge1- x Sn x epitaxial layer on a line/space-patterned SiO2/Si substrate by metal-organic chemical vapor deposition. We examined the behavior of a Sn precursor of tributyl(vinyl)tin (TBVSn) during the growth on Si and SiO2 substrates and investigated the effect of the Sn precursor on the selective growth. The selective growth of the Ge1- x Sn x epitaxial layer was performed under various total pressures and growth temperatures of 300 and 350 °C. The selective growth of the Ge1- x Sn x epitaxial layer on the patterned Si region is achieved at a low total pressure without Ge1- x Sn x growth on the SiO2 region. In addition, we found that the Sn content in the Ge1- x Sn x epitaxial layer increases with width of the SiO2 region for a fixed Si width even with low total pressure. To control the Sn content in the selective growth of the Ge1- x Sn x epitaxial layer, it is important to suppress the decomposition and migration of Sn and Ge precursors.

  3. Growth and coalescence control of inclined c-axis polar and semipolar GaN multilayer structures grown on Si(111), Si(112), and Si(115) by metalorganic vapor phase epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Szymański, Tomasz, E-mail: tomasz.szymanski@pwr.edu.pl; Wośko, Mateusz; Paszkiewicz, Bartłomiej; Paszkiewicz, Bogdan; Paszkiewicz, Regina [The Faculty of Microsystem Electronics and Photonics, Wroclaw University of Technology, Janiszewskiego 11/17, 50-372 Wroclaw (Poland); Sankowska, Iwona [The Institute of Electron Technology, Al. Lotnikow 32/46, 02-668 Warszawa (Poland)

    2016-09-15

    Herein, silicon substrates in alternative orientations from the commonly used Si(111) were used to enable the growth of polar and semipolar GaN-based structures by the metalorganic vapor phase epitaxy method. Specifically, Si(112) and Si(115) substrates were used for the epitaxial growth of nitride multilayer structures, while the same layer schemes were also deposited on Si(111) for comparison purposes. Multiple approaches were studied to examine the influence of the seed layers and the growth process conditions upon the final properties of the GaN/Si(11x) templates. Scanning electron microscope images were acquired to examine the topography of the deposited samples. It was observed that the substrate orientation and the process conditions allow control to produce an isolated GaN block growth or a coalesced layer growth, resulting in inclined c-axis GaN structures under various forms. The angles of the GaN c-axis inclination were determined by x-ray diffraction measurements and compared with the results obtained from the analysis of the atomic force microscope (AFM) images. The AFM image analysis method to determine the structure tilt was found to be a viable method to estimate the c-axis inclination angles of the isolated blocks and the not-fully coalesced layers. The quality of the grown samples was characterized by the photoluminescence method conducted at a wide range of temperatures from 77 to 297 K, and was correlated with the sample degree of coalescence. Using the free-excitation peak positions plotted as a function of temperature, analytical Bose-Einstein model parameters were fitted to obtain further information about the grown structures.

  4. Liquid phase epitaxy of binary III–V nanocrystals in thin Si layers triggered by ion implantation and flash lamp annealing

    Energy Technology Data Exchange (ETDEWEB)

    Wutzler, Rene, E-mail: r.wutzler@hzdr.de; Rebohle, Lars; Prucnal, Slawomir; Bregolin, Felipe L.; Hübner, Rene; Voelskow, Matthias; Helm, Manfred; Skorupa, Wolfgang [Helmholtz-Zentrum Dresden - Rossendorf, Institute of Ion Beam Physics and Materials Research, Bautzner Landstraße 400, 01328 Dresden (Germany)

    2015-05-07

    The integration of III–V compound semiconductors in Si is a crucial step towards faster and smaller devices in future technologies. In this work, we investigate the formation process of III–V compound semiconductor nanocrystals, namely, GaAs, GaSb, and InP, by ion implantation and sub-second flash lamp annealing in a SiO{sub 2}/Si/SiO{sub 2} layer stack on Si grown by plasma-enhanced chemical vapor deposition. Raman spectroscopy, Rutherford Backscattering spectrometry, and transmission electron microscopy were performed to identify the structural and optical properties of these structures. Raman spectra of the nanocomposites show typical phonon modes of the compound semiconductors. The formation process of the III–V compounds is found to be based on liquid phase epitaxy, and the model is extended to the case of an amorphous matrix without an epitaxial template from a Si substrate. It is shown that the particular segregation and diffusion coefficients of the implanted group-III and group-V ions in molten Si significantly determine the final appearance of the nanostructure and thus their suitability for potential applications.

  5. Epitaxy-enabled vapor-liquid-solid growth of tin-doped indium oxide nanowires with controlled orientations

    KAUST Repository

    Shen, Youde

    2014-08-13

    Controlling the morphology of nanowires in bottom-up synthesis and assembling them on planar substrates is of tremendous importance for device applications in electronics, photonics, sensing and energy conversion. To date, however, there remain challenges in reliably achieving these goals of orientation-controlled nanowire synthesis and assembly. Here we report that growth of planar, vertical and randomly oriented tin-doped indium oxide (ITO) nanowires can be realized on yttria-stabilized zirconia (YSZ) substrates via the epitaxy-assisted vapor-liquid-solid (VLS) mechanism, by simply regulating the growth conditions, in particular the growth temperature. This robust control on nanowire orientation is facilitated by the small lattice mismatch of 1.6% between ITO and YSZ. Further control of the orientation, symmetry and shape of the nanowires can be achieved by using YSZ substrates with (110) and (111), in addition to (100) surfaces. Based on these insights, we succeed in growing regular arrays of planar ITO nanowires from patterned catalyst nanoparticles. Overall, our discovery of unprecedented orientation control in ITO nanowires advances the general VLS synthesis, providing a robust epitaxy-based approach toward rational synthesis of nanowires. © 2014 American Chemical Society.

  6. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    International Nuclear Information System (INIS)

    Deen, David A.; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C.; Gougousi, Theodosia; Evans, Keith R.

    2014-01-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm 2 /V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  7. Impact of barrier thickness on transistor performance in AlN/GaN high electron mobility transistors grown on free-standing GaN substrates

    Energy Technology Data Exchange (ETDEWEB)

    Deen, David A., E-mail: david.deen@alumni.nd.edu; Storm, David F.; Meyer, David J.; Bass, Robert; Binari, Steven C. [Electronics Science and Technology Division, Naval Research Laboratory, Washington, DC 20375-5347 (United States); Gougousi, Theodosia [Physics Department, University of Maryland Baltimore County, Baltimore, Maryland 21250 (United States); Evans, Keith R. [Kyma Technologies, Raleigh, North Carolina 27617 (United States)

    2014-09-01

    A series of six ultrathin AlN/GaN heterostructures with varied AlN thicknesses from 1.5–6 nm have been grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. High electron mobility transistors (HEMTs) were fabricated from the set in order to assess the impact of barrier thickness and homo-epitaxial growth on transistor performance. Room temperature Hall characteristics revealed mobility of 1700 cm{sup 2}/V s and sheet resistance of 130 Ω/□ for a 3 nm thick barrier, ranking amongst the lowest room-temperature sheet resistance values reported for a polarization-doped single heterostructure in the III-Nitride family. DC and small signal HEMT electrical characteristics from submicron gate length HEMTs further elucidated the effect of the AlN barrier thickness on device performance.

  8. Molecular beam epitaxy of GeTe-Sb2Te3 phase change materials studied by X-ray diffraction

    International Nuclear Information System (INIS)

    Shayduk, Roman

    2010-01-01

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  9. Laser vapor phase deposition of semiconductors

    Energy Technology Data Exchange (ETDEWEB)

    Karlov, N.V.; Luk' ianchuk, B.S.; Sisakian, E.V.; Shafeev, G.A.

    1987-06-01

    The pyrolytic effect of IR laser radiation is investigated with reference to the initiation and control of the vapor phase deposition of semiconductor films. By selecting the gas mixture composition and laser emission parameters, it is possible to control the deposition and crystal formation processes on the surface of semiconductors, with the main control action achieved due to the nonadiabatic kinetics of reactions in the gas phase and high temperatures in the laser heating zone. This control mechanism is demonstrated experimentally during the laser vapor deposition of germanium and silicon films from tetrachlorides on single-crystal Si and Ge substrates. 5 references.

  10. Hydriding of metallic thorium

    International Nuclear Information System (INIS)

    Miyake, Masanobu; Katsura, Masahiro; Matsuki, Yuichi; Uno, Masayoshi

    1983-01-01

    Powdered thorium is usually prepared through a combination of hydriding and dehydriding processes of metallic thorium in massive form, in which the hydriding process consists of two steps: the formation of ThH 2 , and the formation of Th 4 H 15 . However, little has yet been known as to on what stage of hydriding process the pulverization takes place. It is found in the present study that the formation of Th 4 H 15 by the reaction of ThH 2 with H 2 is responsible for pulverization. Temperature of 70 deg C adopted in this work for the reaction of formation Th 4 H 15 seems to be much more effective for production of powdered thorium than 200 - 300 deg C in the literature. The pressure-composition-temperature relationships for Th-H system are determined at 200, 300, 350, and 800 deg C. From these results, a tentative equilibrium phase diagram for the Th-H system is proposed, attention being focused on the two-phase region of ThH 2 and Th 4 H 15 . Pulverization process is discussed in terms of the tentative phase diagram. (author)

  11. The liquid to vapor phase transition in excited nuclei

    Energy Technology Data Exchange (ETDEWEB)

    Elliott, J.B.; Moretto, L.G.; Phair, L.; Wozniak, G.J.; Beaulieu, L.; Breuer, H.; Korteling, R.G.; Kwiatkowski, K.; Lefort, T.; Pienkowski, L.; Ruangma, A.; Viola, V.E.; Yennello, S.J.

    2001-05-08

    For many years it has been speculated that excited nuclei would undergo a liquid to vapor phase transition. For even longer, it has been known that clusterization in a vapor carries direct information on the liquid-vapor equilibrium according to Fisher's droplet model. Now the thermal component of the 8 GeV/c pion + 197 Au multifragmentation data of the ISiS Collaboration is shown to follow the scaling predicted by Fisher's model, thus providing the strongest evidence yet of the liquid to vapor phase transition.

  12. Shock wave of vapor-liquid two-phase flow

    Institute of Scientific and Technical Information of China (English)

    Liangju ZHAO; Fei WANG; Hong GAO; Jingwen TANG; Yuexiang YUAN

    2008-01-01

    The shock wave of vapor-liquid two-phase flow in a pressure-gain steam injector is studied by build-ing a mathematic model and making calculations. The results show that after the shock, the vapor is nearly com-pletely condensed. The upstream Mach number and the volume ratio of vapor have a great effect on the shock. The pressure and Mach number of two-phase shock con-form to the shock of ideal gas. The analysis of available energy shows that the shock is an irreversible process with entropy increase.

  13. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  14. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    Science.gov (United States)

    Jeen, Hyoungjeen; Lee, Ho Nyung

    2015-12-01

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO2.5 thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO3-δ). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  15. Structural evolution of epitaxial SrCoOx films near topotactic phase transition

    Directory of Open Access Journals (Sweden)

    Hyoungjeen Jeen

    2015-12-01

    Full Text Available Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoOx epitaxially grown by pulsed laser epitaxy (PLE as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO2.5 thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO3-δ. We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  16. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    Science.gov (United States)

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  17. Epitaxial growth of Ge-Sb-Te based phase change materials

    International Nuclear Information System (INIS)

    Perumal, Karthick

    2013-01-01

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb 2 Te 3 thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb 2 Te 3 to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  18. Semiconductors and semimetals epitaxial microstructures

    CERN Document Server

    Willardson, Robert K; Beer, Albert C; Gossard, Arthur C

    1994-01-01

    Newly developed semiconductor microstructures can now guide light and electrons resulting in important consequences for state-of-the-art electronic and photonic devices. This volume introduces a new generation of epitaxial microstructures. Special emphasis has been given to atomic control during growth and the interrelationship between the atomic arrangements and the properties of the structures.Key Features* Atomic-level control of semiconductor microstructures* Molecular beam epitaxy, metal-organic chemical vapor deposition* Quantum wells and quantum wires* Lasers, photon(IR)detectors, heterostructure transistors

  19. Epitaxial GaN around ZnO nanopillars

    Energy Technology Data Exchange (ETDEWEB)

    Fikry, Mohamed; Scholz, Ferdinand [Institut fuer Optoelektronik, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany); Madel, Manfred; Tischer, Ingo; Thonke, Klaus [Institut fuer Quantenmaterie, Universitaet Ulm, Albert-Einstein-Allee 45, 89081 Ulm (Germany)

    2011-07-01

    We report on an investigation of the epitaxial quality of GaN layers overgrown coaxially around ZnO nanopillars. In a first step, regularly arranged ZnO nanopillars were grown using pre-patterning by e-beam lithography or self-organized hexagonal polystyrene sphere masks. Alternatively, ZnO pillars were also successfully grown on top of GaN pyramids. In a second step, GaN layers were grown around the ZnO pillars by Metal Organic Vapor Phase Epitaxy. At growth temperatures above 800 C, the ZnO pillars are dissolved by the hydrogen carrier gas leaving hollow GaN nanotubes. Characterization involved photoluminescence (PL), scanning electron microscopy and cathodoluminescence. The fair quality of the deposited GaN layers is confirmed by a sharp low temperature PL peak at 3.48 eV attributed to the donor bound exciton emission. Further peaks at 3.42 eV and 3.29 eV show the possible existence of basal plane and prismatic stacking faults.

  20. Characterization of the whiskerlike products formed by hydriding magnesium metal powders

    DEFF Research Database (Denmark)

    Herley, P. J.; Jones, W.; Vigeholm, Bjørn

    1985-01-01

    The structure of filamentary crystals produced during the hydriding of magnesium powder has been studies in detail. The needles of small dimensions (typically 0.5 μm in diameter) have been identified by electron analytical techniques to be oriented microcrystals of metallic magnesium. Their forma......The structure of filamentary crystals produced during the hydriding of magnesium powder has been studies in detail. The needles of small dimensions (typically 0.5 μm in diameter) have been identified by electron analytical techniques to be oriented microcrystals of metallic magnesium....... Their formation has been ascribed to the melting of localized aluminum impurities within the bulk magnesium to form a liquid eutectic. In the presence of sublimed magnesium vapor and hydrogen (as a carrier gas) a vapor-liquid-solid mechanism operates to produce a rapid unidirectional extension followed...

  1. Hydrogen desorption kinetics from zirconium hydride and zirconium metal in vacuum

    International Nuclear Information System (INIS)

    Hu, Xunxiang; Terrani, Kurt A.; Wirth, Brian D.

    2014-01-01

    The kinetics of hydrogen desorption from zirconium hydride is important in many nuclear design and safety applications. In this paper, a coordinated experimental and modeling study has been used to explicitly demonstrate the applicability of existing kinetic theories for hydrogen desorption from zirconium hydride and α-zirconium. A static synthesis method was used to produce δ-zirconium hydride, and the crystallographic phases of the zirconium hydride were confirmed by X-ray diffraction (XRD). Three obvious stages, involving δ-zirconium hydride, a two-phase region, and α-zirconium, were observed in the hydrogen desorption spectra of two zirconium hydride specimens with H/Zr ratios of 1.62 and 1.64, respectively, which were obtained using thermal desorption spectroscopy (TDS). A continuous, one-dimensional, two-phase moving boundary model, coupled with the zero- and second-order kinetics of hydrogen desorption from δ-zirconium hydride and α-zirconium, respectively, has been developed to reproduce the TDS experimental results. A comparison of the modeling predictions with the experimental results indicates that a zero-order kinetic model is valid for description of hydrogen flux away from the δ-hydride phase, and that a second-order kinetic model works well for hydrogen desorption from α-Zr if the activation energy of desorption is optimized to be 70% of the value reported in the literature

  2. Liquid-phase epitaxy of InGaAsP solid solutions on profiled substrates of InP(100)

    International Nuclear Information System (INIS)

    Dvoryankin, V.F.; Kaevitser, L.R.; Komarov, A.A.; Telegin, A.A.; Khusid, L.B.; Chernushin, M.D.

    1990-01-01

    Peculiarities of selective growth of InGaAsP solid solutions under liquid-phase epitaxy in shallow grooves are considered. InGaAsP crystals grown in grooves oriented along crystallografic [110] and [011] directions are determined to trend to equilibrium form under two-phase epitaxy, while wedge-shaped form of In 0.77 Ga 0.23 As 0.53 P 0.45 and In 0.53 P o.45 and IN 0.59 Ga 0.41 As 0.83 P 0.12 epitaxial layers obtained in grooves is determined by their composition only and does not depend on groove configuration

  3. On the Chemistry of Hydrides of N Atoms and O+ Ions

    Science.gov (United States)

    Awad, Zainab; Viti, Serena; Williams, David A.

    2016-08-01

    Previous work by various authors has suggested that the detection by Herschel/HIFI of nitrogen hydrides along the low-density lines of sight toward G10.6-0.4 (W31C) cannot be accounted for by gas-phase chemical models. In this paper we investigate the role of surface reactions on dust grains in diffuse regions, and we find that formation of the hydrides by surface reactions on dust grains with efficiency comparable to that for H2 formation reconciles models with observations of nitrogen hydrides. However, similar surface reactions do not contribute significantly to the hydrides of O+ ions detected by Herschel/HIFI that are present along many sight lines in the Galaxy. The O+ hydrides can be accounted for by conventional gas-phase chemistry either in diffuse clouds of very low density with normal cosmic-ray fluxes or in somewhat denser diffuse clouds with high cosmic-ray fluxes. Hydride chemistry in dense dark clouds appears to be dominated by gas-phase ion-molecule reactions.

  4. Electrical performance of phase change memory cells with Ge3Sb2Te6 deposited by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella; Boniardi, Mattia; Redaelli, Andrea

    2015-01-01

    Here, we report on the electrical characterization of phase change memory cells containing a Ge 3 Sb 2 Te 6 (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles

  5. On the thermodynamics of phase transitions in metal hydrides

    Science.gov (United States)

    di Vita, Andrea

    2012-02-01

    Metal hydrides are solutions of hydrogen in a metal, where phase transitions may occur depending on temperature, pressure etc. We apply Le Chatelier's principle of thermodynamics to a particular phase transition in TiH x , which can approximately be described as a second-order phase transition. We show that the fluctuations of the order parameter correspond to fluctuations both of the density of H+ ions and of the distance between adjacent H+ ions. Moreover, as the system approaches the transition and the correlation radius increases, we show -with the help of statistical mechanics-that the statistical weight of modes involving a large number of H+ ions (`collective modes') increases sharply, in spite of the fact that the Boltzmann factor of each collective mode is exponentially small. As a result, the interaction of the H+ ions with collective modes makes a tiny suprathermal fraction of the H+ population appear. Our results hold for similar transitions in metal deuterides, too. A violation of an -insofar undisputed-upper bound on hydrogen loading follows.

  6. Liquid phase electro epitaxy growth kinetics of GaAs-A three-dimensional numerical simulation study

    International Nuclear Information System (INIS)

    Mouleeswaran, D.; Dhanasekaran, R.

    2006-01-01

    A three-dimensional numerical simulation study for the liquid phase electro epitaxial growth kinetic of GaAs is presented. The kinetic model is constructed considering (i) the diffusive and convective mass transport, (ii) the heat transfer due to thermoelectric effects such as Peltier effect, Joule effect and Thomson effect, (iii) the electric current distribution with electromigration and (iv) the fluid flow coupled with concentration and temperature fields. The simulations are performed for two configurations namely (i) epitaxial growth from the arsenic saturated gallium rich growth solution, i.e., limited solution model and (ii) epitaxial growth from the arsenic saturated gallium rich growth solution with polycrystalline GaAs feed. The governing equations of liquid phase electro epitaxy are solved numerically with appropriate initial and boundary conditions using the central difference method. Simulations are performed to determine the following, a concentration profiles of solute atoms (As) in the Ga-rich growth solution, shape of the substrate evolution, the growth rate of the GaAs epitaxial film, the contributions of Peltier effect and electromigration of solute atoms to the growth with various experimental growth conditions. The growth rate is found to increase with increasing growth temperature and applied current density. The results are discussed in detail

  7. Understanding hydride formation in Zr-1Nb alloy through microstructural characterization

    International Nuclear Information System (INIS)

    Neogy, S.; Srivastava, D.; Tewari, R.; Singh, R.N.; Dey, G.K.; De, P.K.; Banerjee, S.

    2003-07-01

    In this study the experimental results of hydride formation and their microstructure evolution in Zr-1Nb alloy is presented. This Zr-1Nb binary alloy and other Zr-1 Nb based ternary and quaternary alloys are being used as fuel tube materials and have the potential for meeting the requirement of high burn up fuel. Hydriding of Zr-1Nb alloy having a microstructure comprising equiaxed α grains and a uniform distribution of spherical particles of the β phase has been carried out in this study. The specimens have been hydrided by gaseous charging method to different hydrogen levels. The microstructures of hydrided samples were examined as a function of hydrogen content. The formation of δ hydride in slow cooled specimens and formation of γ hydride in rapidly cooled specimens has been studied with their morphology, habit plane and orientation relationship with the α matrix in view. The habit planes of either type of hydride phase has been determined and compared with those observed in other Zr-Nb alloys. The orientation relationship between the α matrix and the δ hydride was found to be the following: (0001) α // (111) δ and [1120] α // [110] δ . The orientation relationship between the α matrix and the γ hydride was of the following type: (0001) α // (111) γ and [1120] α // [110] γ . The internal structure of both types of hydride has been examined. The effect of the presence of the spherical β phase particles in the a matrix on the growth of the hydride plates has been investigated. (author)

  8. Structural and electronic properties of InN epitaxial layer grown on c-plane sapphire by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Barick, Barun Kumar, E-mail: bkbarick@gmail.com; Prasad, Nivedita; Saroj, Rajendra Kumar; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology, Bombay, Mumbai 400076 (India)

    2016-09-15

    Growth of InN epilayers on c-plane sapphire substrate by chemical vapor deposition technique using pure indium metal and ammonia as precursors has been systematically explored. It has been found that [0001] oriented indium nitride epitaxial layers with smooth surface morphology can be grown on c-plane sapphire substrates by optimizing the growth conditions. Bandgap of the film is observed to be Burstein–Moss shifted likely to be due to high background electron concentration. It has been found that the concentration of this unintentional doping decreases with the increase in the growth temperature and the ammonia flux. Epitaxial quality on the other hand deteriorates as the growth temperature increases. Moreover, the morphology of the deposited layer has been found to change from flat top islands to faceted mounds as the flow rate of ammonia increases. This phenomenon is expected to be related to the difference in surface termination character at low and high ammonia flow rates.

  9. Uncovering the intrinsic size dependence of hydriding phase transformations in nanocrystals.

    Science.gov (United States)

    Bardhan, Rizia; Hedges, Lester O; Pint, Cary L; Javey, Ali; Whitelam, Stephen; Urban, Jeffrey J

    2013-10-01

    A quantitative understanding of nanocrystal phase transformations would enable more efficient energy conversion and catalysis, but has been hindered by difficulties in directly monitoring well-characterized nanoscale systems in reactive environments. We present a new in situ luminescence-based probe enabling direct quantification of nanocrystal phase transformations, applied here to the hydriding transformation of palladium nanocrystals. Our approach reveals the intrinsic kinetics and thermodynamics of nanocrystal phase transformations, eliminating complications of substrate strain, ligand effects and external signal transducers. Clear size-dependent trends emerge in nanocrystals long accepted to be bulk-like in behaviour. Statistical mechanical simulations show these trends to be a consequence of nanoconfinement of a thermally driven, first-order phase transition: near the phase boundary, critical nuclei of the new phase are comparable in size to the nanocrystal itself. Transformation rates are then unavoidably governed by nanocrystal dimensions. Our results provide a general framework for understanding how nanoconfinement fundamentally impacts broad classes of thermally driven solid-state phase transformations relevant to hydrogen storage, catalysis, batteries and fuel cells.

  10. Vapor phase versus liquid phase grafting of meso-porous alumina

    NARCIS (Netherlands)

    Sripathi, V.G.P.; Mojet, Barbara; Nijmeijer, Arian; Benes, Nieck Edwin

    2013-01-01

    Functionalization of meso-porous c-alumina has been performed by grafting of 3-Aminopropyltrimethoxysilane (3APTMS) simultaneously from either the liquid phase or from the vapor phase. In both cases, after grafting nitrogen physisorption indicates that the materials remain meso-porous with

  11. Growth of high purity semiconductor epitaxial layers by liquid phase ...

    Indian Academy of Sciences (India)

    Unknown

    semiconductor materials in high purity form by liquid phase epitaxy (LPE) technique. Various possible sources of impurities in such ... reference to the growth of GaAs layers. The technique of growing very high purity layers ... the inner walls of the gas lines and (e) the containers for storing, handling and cleaning of the mate-.

  12. Clean Grain Boundary Found in C14/Body-Center-Cubic Multi-Phase Metal Hydride Alloys

    Directory of Open Access Journals (Sweden)

    Hao-Ting Shen

    2016-06-01

    Full Text Available The grain boundaries of three Laves phase-related body-center-cubic (bcc solid-solution, metal hydride (MH alloys with different phase abundances were closely examined by scanning electron microscopy (SEM, transmission electron microscopy (TEM, and more importantly, electron backscatter diffraction (EBSD techniques. By using EBSD, we were able to identify the alignment of the crystallographic orientations of the three major phases in the alloys (C14, bcc, and B2 structures. This finding confirms the presence of crystallographically sharp interfaces between neighboring phases, which is a basic assumption for synergetic effects in a multi-phase MH system.

  13. Comparison of linear and nonlinear optical spectra of various ZnO epitaxial layers and of bulk material obtained by different experimental techniques

    Energy Technology Data Exchange (ETDEWEB)

    Priller, H.; Brueckner, J.; Klingshirn, C.; Kalt, H. [Institut fuer Angewandte Physik, Universitaet Karlsruhe, Wolfgang-Gaede-Str. 1, 76131 Karlsruhe (Germany); Gruber, Th.; Waag, A. [Abteilung Halbleiterphysik, Universitaet Ulm, Albert Einstein Allee 45, 89081 Ulm (Germany); Ko, H.J.; Yao, T. [Institute for Material Research, Tohoku University, Katahira 2-1-1, Aoba-Ku, Sendai 980-8577 (Japan)

    2004-03-01

    We investigate ZnO epitaxial layers grown by MBE (Molecular Beam Epitaxy) and MOVPE (Metal Organic Vapor Phase Epitaxy) techniques. The samples show similar optical behavior in temperature dependent photoluminescence measurements, reflection and photoluminescence excitation spectroscopy in the low density regime. High excitation measurements show different behavior. While the MBE sample leads to stimulated emission from the exciton-exciton-scattering, an electron hole plasma is formed in the MOVPE sample which leads to stimulated emission at higher excitation intensities. The gain value measured by the variable stripe length method is much higher for the MBE grown sample. (copyright 2004 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. High purity liquid phase epitaxial gallium arsenide nuclear radiation detector

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.

    1991-11-01

    Surface barrier radiation detector made from high purity liquid phase epitaxial gallium arsenide wafers have been operated as X- and γ-ray detectors at various operating temperatures. Low energy isotopes are resolved including 241 Am at 40 deg C. and the higher gamma energies of 235 U at -80 deg C. 15 refs., 1 tab., 6 figs

  15. Trapping interference effects of arsenic, antimony and bismuth hydrides in collection of selenium hydride within iridium-modified transversally-heated graphite tube atomizer

    Energy Technology Data Exchange (ETDEWEB)

    Furdikova, Zuzana [Department of Environmental Chemistry and Technology, Faculty of Chemistry, Brno University of Technology, Purkynova 118, CZ-61200 Brno (Czech Republic); Institute of Analytical Chemistry of the Academy of Sciences of the Czech Republic v.v.i., Veveri 97, CZ-60200, Brno (Czech Republic); Docekal, Bohumil [Institute of Analytical Chemistry of the Academy of Sciences of the Czech Republic v.v.i., Veveri 97, CZ-60200, Brno (Czech Republic)], E-mail: docekal@iach.cz

    2009-04-15

    Interference effects of co-generated hydrides of arsenic, antimony and bismuth on trapping behavior of selenium hydride (analyte) within an iridium-modified, transversely heated graphite tube atomizer (THGA) were investigated. A twin-channel hydride generation system was used for independent separate generation and introduction of analyte and interferent hydrides, i.e. in a simultaneous and/or sequential analyte-interferent and interferent-analyte mode of operation. The influence of the analyte and modifier mass, interferent amount, trapping temperature and composition of the gaseous phase was studied. A simple approach for the elimination of mutual interference effects by modification of the gaseous phase with oxygen in a substoichiometric ratio to chemically generated hydrogen is proposed and the suppression of these interference effects is demonstrated. A hypothesis on the mechanism of trapping and mutual interference effects is drawn.

  16. Molecular beam epitaxy of GeTe-Sb{sub 2}Te{sub 3} phase change materials studied by X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Shayduk, Roman

    2010-05-20

    The integration of phase change materials into semiconductor heterostructures may lead to the development of a new generation of high density non-volatile phase change memories. Epitaxial phase change materials allow to study the detailed structural changes during the phase transition and to determine the scaling limits of the memory. This work is dedicated to the epitaxial growth of Ge-Sb-Te phase change alloys on GaSb(001). We deposit Ge-Sb-Te (GST) films on GaSb(001) substrates by means of molecular beam epitaxy (MBE). The film orientation and lattice constant evolution is determined in real time during growth using grazing incidence X-ray diffraction (GID). The nucleation stage of the growth is studied in situ using reflection high energy electron diffraction (RHEED). Four growth regimes of GST on GaSb(001) were observed: amorphous, polycrystalline, incubated epitaxial and direct epitaxial. Amorphous film grows for substrate temperatures below 100 C. For substrate temperatures in the range 100-160 C, the film grows in polycrystalline form. Incubated epitaxial growth is observed at temperatures from 180 to 210 C. This growth regime is characterized by an initial 0.6nm thick amorphous layer formation, which crystallizes epitaxially as the film thickness increases. The determined lattice constant of the films is 6.01 A, very close to that of the metastable GST phase. The films predominantly possess an epitaxial cube-on-cube relationship. At higher temperatures the films grow epitaxially, however the growth rate is rapidly decreasing with temperature. At temperatures above 270 C the growth rate is zero. The composition of the grown films is close to 2:2:5 for Ge, Sb and Te, respectively. The determined crystal structure of the films is face centered cubic (FCC) with a rhombohedral distortion. The analysis of X-ray peak widths gives a value for the rhombohedral angle of 89.56 . We observe two types of reflections in reciprocal space indicating two FCC sublattices in

  17. Epitaxial growth of Ge-Sb-Te based phase change materials

    Energy Technology Data Exchange (ETDEWEB)

    Perumal, Karthick

    2013-07-30

    Ge-Sb-Te based phase change materials are considered as a prime candidate for optical and electrical data storage applications. With the application of an optical or electrical pulse, they can be reversibly switched between amorphous and crystalline state, thereby exhibiting large optical and electrical contrast between the two phases, which are then stored as information in the form of binary digits. Single crystalline growth is interesting from both the academic and industrial perspective, as ordered Ge-Sb-Te based metamaterials are known to exhibit switching at reduced energies. The present study deals with the epitaxial growth and analysis of Ge-Sb-Te based thin films. The first part of the thesis deals with the epitaxial growth of GeTe. Thin films of GeTe were grown on highly mismatched Si(111) and (001) substrates. On both the substrate orientations the film grows along [111] direction with an amorphous-to-crystalline transition observed during the initial stages of growth. The amorphous-to-crystalline transition was studied in-vivo using azimuthal reflection high-energy electron diffraction scans and grazing incidence X-ray diffraction. In the second part of the thesis epitaxy and characterization of Sb{sub 2}Te{sub 3} thin films are presented. The third part of the thesis deals with the epitaxy of ternary Ge-Sb-Te alloys. The composition of the films are shown to be highly dependent on growth temperatures and vary along the pseudobinary line from Sb{sub 2}Te{sub 3} to GeTe with increase in growth temperatures. A line-of-sight quadrupole mass spectrometer was used to reliably control the GeSbTe growth temperature. Growth was performed at different Ge, Sb, Te fluxes to study the compositional variation of the films. Incommensurate peaks are observed along the [111] direction by X-ray diffraction. The possibility of superstructural vacancy ordering along the [111] direction is discussed.

  18. Vertical epitaxial wire-on-wire growth of Ge/Si on Si(100) substrate.

    Science.gov (United States)

    Shimizu, Tomohiro; Zhang, Zhang; Shingubara, Shoso; Senz, Stephan; Gösele, Ulrich

    2009-04-01

    Vertically aligned epitaxial Ge/Si heterostructure nanowire arrays on Si(100) substrates were prepared by a two-step chemical vapor deposition method in anodic aluminum oxide templates. n-Butylgermane vapor was employed as new safer precursor for Ge nanowire growth instead of germane. First a Si nanowire was grown by the vapor liquid solid growth mechanism using Au as catalyst and silane. The second step was the growth of Ge nanowires on top of the Si nanowires. The method presented will allow preparing epitaxially grown vertical heterostructure nanowires consisting of multiple materials on an arbitrary substrate avoiding undesired lateral growth.

  19. Liquid phase epitaxy of gallium arsenide - a review

    International Nuclear Information System (INIS)

    Alexiev, D.; Edmondson, M.; Butcher, K.S.A.; Tansley, T.

    1992-07-01

    Liquid phase epitaxy of gallium arsenide has been investigated intensively from the late 1960's to the present and has now a special place in the manufacture of wide band, compound semiconductor radiation detectors. Although this particular process appears to have gained prominence in the last three decades, the authors point out that its origins reach back to 1836 when Frankenheim made his first observations. A brief review is presented from a semiconductor applications point of view on how this subject developed. 70 refs., 5 figs

  20. Nanoindentation measurements of the mechanical properties of zirconium matrix and hydrides in unirradiated pre-hydrided nuclear fuel cladding

    International Nuclear Information System (INIS)

    Rico, A.; Martin-Rengel, M.A.; Ruiz-Hervias, J.; Rodriguez, J.; Gomez-Sanchez, F.J.

    2014-01-01

    It is well known that the mechanical properties of the nuclear fuel cladding may be affected by the presence of hydrides. The average mechanical properties of hydrided cladding have been extensively investigated from a macroscopic point of view. In addition, the mechanical and fracture properties of bulk hydride samples fabricated from zirconium plates have also been reported. In this paper, Young’s modulus, hardness and yield stress are measured for each phase, namely zirconium hydrides and matrix, of pre-hydrided nuclear fuel cladding. To this end, nanoindentation tests were performed on ZIRLO samples in as-received state, on a hydride blister and in samples with 150 and 1200 ppm of hydrogen homogeneously distributed along the hoop direction of the cladding. The results show that the measured mechanical properties of the zirconium hydrides and ZIRLO matrix (Young’s modulus, hardness and yield stress) are rather similar. From the experimental data, the hydride volume fraction in the cladding samples with 150 and 1200 ppm was estimated and the average mechanical properties were calculated by means of the rule of mixtures. These values were compared with those obtained from ring compression tests. Good agreement between the results obtained by both methods was found

  1. GaN Bulk Growth and Epitaxy from Ca-Ga-N Solutions, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — This SBIR proposal addresses the liquid phase epitaxy (LPE) of gallium nitride (GaN) films using nitrogen-enriched metal solutions. Growth of GaN from solutions...

  2. Use of halide transport in epitaxial growth of InP and related compounds

    Energy Technology Data Exchange (ETDEWEB)

    Somogyi, K. [Hungarian Academy of Sciences, Budapest (Hungary). Research Inst. for Technical Physics

    1996-12-31

    In this paper methods and results in the InP (and related) growth practice are reviewed, classified and summarized on the basis of the recent literature. The aim is to show the present place and role of the halogen transport in the epitaxial growth. In the case of InP the importance of the classical hydride method is still high. Though MOVPE technique dominates in the case of growth of the compounds with In content, atomic layer epitaxy and selective area growth are successful with auxiliary application of the halogen transport. Chlorine assisted MOVPE has an increasing role.

  3. High-quality nonpolar a-plane GaN epitaxial films grown on r-plane sapphire substrates by the combination of pulsed laser deposition and metal–organic chemical vapor deposition

    Science.gov (United States)

    Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang

    2018-05-01

    High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.

  4. Toxicity of vapor phase petroleum contaminants to microbial degrader communities

    International Nuclear Information System (INIS)

    Long, S.C.; Davey, C.A.

    1994-01-01

    Petroleum products constitute the largest quantity of synthetic organic chemical products produced in the US. They are comprised of mostly hydrocarbon constituents from many different chemical classes including alkenes, cycloalkanes, aromatic compounds, and polyaromatic hydrocarbons. Many petroleum constituents are classified as volatile organic compounds or VOCs. Petroleum products also constitute a major portion of environmental pollution. One emerging technology, with promise for applications to VOCs in subsurface soil environments, is bioventing coupled with soil vapor extraction. These technologies involve volatilization of contaminants into the soil gas phase by injection and withdrawal of air. This air movement causes enhancement of the aerobic microbial degradation of the mobilized vapors by the indigenous populations. This study investigated the effects of exposure of mixed, subsurface microbial communities to vapor phase petroleum constituents or vapors of petroleum mixtures. Soil slurries were prepared and plated onto mineral salts agar plates and exposed to vapor phase contaminants at equilibrium with pure product. Representative n-alkane, branched alkane, cycloalkane, and aromatic compounds were tested as well as petroleum product mixtures. Vapor exposure altered the numbers and morphologies of the colonies enumerated when compared to controls. However, even at high, equilibrium vapor concentrations, microbial degrader populations were not completely inhibited

  5. Zirconium hydrides and Fe redistribution in Zr-2.5%Nb alloy under ion irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Idrees, Y.; Yao, Z. [Department of Mechanical and Materials Engineering, Queen' s University, Kingston, ON, Canada, K7L 3N6 (Canada); Cui, J.; Shek, G.K. [Kinetrics, Mississauga, ON (Canada); Daymond, M.R., E-mail: daymond@queensu.ca [Department of Mechanical and Materials Engineering, Queen' s University, Kingston, ON, Canada, K7L 3N6 (Canada)

    2016-11-15

    Zr-2.5%Nb alloy is used to fabricate the pressure tubes of the CANDU reactor. The pressure tube is the primary pressure boundary for coolant in the CANDU design and is susceptible to delayed hydride cracking, reduction in fracture toughness upon hydride precipitation and potentially hydride blister formation. The morphology and nature of hydrides in Zr-2.5%Nb with 100 wppm hydrogen has been investigated using transmission electron microscopy. The effect of hydrides on heavy ion irradiation induced decomposition of the β phase has been reported. STEM-EDX mapping was employed to investigate the distribution of alloying elements. The results show that hydrides are present in the form of stacks of different sizes, with length scales from nano- to micro-meters. Heavy ion irradiation experiments at 250 °C on as-received and hydrided Zr-2.5%Nb alloy, show interesting effects of hydrogen on the irradiation induced redistribution of Fe. It was found that Fe is widely redistributed from the β phase into the α phase in the as-received material, however, the loss of Fe from the β phase and subsequent precipitation is retarded in the hydrided material. This preliminary work will further the current understanding of microstructural evolution of Zr based alloys in the presence of hydrogen. - Graphical abstract: STEM HAADF micrographs at low magnification showing the hydride structure in Zr-2.5Nb alloy.

  6. ON THE CHEMISTRY OF HYDRIDES OF N ATOMS AND O{sup +} IONS

    Energy Technology Data Exchange (ETDEWEB)

    Awad, Zainab [Astronomy, Space Science, and Meteorology Department, Faculty of Science, Cairo University, Giza (Egypt); Viti, Serena; Williams, David A., E-mail: zma@sci.cu.edu.eg [Physics and Astronomy Department, University College London, Gower Street, London WC1E 6BT (United Kingdom)

    2016-08-01

    Previous work by various authors has suggested that the detection by Herschel /HIFI of nitrogen hydrides along the low-density lines of sight toward G10.6-0.4 (W31C) cannot be accounted for by gas-phase chemical models. In this paper we investigate the role of surface reactions on dust grains in diffuse regions, and we find that formation of the hydrides by surface reactions on dust grains with efficiency comparable to that for H{sub 2} formation reconciles models with observations of nitrogen hydrides. However, similar surface reactions do not contribute significantly to the hydrides of O{sup +} ions detected by Herschel /HIFI that are present along many sight lines in the Galaxy. The O{sup +} hydrides can be accounted for by conventional gas-phase chemistry either in diffuse clouds of very low density with normal cosmic-ray fluxes or in somewhat denser diffuse clouds with high cosmic-ray fluxes. Hydride chemistry in dense dark clouds appears to be dominated by gas-phase ion–molecule reactions.

  7. First-principles calculations of niobium hydride formation in superconducting radio-frequency cavities

    Science.gov (United States)

    Ford, Denise C.; Cooley, Lance D.; Seidman, David N.

    2013-09-01

    Niobium hydride is suspected to be a major contributor to degradation of the quality factor of niobium superconducting radio-frequency (SRF) cavities. In this study, we connect the fundamental properties of hydrogen in niobium to SRF cavity performance and processing. We modeled several of the niobium hydride phases relevant to SRF cavities and present their thermodynamic, electronic, and geometric properties determined from calculations based on density functional theory. We find that the absorption of hydrogen from the gas phase into niobium is exothermic and hydrogen becomes somewhat anionic. The absorption of hydrogen by niobium lattice vacancies is strongly preferred over absorption into interstitial sites. A single vacancy can accommodate six hydrogen atoms in the symmetrically equivalent lowest energy sites and additional hydrogen in the nearby interstitial sites affected by the strain field: this indicates that a vacancy can serve as a nucleation center for hydride phase formation. Small hydride precipitates may then occur near lattice vacancies upon cooling. Vacancy clusters and extended defects should also be enriched in hydrogen, potentially resulting in extended hydride phase regions upon cooling. We also assess the phase changes in the niobium-hydrogen system based on charge transfer between niobium and hydrogen, the strain field associated with interstitial hydrogen, and the geometry of the hydride phases. The results of this study stress the importance of not only the hydrogen content in niobium, but also the recovery state of niobium for the performance of SRF cavities.

  8. First-principles calculations of niobium hydride formation in superconducting radio-frequency cavities

    International Nuclear Information System (INIS)

    Ford, Denise C; Cooley, Lance D; Seidman, David N

    2013-01-01

    Niobium hydride is suspected to be a major contributor to degradation of the quality factor of niobium superconducting radio-frequency (SRF) cavities. In this study, we connect the fundamental properties of hydrogen in niobium to SRF cavity performance and processing. We modeled several of the niobium hydride phases relevant to SRF cavities and present their thermodynamic, electronic, and geometric properties determined from calculations based on density functional theory. We find that the absorption of hydrogen from the gas phase into niobium is exothermic and hydrogen becomes somewhat anionic. The absorption of hydrogen by niobium lattice vacancies is strongly preferred over absorption into interstitial sites. A single vacancy can accommodate six hydrogen atoms in the symmetrically equivalent lowest energy sites and additional hydrogen in the nearby interstitial sites affected by the strain field: this indicates that a vacancy can serve as a nucleation center for hydride phase formation. Small hydride precipitates may then occur near lattice vacancies upon cooling. Vacancy clusters and extended defects should also be enriched in hydrogen, potentially resulting in extended hydride phase regions upon cooling. We also assess the phase changes in the niobium–hydrogen system based on charge transfer between niobium and hydrogen, the strain field associated with interstitial hydrogen, and the geometry of the hydride phases. The results of this study stress the importance of not only the hydrogen content in niobium, but also the recovery state of niobium for the performance of SRF cavities. (paper)

  9. Methods for improved growth of group III nitride buffer layers

    Science.gov (United States)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    2014-07-15

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphology of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).

  10. Self-Catalyzed Growth of Axial GaAs/GaAsSb Nanowires by Molecular Beam Epitaxy for Photodetectors

    Science.gov (United States)

    2015-06-01

    MOVPE Metal organic vapor phase epitaxy NCA Nano Channel Aluminum NW Nanowire PL Photoluminescence PMMA Poly methyl methacrylate...GaAs (111) B substrate. The NWs were grown using a nanochannel alumina ( NCA ) template. It was later shown by Dubrovskii et al. [16], that the NWs... cathode gun. The type of signals produced are secondary electron (SE), back scattered electron (BSE), characteristic X- rays, specimen current and

  11. Structural evolution of epitaxial SrCoO{sub x} films near topotactic phase transition

    Energy Technology Data Exchange (ETDEWEB)

    Jeen, Hyoungjeen [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States); Department of Physics, Pusan National University, Busan, 609735 (Korea, Republic of); Lee, Ho Nyung, E-mail: hnlee@ornl.gov [Materials Science and Technology Division, Oak Ridge National Laboratory, Oak Ridge, Tennessee 37831 (United States)

    2015-12-15

    Control of oxygen stoichiometry in complex oxides via topotactic phase transition is an interesting avenue to not only modifying the physical properties, but utilizing in many energy technologies, such as energy storage and catalysts. However, detailed structural evolution in the close proximity of the topotactic phase transition in multivalent oxides has not been much studied. In this work, we used strontium cobaltites (SrCoO{sub x}) epitaxially grown by pulsed laser epitaxy (PLE) as a model system to study the oxidation-driven evolution of the structure, electronic, and magnetic properties. We grew coherently strained SrCoO{sub 2.5} thin films and performed post-annealing at various temperatures for topotactic conversion into the perovskite phase (SrCoO{sub 3-δ}). We clearly observed significant changes in electronic transport, magnetism, and microstructure near the critical temperature for the topotactic transformation from the brownmillerite to the perovskite phase. Nevertheless, the overall crystallinity was well maintained without much structural degradation, indicating that topotactic phase control can be a useful tool to control the physical properties repeatedly via redox reactions.

  12. Metal hydride/chemical heat-pump development project. Phase I. Final report

    Energy Technology Data Exchange (ETDEWEB)

    Argabright, T.A.

    1982-02-01

    The metal hydride/chemical heat pump (MHHP) is a chemical heat pump containing two hydrides for the storage and/or recovery of thermal energy. It utilizes the heat of reaction of hydrogen with specific metal alloys. The MHHP design can be tailored to provide heating and/or cooling or temperature upgrading over a wide range of input and ambient temperatures. The system can thus be used with a variety of heat sources including waste heat, solar energy or a fossil fuel. The conceptual design of the MHHP was developed. A national market survey including a study of applications and market sectors was conducted. The technical tasks including conceptual development, thermal and mechanical design, laboratory verification of design and material performance, cost analysis and the detailed design of the Engineering Development Test Unit (EDTU) were performed. As a result of the market study, the temperature upgrade cycle of the MHHP was chosen for development. Operating temperature ranges for the upgrader were selected to be from 70 to 110/sup 0/C (160 to 230/sup 0/F) for the source heat and 140 to 190/sup 0/C (280 to 375/sup 0/F) for the product heat. These ranges are applicable to many processes in industries such as food, textile, paper and pulp, and chemical. The hydride pair well suited for these temperatures is LaNi/sub 5//LaNi/sub 4/ /sub 5/Al/sub 0/ /sub 5/. The EDTU was designed for the upgrade cycle. It is a compact finned tube arrangement enclosed in a pressure vessel. This design incorporates high heat transfer and low thermal mass in a system which maximizes the coefficient of performance (COP). It will be constructed in Phase II. Continuation of this effort is recommended.

  13. Spin Filtering in Epitaxial Spinel Films with Nanoscale Phase Separation

    KAUST Repository

    Li, Peng

    2017-05-08

    The coexistence of ferromagnetic metallic phase and antiferromagnetic insulating phase in nanoscaled inhomogeneous perovskite oxides accounts for the colossal magnetoresistance. Although the model of spin-polarized electron transport across antiphase boundaries has been commonly employed to account for large magnetoresistance (MR) in ferrites, the magnetic anomalies, the two magnetic phases and enhanced molecular moment, are still unresolved. We observed a sizable MR in epitaxial spinel films (NiCo2O4-δ) that is much larger than that commonly observed in spinel ferrites. Detailed analysis reveals that this MR can be attributed to phase separation, in which the perfect ferrimagnetic metallic phase and ferrimagnetic insulating phase coexist. The magnetic insulating phase plays an important role in spin filtering in these phase separated spinel oxides, leading to a sizable MR effect. A spin filtering model based on Zeeman effect and direct tunneling is developed to account for MR of the phase separated films.

  14. Multidimensional simulations of hydrides during fuel rod lifecycle

    International Nuclear Information System (INIS)

    Stafford, D.S.

    2015-01-01

    In light water reactor fuel rods, waterside corrosion of zirconium-alloy cladding introduces hydrogen into the cladding, where it is slightly soluble. When the solubility limit is reached, the hydrogen precipitates into crystals of zirconium hydride which decrease the ductility of the cladding and may lead to cladding failure during dry storage or transportation events. The distribution of the hydride phase and the orientation of the crystals depend on the history of the spatial temperature and stress profiles in the cladding. In this work, we have expanded the existing hydride modeling capability in the BISON fuel performance code with the goal of predicting both global and local effects on the radial, azimuthal and axial distribution of the hydride phase. We compare results from 1D simulations to published experimental data. We demonstrate the new capability by simulating in 2D a fuel rod throughout a lifecycle that includes irradiation, short-term storage in the spent fuel pool, drying, and interim storage in a dry cask. Using the 2D simulations, we present qualitative predictions of the effects of the inter-pellet gap and the drying conditions on the growth of a hydride rim. - Highlights: • We extend BISON fuel performance code to simulate lifecycle of fuel rods. • We model hydrogen evolution in cladding from reactor through dry storage. • We validate 1D simulations of hydrogen evolution against experiments. • We show results of 2D axisymmetric simulations predicting hydride formation. • We show how our model predicts formation of a hydride rim in the cladding.

  15. Electrical performance of phase change memory cells with Ge{sub 3}Sb{sub 2}Te{sub 6} deposited by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Boschker, Jos E.; Riechert, Henning; Calarco, Raffaella [Paul-Drude-Institut für Festkörperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany); Boniardi, Mattia; Redaelli, Andrea [Micron Semiconductor Italia S.r.l., Via C. Olivetti, 2, 20864, Agrate Brianza, MB (Italy)

    2015-01-12

    Here, we report on the electrical characterization of phase change memory cells containing a Ge{sub 3}Sb{sub 2}Te{sub 6} (GST) alloy grown in its crystalline form by Molecular Beam Epitaxy (MBE). It is found that the high temperature growth on the amorphous substrate results in a polycrystalline film exhibiting a rough surface with a grain size of approximately 80–150 nm. A detailed electrical characterization has been performed, including I-V characteristic curves, programming curves, set operation performance, crystallization activation at low temperature, and resistance drift, in order to determine the material related parameters. The results indicate very good alignment of the electrical parameters with the current state-of-the-art GST, deposited by physical vapor deposition. Such alignment enables a possible employment of the MBE deposition technique for chalcogenide materials in the phase change memory technology, thus leading to future studies of as-deposited crystalline chalcogenides as integrated in electrical vehicles.

  16. Recombination properties of dislocations in GaN

    Science.gov (United States)

    Yakimov, Eugene B.; Polyakov, Alexander Y.; Lee, In-Hwan; Pearton, Stephen J.

    2018-04-01

    The recombination activity of threading dislocations in n-GaN with different dislocation densities and different doping levels was studied using electron beam induced current (EBIC). The recombination velocity on a dislocation, also known as the dislocation recombination strength, was calculated. The results suggest that dislocations in n-GaN giving contrast in EBIC are charged and surrounded by a space charge region, as evidenced by the observed dependence of dislocation recombination strength on dopant concentration. For moderate (below ˜108 cm-2) dislocation densities, these defects do not primarily determine the average diffusion length of nonequilibrium charge carriers, although locally, dislocations are efficient recombination sites. In general, it is observed that the effect of the growth method [standard metalorganic chemical vapor deposition (MOCVD), epitaxial lateral overgrowth versions of MOCVD, and hydride vapor phase epitaxy] on the recombination activity of dislocations is not very pronounced, although the average diffusion lengths can widely differ for various samples. The glide of basal plane dislocations at room temperature promoted by low energy electron irradiation does not significantly change the recombination properties of dislocations.

  17. Surface passivation of liquid phase epitaxial GaAs

    International Nuclear Information System (INIS)

    Alexiev, D.; Butcher, K.S.A.; Mo, L.; Edmondson, M.

    1995-10-01

    Passivation of the liquid phase epitaxial GaAs surface was attempted using aqueous P 2 S 5 -NH 4 OH, (NH 4 ) 2 S x and plasma nitrogenation and hydrogenation. Results indicate that plasma nitrogenation with pretreatment of plasma hydrogenation produced consistent reduction in reverse leakage current at room temperature for all p and n type Schottky diodes. Some diodes showed an order of magnitude improvement in current density. (NH 4 ) 2 S x passivation also results in improved I-V characteristics, though the long term stability of this passivation is questionable. 26 refs., 6 figs

  18. Electrolytic hydriding and hydride distribution in zircaloy-4

    International Nuclear Information System (INIS)

    Gomes, M.H.L.

    1974-01-01

    A study has been made of the electrolytic hydriding of zircaloy-4 in the range 20-80 0 C, for reaction times from 5 to 30 hours, and the effect of potential, pH and dissolved oxygen has been investigated. The hydriding reaction was more sensitive to time and temperature conditions than to the electrochemical variables. It has been shown that a controlled introduction of hydrides in zircaloy is feasible. Hydrides were found to be plate like shaped and distributed mainly along grain-boundaries. It has been shown that hydriding kinetics do not follow a simple law but may be described by a Johnson-Mehl empirical equation. On the basis of this equation an activation energy of 9.400 cal/mol has been determined, which is close to the activation energy for diffusion of hydrogen in the hydride. (author)

  19. Influence of temperature on δ-hydride habit plane in α-Zirconium

    International Nuclear Information System (INIS)

    Singh, R. N.; Stahle, P.; Banerjee, S.; Ristmanaa, Matti; Sauramd, K.

    2008-01-01

    Dilute Zr-alloy with hcp α-Zr as major phase is used as pressure boundary for hot coolant in CANDU, PHWR and RBMK reactors. Hydrogen / deuterium ingress during service makes the pressure boundary components like pressure tubes of the aforementioned reactors susceptible to hydride embrittlement. Hydride acquires plate shaped morphology and the broad face of the hydride plate coincides with certain crystallographic plane of α-Zr crystal, which is called habit plane. Hydride plate oriented normal to tensile stress significantly increases the degree of embrittlement. Thus key to mitigating the damage due to hydride embrittlement is to avoid the formation of hydride plates normal to tensile stress. Two different theoretical approaches are used to determine the habit plane of precipitates viz., geometrical and solid mechanics. For the geometrical approach invariant plane and invariant-line criteria have been applied successfully and for the solid mechanics approach strain energy minimization criteria have been used successfully. Solid mechanics approach using strain energy computed by FEM technique has been applied to hydride precipitation in Zr-alloys, but the emphasis has been to understand the solvus hysteresis. The objective of the present investigation is to predict the habit plane of δ-hydride precipitating in α-Zr at 25, 300, 400 and 450 .deg. C. using strain energy minimization technique. The δ-hydride phase is modeled to undergo isotropic elastic and plastic deformation. The α-Zr phase was modeled to undergo transverse isotropic elastic deformation. Both isotropic plastic and transverse isotropic plastic deformations of α-Zr were considered. Further, both perfect and linear work-hardening plastic behaviors were considered. Accommodation strain energy of δ-hydrides forming in α-Zr crystal was computed using initial strain method as a function of hydride nuclei orientation. Hydride was modeled as disk with circular edge. The simulation was carried out

  20. InGaAs/InP, quantum wells and quantum wires grown by vapor levitation epitaxy using chloride transport

    International Nuclear Information System (INIS)

    Cox, H.M.; Morais, P.C.; Hwang, D.M.; Bastos, P.; Gmitter, T.J.; Nazar, L.; Worlock, J.M.; Yablonovitch, E.; Hummel, S.G.

    1988-09-01

    A variety of InGaAs/InP quantum structures have been grown by vapor levitation epitaxy (VLE) and investigated by low temperature photoluminescence (PL). Excellent long-range uniformity of QW peak positions across a two-inch diameter wafer is achieved. Monolayer thickness variations in single QW's are used to establish an essentially unambiguous correlation of QW thickness with energy upshift for ultra-thin quantum wells. PL evidence is presented of the growth, for the first time by any technique, of an InGaAs/InP QW of single monolayer thickness (2.93 (angstrom)). Quantum wires were fabricated entirely by VLE as thin as one monolayer and estimated to be three unit cells wide. (author) [pt

  1. Epitaxial growth of silicon and germanium on (100-oriented crystalline substrates by RF PECVD at 175 °C

    Directory of Open Access Journals (Sweden)

    Mauguin O.

    2012-11-01

    Full Text Available We report on the epitaxial growth of crystalline Si and Ge thin films by standard radio frequency plasma enhanced chemical vapor deposition at 175 °C on (100-oriented silicon substrates. We also demonstrate the epitaxial growth of silicon films on epitaxially grown germanium layers so that multilayer samples sustaining epitaxy could be produced. We used spectroscopic ellipsometry, Raman spectroscopy, transmission electron microscopy and X-ray diffraction to characterize the structure of the films (amorphous, crystalline. These techniques were found to provide consistent results and provided information on the crystallinity and constraints in such lattice-mismatched structures. These results open the way to multiple quantum-well structures, which have been so far limited to few techniques such as Molecular Beam Epitaxy or MetalOrganic Chemical Vapor Deposition.

  2. Dislocation/hydrogen interaction mechanisms in hydrided nanocrystalline palladium films

    International Nuclear Information System (INIS)

    Amin-Ahmadi, Behnam; Connétable, Damien; Fivel, Marc; Tanguy, Döme; Delmelle, Renaud; Turner, Stuart; Malet, Loic; Godet, Stephane; Pardoen, Thomas; Proost, Joris; Schryvers, Dominique

    2016-01-01

    The nanoscale plasticity mechanisms activated during hydriding cycles in sputtered nanocrystalline Pd films have been investigated ex-situ using advanced transmission electron microscopy techniques. The internal stress developing within the films during hydriding has been monitored in-situ. Results showed that in Pd films hydrided to β-phase, local plasticity was mainly controlled by dislocation activity in spite of the small grain size. Changes of the grain size distribution and the crystallographic texture have not been observed. In contrast, significant microstructural changes were not observed in Pd films hydrided to α-phase. Moreover, the effect of hydrogen loading on the nature and density of dislocations has been investigated using aberration-corrected TEM. Surprisingly, a high density of shear type stacking faults has been observed after dehydriding, indicating a significant effect of hydrogen on the nucleation energy barriers of Shockley partial dislocations. Ab-initio calculations of the effect of hydrogen on the intrinsic stable and unstable stacking fault energies of palladium confirm the experimental observations.

  3. Elastoplastic phase-field modeling of ζ-hydride precipitation in zirconium alloy: dynamics evolution in inhomogeneous elasticity

    International Nuclear Information System (INIS)

    Oum, G.; Thuinet, L.; Legris, A.

    2015-07-01

    A phase-field (PF) model was developed within the framework of homogeneous and heterogeneous elasticity theory to study the precipitation of ζ-hydride in zirconium. By coupling crystal plasticity to PF we show that plastic strain participates in lowering the transformation stresses, and therefore induces changes in nucleation, growth and morphology evolution of the precipitates. (authors)

  4. Solid phase epitaxy of amorphous silicon carbide: Ion fluence dependence

    International Nuclear Information System (INIS)

    Bae, I.-T.; Ishimaru, Manabu; Hirotsu, Yoshihiko; Sickafus, Kurt E.

    2004-01-01

    We have investigated the effect of radiation damage and impurity concentration on solid phase epitaxial growth of amorphous silicon carbide (SiC) as well as microstructures of recrystallized layer using transmission electron microscopy. Single crystals of 6H-SiC with (0001) orientation were irradiated with 150 keV Xe ions to fluences of 10 15 and 10 16 /cm 2 , followed by annealing at 890 deg. C. Full epitaxial recrystallization took place in a specimen implanted with 10 15 Xe ions, while retardation of recrystallization was observed in a specimen implanted with 10 16 /cm 2 Xe ions. Atomic pair-distribution function analyses and energy dispersive x-ray spectroscopy results suggested that the retardation of recrystallization of the 10 16 Xe/cm 2 implanted sample is attributed to the difference in amorphous structures between the 10 15 and 10 16 Xe/cm 2 implanted samples, i.e., more chemically disordered atomistic structure and higher Xe impurity concentration in the 10 16 Xe/cm 2 implanted sample

  5. Fluorine incorporation during Si solid phase epitaxy

    International Nuclear Information System (INIS)

    Impellizzeri, G.; Mirabella, S.; Romano, L.; Napolitani, E.; Carnera, A.; Grimaldi, M.G.; Priolo, F.

    2006-01-01

    We have investigated the F incorporation and segregation in preamorphized Si during solid phase epitaxy (SPE) at different temperatures and for several implanted-F energies and fluences. The Si samples were amorphized to a depth of 550 nm by implanting Si at liquid nitrogen temperature and then enriched with F at different energies (65-150 keV) and fluences (0.07-5 x 10 14 F/cm 2 ). Subsequently, the samples were regrown by SPE at different temperatures: 580, 700 and 800 deg. C. We have found that the amount of F incorporated after SPE strongly depends on the SPE temperature and on the energy and fluence of the implanted-F, opening the possibility to tailor the F profile during SPE

  6. Crystal phase-based epitaxial growth of hybrid noble metal nanostructures on 4H/fcc Au nanowires

    Science.gov (United States)

    Lu, Qipeng; Wang, An-Liang; Gong, Yue; Hao, Wei; Cheng, Hongfei; Chen, Junze; Li, Bing; Yang, Nailiang; Niu, Wenxin; Wang, Jie; Yu, Yifu; Zhang, Xiao; Chen, Ye; Fan, Zhanxi; Wu, Xue-Jun; Chen, Jinping; Luo, Jun; Li, Shuzhou; Gu, Lin; Zhang, Hua

    2018-03-01

    Crystal-phase engineering offers opportunities for the rational design and synthesis of noble metal nanomaterials with unusual crystal phases that normally do not exist in bulk materials. However, it remains a challenge to use these materials as seeds to construct heterometallic nanostructures with desired crystal phases and morphologies for promising applications such as catalysis. Here, we report a strategy for the synthesis of binary and ternary hybrid noble metal nanostructures. Our synthesized crystal-phase heterostructured 4H/fcc Au nanowires enable the epitaxial growth of Ru nanorods on the 4H phase and fcc-twin boundary in Au nanowires, resulting in hybrid Au-Ru nanowires. Moreover, the method can be extended to the epitaxial growth of Rh, Ru-Rh and Ru-Pt nanorods on the 4H/fcc Au nanowires to form unique hybrid nanowires. Importantly, the Au-Ru hybrid nanowires with tunable compositions exhibit excellent electrocatalytic performance towards the hydrogen evolution reaction in alkaline media.

  7. Fundamentals of Friction and Vapor Phase Lubrication

    National Research Council Canada - National Science Library

    Gellman, Andrew

    2004-01-01

    This is the final report for the three year research program on "Fundamentals of Friction and Vapor Phase Lubrication" conducted at Carnegie Mellon with support from AFOSR grant number F49630-01-1-0069...

  8. Molecular beam epitaxy for the future

    International Nuclear Information System (INIS)

    Takahashi, K.

    1984-01-01

    Molecular beam epitaxy (MBE) is most commonly used to fabricate super-lattices, high electron mobility transistors, multi-quantum well lasers and other new semiconductor devices by utilizing its excellent controlability. MBE for the future is presumed to include techniques such as metalorganic chemical vapor deposition, photochemical reaction process using gas sources and ion implantation. A report on the crystal growth of GaAs using metalorganics, trimethylgallium and triethylgallium, which are usually used in chemical vapor deposition, as gaseous sources of gallium in an MBE system is made. (Author) [pt

  9. Structural and magnetic transformations in NdMn2Hx hydrides

    International Nuclear Information System (INIS)

    Budziak, A.; Zachariasz, P.; Pełka, R.; Figiel, H.; Żukrowski, J.; Woch, M.W.

    2012-01-01

    Highlights: ► Full structural phase diagram is presented for the NdMn 2 H x (2.0 ≤ x ≤ 4.0) hydrides in the temperature range of 70–385 K. ► For samples x = 2.0, 2.5, and 4.0 a splitting into two phases with different hydrogen concentrations are observed. ► Only for samples with x = 3.0 and 3.5 no spinodal decompositions are detected. ► The effects of hydrogen absorption on structural properties are shown to be reflected in magnetic behavior. ► A huge jump of magnetic ordering temperatures from ∼104 K for host NdMn 2 to above 200 K for its hydrides is observed or anticipated. - Abstract: X-ray powder diffraction and bulk magnetization measurements were used to study structural and magnetic properties of hydrides NdMn 2 H x (2.0 ≤ x ≤ 4.0). The X-ray investigations performed in the temperature range 70–385 K have revealed many structural transformations at low temperatures. In particular, a transformation from the hexagonal to the monoclinic phase and spinodal decompositions were observed. The magnetic behavior of the hydrides is correlated with the structural transitions. A tentative structural diagram is presented. The obtained results are compared with the properties of other cubic and hexagonal RMn 2 H x hydrides.

  10. Vapor phase elemental sulfur amendment for sequestering mercury in contaminated soil

    Science.gov (United States)

    Looney, Brian B.; Denham, Miles E.; Jackson, Dennis G.

    2014-07-08

    The process of treating elemental mercury within the soil is provided by introducing into the soil a heated vapor phase of elemental sulfur. As the vapor phase of elemental sulfur cools, sulfur is precipitated within the soil and then reacts with any elemental mercury thereby producing a reaction product that is less hazardous than elemental mercury.

  11. Infrared reflection spectra of multilayer epitaxial heterostructures with embedded InAs and GaAs layers

    International Nuclear Information System (INIS)

    Seredin, P. V.; Domashevskaya, E. P.; Lukin, A. N.; Arsent'ev, I. N.; Vinokurov, D. A.; Tarasov, I. S.

    2008-01-01

    The effect of the thickness of embedded InAs and GaAs layers on the infrared reflection spectra of lattice vibrations for AlInAs/InAs/AlInAs, InGaAs/GaAs/InGaAs, and AlInAs/InGaAs/GaAs/InGaAs/AlInAs multilayer epitaxial heterostructures grown by MOC hydride epitaxy on InP (100) substrates is studied. Relative stresses emerging in the layers surrounding the embedded layers with variation in the number of monolayers from which the quantum dots are formed and with variation the thickness of the layers themselves surrounding the embedded layers are evaluated.

  12. Epitaxial graphene

    Science.gov (United States)

    de Heer, Walt A.; Berger, Claire; Wu, Xiaosong; First, Phillip N.; Conrad, Edward H.; Li, Xuebin; Li, Tianbo; Sprinkle, Michael; Hass, Joanna; Sadowski, Marcin L.; Potemski, Marek; Martinez, Gérard

    2007-07-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persist above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high-mobility epitaxial graphene. It appears that the effect is suppressed due to the absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low-dissipation high-speed nanoelectronics.

  13. Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications

    Science.gov (United States)

    Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.

    2017-02-01

    In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.

  14. Hydriding and structural characteristics of thermally cycled and cold-worked V-0.5 at.%C alloy

    International Nuclear Information System (INIS)

    Chandra, Dhanesh; Sharma, Archana; Chellappa, Raja; Cathey, William N.; Lynch, Franklin E.; Bowman, Robert C.; Wermer, Joseph R.; Paglieri, Stephen N.

    2008-01-01

    High pressure hydrides of V 0.995 C 0.005 were thermally cycled between β 2 - and γ-phases hydrides for potential use in cryocoolers/heat pumps for space applications. The effect of addition of carbon to vanadium, on the plateau enthalpies of the high pressure β 2 + γ region is minimal. This is in contrast to the calculated plateau enthalpies for low pressure (α + β 1 ) mixed phases which showed a noticeable lowering of the values. Thermal cycling between β 2 -and γ-phase hydrides increased the absorption pressures but desorption pressure did not change significantly and the free energy loss due to hysteresis also increased. Hydriding of the alloy with prior cold-work increased the pressure hysteresis significantly and lowered the hydrogen capacity. In contrast to the alloy without any prior straining (as-cast), desorption pressure of the alloy with prior cold-work also decreased significantly. Microstrains, 2 > 1/2 , in the β 2 -phase lattice of the thermally cycled hydrides decreased after 778 cycles and the domain sizes increased. However, in the γ-phase, both the microstrains and the domain sizes decreased after thermal cycling indicating no particle size effect. The dehydrogenated α-phase after 778 thermal cycles also showed residual microstrains in the lattice, similar to those observed in intermetallic hydrides. The effect of thermal cycling (up to 4000 cycles between β 2 - and γ-phases) and cold working on absorption/desorption pressures, hydrogen storage capacity, microstrains, long-range strains, and domain sizes of β 2 - and γ-phase hydrides of V 0.995 C 0.005 alloys are presented

  15. Powder production of U-Mo alloy, HMD process (Hydriding- Milling- Dehydriding)

    Energy Technology Data Exchange (ETDEWEB)

    Pasqualini, E. E.; Garcia, J.H.; Lopez, M.; Cabanillas, E.; Adelfang, P. [Dept. Combustibles Nucleares. Comision Nacional de Energia Atomica, Av. Gral. Paz 1499, 1650 Buenos Aires (Argentina)

    2002-07-01

    Uranium-molybdenum (U-Mo) alloys can be hydrided massively in metastable {gamma} (gamma) phase. The brittle hydride can be milled and dehydrided to acquire the desired size distributions needed for dispersion nuclear fuels. The developments of the different steps of this process called hydriding-milling- dehydriding (HMD Process) are described. Powder production scales for industrial fabrication is easily achieved with conventional equipment, small man-power and low investment. (author)

  16. Powder production of U-Mo alloy, HMD process (Hydriding- Milling- Dehydriding)

    International Nuclear Information System (INIS)

    Pasqualini, E. E.; Garcia, J.H.; Lopez, M.; Cabanillas, E.; Adelfang, P.

    2002-01-01

    Uranium-molybdenum (U-Mo) alloys can be hydrided massively in metastable γ (gamma) phase. The brittle hydride can be milled and dehydrided to acquire the desired size distributions needed for dispersion nuclear fuels. The developments of the different steps of this process called hydriding-milling- dehydriding (HMD Process) are described. Powder production scales for industrial fabrication is easily achieved with conventional equipment, small man-power and low investment. (author)

  17. Mechanochemical synthesis of nanostructured chemical hydrides in hydrogen alloying mills

    International Nuclear Information System (INIS)

    Wronski, Z.; Varin, R.A.; Chiu, C.; Czujko, T.; Calka, A.

    2007-01-01

    Mechanical alloying of magnesium metal powders with hydrogen in specialized hydrogen ball mills can be used as a direct route for mechanochemical synthesis of emerging chemical hydrides and hydride mixtures for advanced solid-state hydrogen storage. In the 2Mg-Fe system, we have successfully synthesized the ternary complex hydride Mg 2 FeH 6 in a mixture with nanometric Fe particles. The mixture of complex magnesium-iron hydride and nano-iron released 3-4 wt.%H 2 in a thermally programmed desorption experiment at the range 285-295 o C. Milling of the Mg-2Al powder mixture revealed a strong competition between formation of the Al(Mg) solid solution and the β-MgH 2 hydride. The former decomposes upon longer milling as the Mg atoms react with hydrogen to form the hydride phase, and drive the Al out of the solid solution. The mixture of magnesium dihydride and nano-aluminum released 2.1 wt.%H 2 in the temperature range 329-340 o C in the differential scanning calorimetry experiment. The formation of MgH 2 was suppressed in the Mg-B system; instead, a hydrogenated amorphous phase (Mg,B)H x , was formed in a mixture with nanometric MgB 2 . Annealing of the hydrogen-stabilized amorphous mixture produced crystalline MgB 2

  18. Biodegradation of vapor-phase toluene in unsaturated porous media: Column experiments

    International Nuclear Information System (INIS)

    Khan, Ali M.; Wick, Lukas Y.; Harms, Hauke; Thullner, Martin

    2016-01-01

    Biodegradation of organic chemicals in the vapor phase of soils and vertical flow filters has gained attention as promising approach to clean up volatile organic compounds (VOC). The drivers of VOC biodegradation in unsaturated systems however still remain poorly understood. Here, we analyzed the processes controlling aerobic VOC biodegradation in a laboratory setup mimicking the unsaturated zone above a shallow aquifer. The setup allowed for diffusive vapor-phase transport and biodegradation of three VOC: non-deuterated and deuterated toluene as two compounds of highly differing biodegradability but (nearly) identical physical and chemical properties, and MTBE as (at the applied experimental conditions) non-biodegradable tracer and internal control. Our results showed for toluene an effective microbial degradation within centimeter VOC transport distances despite high gas-phase diffusivity. Degradation rates were controlled by the reactivity of the compounds while oxic conditions were found everywhere in the system. This confirms hypotheses that vadose zone biodegradation rates can be extremely high and are able to prevent the outgassing of VOC to the atmosphere within a centimeter range if compound properties and site conditions allow for sufficiently high degradation rates. - Highlights: • The column setup allows resolving vapor-phase VOC concentration gradients at cm scale resolution. • Vapor-phase and liquid-phase concentrations are measured simultaneously. • Isotopically labelled VOC was used as reference species of low biodegradability. • Biodegradation rates in the unsaturated zone can be very high and act at a cm scale. • Unsaturated material can be an effective bio-barrier avoiding biodegradable VOC emissions. - Microbial degradation activity can be sufficient to remove VOC from unsaturated porous media after a few centimeter of vapor-phase diffusive transport and mayeffectively avoid atmospheric emissions.

  19. Thin film phase diagram of iron nitrides grown by molecular beam epitaxy

    Science.gov (United States)

    Gölden, D.; Hildebrandt, E.; Alff, L.

    2017-01-01

    A low-temperature thin film phase diagram of the iron nitride system is established for the case of thin films grown by molecular beam epitaxy and nitrided by a nitrogen radical source. A fine-tuning of the nitridation conditions allows for growth of α ‧ -Fe8Nx with increasing c / a -ratio and magnetic anisotropy with increasing x until almost phase pure α ‧ -Fe8N1 thin films are obtained. A further increase of nitrogen content below the phase decomposition temperature of α ‧ -Fe8N (180 °C) leads to a mixture of several phases that is also affected by the choice of substrate material and symmetry. At higher temperatures (350 °C), phase pure γ ‧ -Fe4N is the most stable phase.

  20. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  1. U-8 wt %Mo and 7 wt %Mo alloys powder obtained by an hydride-de hydride process; Obtencion de polvo de aleaciones U-8% Mo y U-7% Mo (en peso) mediante hidruracion

    Energy Technology Data Exchange (ETDEWEB)

    Balart, Silvia N; Bruzzoni, Pablo; Granovsky, Marta S; Gribaudo, Luis M.J.; Hermida, Jorge D; Ovejero, Jose; Rubiolo, Gerardo H; Vicente, Eduardo E [Comision Nacional de Energia Atomica, General San Martin (Argentina). Dept. de Materiales

    2000-07-01

    Uranium-molybdenum alloys are been tested as a component in high-density LEU dispersion fuels with very good performances. These alloys need to be transformed to powder due to the manufacturing requirements of the fuels. One method to convert ductile alloys into powder is the hydride-de hydride process, which takes advantage of the ability of the U-{alpha} phase to transform to UH{sub 3}: a brittle and relatively low-density compound. U-Mo alloys around 7 and 8 wt % Mo were melted and heat treated at different temperature ranges in order to partially convert {gamma} -phase to {alpha} -phase. Subsequent hydriding transforms this {alpha} -phase to UH{sub 3}. The volume change associated to the hydride formation embrittled the material which ends up in a powdered alloy. Results of the optical metallography, scanning electron microscopy, X-ray diffraction during different steps of the process are shown. (author)

  2. Direct Vapor-Phase Bromination of Multiwall Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Ilya Mazov

    2012-01-01

    Full Text Available We present the simple procedure of the vapor-phase bromination of multiwall carbon nanotubes (MWNTs at moderate temperatures. MWNTs with average diameter 9±3 nm were treated with Br2 vapors at 250°C to produce Br-functionalized product. Transmission electron microscopy analysis was used to prove low damage of MWNT walls during bromination. X-ray photoelectron spectroscopy (XPS and differential thermal analysis (DTA were used to investigate chemical composition of the surface of initial and brominated nanotubes. The experimental results show that the structure of MWNTs is not affected by the bromination process and the total amount of Br-containing surface functions reaches 2.5 wt. %. Electrophysical properties of initial and brominated MWNTs were investigated showing decrease of conductivity for functionalized sample. Possible mechanism of the vapor-phase bromination via surface defects and oxygen-containing functional groups was proposed according to data obtained. Additional experiments with bromination of annealed low-defected MWNTs were performed giving Br content a low as 0.75 wt. % proving this hypothesis.

  3. Broadband nanophotonic waveguides and resonators based on epitaxial GaN thin films

    Energy Technology Data Exchange (ETDEWEB)

    Bruch, Alexander W.; Xiong, Chi; Leung, Benjamin; Poot, Menno; Han, Jung; Tang, Hong X., E-mail: hong.tang@yale.edu [Department of Electrical Engineering, Yale University, New Haven, Connecticut 06511 (United States)

    2015-10-05

    We demonstrate broadband, low loss optical waveguiding in single crystalline GaN grown epitaxially on c-plane sapphire wafers through a buffered metal-organic chemical vapor phase deposition process. High Q optical microring resonators are realized in near infrared, infrared, and near visible regimes with intrinsic quality factors exceeding 50 000 at all the wavelengths we studied. TEM analysis of etched waveguide reveals growth and etch-induced defects. Reduction of these defects through improved material and device processing could lead to even lower optical losses and enable a wideband photonic platform based on GaN-on-sapphire material system.

  4. Internal friction study of hydrides in zirconium at low hydrogen contents

    International Nuclear Information System (INIS)

    Peretti, H.A.; Corso, H.L.; Gonzalez, O.A.; Fernandez, L.; Ghilarducci, A.A.; Salva, H.R.

    1999-01-01

    Full text: Internal friction and shear modulus measurements were carried out on crystal bar zirconium in the as received and hydride conditions using an inverted forced pendulum. Hydriding was achieved in two ways: inside and out of the pendulum. The final hydrogen content determined by fusion analysis in the 'in situ' hydride sample was of 36 ppm. Another sample was hydride by the cathodic charge method with 25 ppm. The thermal solid solubility (TSS) phase boundary presents hysteresis between the precipitation (TSSP) and the dissolution (TSSD) temperatures for the zirconium hydrides. During the first thermal cycling the anelastic effects could be attributed to the δ, ε and metastable γ zirconium hydrides. After 'in situ' annealing at 490 K, these peaks completely disappear in the electrolytically charged sample, while in the 'in situ' hydride, the peaks remain with decreasing intensity. This effect can be understood in terms of the different surface conditions of the samples. (author)

  5. Suppression of surface-originated gate lag by a dual-channel AlN/GaN high electron mobility transistor architecture

    Science.gov (United States)

    Deen, David A.; Storm, David F.; Scott Katzer, D.; Bass, R.; Meyer, David J.

    2016-08-01

    A dual-channel AlN/GaN high electron mobility transistor (HEMT) architecture is demonstrated that leverages ultra-thin epitaxial layers to suppress surface-related gate lag. Two high-density two-dimensional electron gas (2DEG) channels are utilized in an AlN/GaN/AlN/GaN heterostructure wherein the top 2DEG serves as a quasi-equipotential that screens potential fluctuations resulting from distributed surface and interface states. The bottom channel serves as the transistor's modulated channel. Dual-channel AlN/GaN heterostructures were grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. HEMTs fabricated with 300 nm long recessed gates demonstrated a gate lag ratio (GLR) of 0.88 with no degradation in drain current after bias stressed in subthreshold. These structures additionally achieved small signal metrics ft/fmax of 27/46 GHz. These performance results are contrasted with the non-recessed gate dual-channel HEMT with a GLR of 0.74 and 82 mA/mm current collapse with ft/fmax of 48/60 GHz.

  6. Experimental determination of the phase diagram of the system sodium-sodium hydride up to 9000C and hydrogen pressures up to 800 bar

    International Nuclear Information System (INIS)

    Klostermeier, W.

    1978-01-01

    In the present work part of the sodium-sodium hydride system phase diagram has been studied at high temperatures (up to 900 0 C) and high hydrogen pressures (up to 1000 bar). The absorption isothermal curves recorded at temperatures between 650 0 C and 900 0 C show an increase in hydride solubility in sodium from 5.5 mol% at 650 0 to 19 mol% at 900 0 C. The melting point of sodium hydride has been measured giving the value 632 0 C with a hydrogen equilibrium pressure of 106 bar. In the mixing gap region the plateau equilibrium pressure, which is independent of composition, and his temperature dependence have been obtained. The enthalpy and entropy of melting are determined. (GSCH) [de

  7. Optical investigation of atomic steps in ultra-thin InGaAs/InP quantum wells grown by vapor levitation epitaxy

    International Nuclear Information System (INIS)

    Morais, P.C.

    1988-09-01

    Ultra-thin InGaAs/InP single-quantum-well structures, grown by chloride transport vapor levitation epitaxy, have been investigated by low temperature photoluminescence (PL). Well resolved peaks are observed in the PL spectra which we attribute to monolayer (a/2=2.93 A) variations in quantum well (QW) thickness. Separate peak positions for QW thicknesses corresponding to 2-6 monolayers have been determined, providing an unambiguous thickness calibration for spectral shifts due to quantum confinement. The PL peak corresponding to two monolayers occurs at 1.314 eV corresponding to an energy shift of 524 meV. Experimental data agree very well with a simple effective-mass theory. (author) [pt

  8. Fabrication of GaN with buried tungsten (W) structures using epitaxial lateral overgrowth (ELO) via LP-MOVPE

    International Nuclear Information System (INIS)

    Miyake, Hideto; Yamaguchi, Motoo; Haino, Masahiro

    2000-01-01

    A buried tungsten (W) mask structure with GaN is successfully obtained by epitaxial lateral overgrowth (ELO) technique via low-pressure metalorganic vapor phase epitaxy (LP-MOVPE). The selectivity of GaN growth on the window region vs. the mask region is good. An underlying GaN with a striped W metal mask is easily decomposed above 500 C by the W catalytic effect, by which radical hydrogen is reacted with GaN. It is difficult to bury the W mask because severe damage occurs in the GaN epilayer under the mask. It is found that an underlying AlGaN/GaN layer with a narrow W stripe mask width (mask/window - 2/2 microm) leads the ELO GaN layer to be free from damage, resulting in an excellent W-buried structure

  9. Phase relationship, vaporization, and thermodynamic properties of the lanthanum--boron system

    International Nuclear Information System (INIS)

    Storms, E.; Mueller, B.

    1978-01-01

    The La-B system was studied between LaB/sub 4.24/ and LaB/sub 29.2/, and between 1400 and 2100 K to determine the phase relationship, the chemical activity of the components, the vaporization rate, and the vapor composition. A blue colored phase near LaB 9 was found to exist between purple colored LaB 6 and elemental boron. Diffusion is so much slower than vaporization that large composition differences can exist between the surface and the interior which, nevertheless, produce a steady state loss rate from freely vaporizing material. The flux at 1700 K is 6 x 10 -10 g/cm 2 s for LaB 4 +LaB 6 and 7 x 10 -11 g/cm 2 s for LaB 6 + LaB 9 . There is an activation energy which lowers the vaporization rate of boron from LaB 6 . Freely vaporizing material will have a steady state surface composition between LaB/sub 6.04/ and LaB/sub 6.07/, depending on temperature, purity, and interior composition. The free energy of formation of LaB 6 is (0.07lT - 351)kJ/mol between 1700 and 2100 K

  10. Surface morphology and structure of Ge layer on Si(111) after solid phase epitaxy

    Science.gov (United States)

    Yoshida, Ryoma; Tosaka, Aki; Shigeta, Yukichi

    2018-05-01

    The surface morphology change of a Ge layer on a Si(111) surface formed by solid phase epitaxy has been investigated with a scanning tunneling microscope (STM). The Ge film was deposited at room temperature and annealed at 400 °C or 600 °C. The STM images of the sample surface after annealing at 400 °C show a flat wetting layer (WL) with small three-dimensional islands on the WL. After annealing at 600 °C, the STM images show a surface roughening with large islands. From the relation between the average height of the roughness and the deposited layer thickness, it is confirmed that the diffusion of Ge atoms becomes very active at 600 °C. The Si crystal at the interface is reconstructed and the intermixing occurs over 600 °C. However, the intermixing is fairly restricted in the solid phase epitaxy growth at 400 °C. The surface morphology changes with the crystallization at 400 °C are discussed by the shape of the islands formed on the WL surface. It is shown that the diffusion of the Ge atoms in the amorphous phase is active even at 400 °C.

  11. Epitaxially grown polycrystalline silicon thin-film solar cells on solid-phase crystallised seed layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Wei, E-mail: weili.unsw@gmail.com; Varlamov, Sergey; Xue, Chaowei

    2014-09-30

    Highlights: • Crystallisation kinetic is used to analyse seed layer surface cleanliness. • Simplified RCA cleaning for the seed layer can shorten the epitaxy annealing duration. • RTA for the seed layer can improve the quality for both seed layer and epi-layer. • Epitaxial poly-Si solar cell performance is improved by RTA treated seed layer. - Abstract: This paper presents the fabrication of poly-Si thin film solar cells on glass substrates using seed layer approach. The solid-phase crystallised P-doped seed layer is not only used as the crystalline template for the epitaxial growth but also as the emitter for the solar cell structure. This paper investigates two important factors, surface cleaning and intragrain defects elimination for the seed layer, which can greatly influence the epitaxial grown solar cell performance. Shorter incubation and crystallisation time is observed using a simplified RCA cleaning than the other two wet chemical cleaning methods, indicating a cleaner seed layer surface is achieved. Cross sectional transmission microscope images confirm a crystallographic transferal of information from the simplified RCA cleaned seed layer into the epi-layer. RTA for the SPC seed layer can effectively eliminate the intragrain defects in the seed layer and improve structural quality of both of the seed layer and the epi-layer. Consequently, epitaxial grown poly-Si solar cell on the RTA treated seed layer shows better solar cell efficiency, V{sub oc} and J{sub sc} than the one on the seed layer without RTA treatment.

  12. High H⁻ ionic conductivity in barium hydride.

    Science.gov (United States)

    Verbraeken, Maarten C; Cheung, Chaksum; Suard, Emmanuelle; Irvine, John T S

    2015-01-01

    With hydrogen being seen as a key renewable energy vector, the search for materials exhibiting fast hydrogen transport becomes ever more important. Not only do hydrogen storage materials require high mobility of hydrogen in the solid state, but the efficiency of electrochemical devices is also largely determined by fast ionic transport. Although the heavy alkaline-earth hydrides are of limited interest for their hydrogen storage potential, owing to low gravimetric densities, their ionic nature may prove useful in new electrochemical applications, especially as an ionically conducting electrolyte material. Here we show that barium hydride shows fast pure ionic transport of hydride ions (H(-)) in the high-temperature, high-symmetry phase. Although some conductivity studies have been reported on related materials previously, the nature of the charge carriers has not been determined. BaH2 gives rise to hydride ion conductivity of 0.2 S cm(-1) at 630 °C. This is an order of magnitude larger than that of state-of-the-art proton-conducting perovskites or oxide ion conductors at this temperature. These results suggest that the alkaline-earth hydrides form an important new family of materials, with potential use in a number of applications, such as separation membranes, electrochemical reactors and so on.

  13. Predicting Hydride Donor Strength via Quantum Chemical Calculations of Hydride Transfer Activation Free Energy.

    Science.gov (United States)

    Alherz, Abdulaziz; Lim, Chern-Hooi; Hynes, James T; Musgrave, Charles B

    2018-01-25

    We propose a method to approximate the kinetic properties of hydride donor species by relating the nucleophilicity (N) of a hydride to the activation free energy ΔG ⧧ of its corresponding hydride transfer reaction. N is a kinetic parameter related to the hydride transfer rate constant that quantifies a nucleophilic hydridic species' tendency to donate. Our method estimates N using quantum chemical calculations to compute ΔG ⧧ for hydride transfers from hydride donors to CO 2 in solution. A linear correlation for each class of hydrides is then established between experimentally determined N values and the computationally predicted ΔG ⧧ ; this relationship can then be used to predict nucleophilicity for different hydride donors within each class. This approach is employed to determine N for four different classes of hydride donors: two organic (carbon-based and benzimidazole-based) and two inorganic (boron and silicon) hydride classes. We argue that silicon and boron hydrides are driven by the formation of the more stable Si-O or B-O bond. In contrast, the carbon-based hydrides considered herein are driven by the stability acquired upon rearomatization, a feature making these species of particular interest, because they both exhibit catalytic behavior and can be recycled.

  14. Characterization of crystallinity of Ge{sub 1−x}Sn{sub x} epitaxial layers grown using metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Inuzuka, Yuki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Ike, Shinichi; Asano, Takanori [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Japan Society for the Promotion of Science, Chiyoda-ku, Tokyo 102-8472 (Japan); Takeuchi, Wakana [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Nakatsuka, Osamu, E-mail: nakatuka@alice.xtal.nagoya-u.ac.jp [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); Zaima, Shigeaki [Department of Crystalline Materials Science, Graduate School of Engineering, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan); EcoTopia Science Institute, Nagoya University, Furo-cho, Chikusa-ku, Nagoya 464-8603 (Japan)

    2016-03-01

    The epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer was examined using metal-organic chemical vapor deposition (MOCVD) with two types of Ge precursors; tetra-ethyl-germane (TEGe) and tertiary-butyl-germane (TBGe); and the Sn precursor tri-butyl-vinyl-tin (TBVSn). Though the growth of a Ge{sub 1−x}Sn{sub x} layer on a Ge(001) substrate by MOCVD has been reported, a high-Sn-content Ge{sub 1−x}Sn{sub x} layer and the exploration of MO material combinations for Ge{sub 1−x}Sn{sub x} growth have not been reported. Therefore, the epitaxial growth of a Ge{sub 1−x}Sn{sub x} layer on Ge(001) and Si(001) substrates was examined using these precursors. The Ge{sub 1−x}Sn{sub x} layers were pseudomorphically grown on a Ge(001) substrate, while the Ge{sub 1−x}Sn{sub x} layer with a high degree of strain relaxation was obtained on a Si(001) substrate. Additionally, it was found that the two Ge precursors have different growth temperature ranges, where the TBGe could realize a higher growth rate at a lower growth temperature than the TEGe. The Ge{sub 1−x}Sn{sub x} layers grown using a combination of TBGe and TBVSn exhibited a higher crystalline quality and a smoother surface compared with the Ge{sub 1−x}Sn{sub x} layer prepared by low-temperature molecular beam epitaxy. In this study, a Ge{sub 1−x}Sn{sub x} epitaxial layer with a Sn content as high as 5.1% on a Ge(001) substrate was achieved by MOCVD at 300 °C. - Highlights: • Tertiary-butyl-germane and tri-butyl-vinyl-tin are suitable for Ge{sub 1−x}Sn{sub x} MOCVD growth. • We achieved a Sn content of 5.1% in Ge{sub 1−x}Sn{sub x} epitaxial layer on Ge(001). • The Ge{sub 1−x}Sn{sub x} layers grown on Ge and Si by MOCVD have high crystalline quality.

  15. Quantifying the stress fields due to a delta-hydride precipitate in alpha-Zr matrix

    Energy Technology Data Exchange (ETDEWEB)

    Tummala, Hareesh [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Capolungo, Laurent [Los Alamos National Lab. (LANL), Los Alamos, NM (United States); Tome, Carlos N. [Los Alamos National Lab. (LANL), Los Alamos, NM (United States)

    2017-10-19

    This report is a preliminary study on δ-hydride precipitate in zirconium alloy performed using 3D discrete dislocation dynamics simulations. The ability of dislocations in modifying the largely anisotropic stress fields developed by the hydride particle in a matrix phase is addressed for a specific dimension of the hydride. The influential role of probable dislocation nucleation at the hydride-matrix interface is reported. Dislocation nucleation around a hydride was found to decrease the shear stress (S13) and also increase the normal stresses inside the hydride. We derive conclusions on the formation of stacks of hydrides in zirconium alloys. The contribution of mechanical fields due to dislocations was found to have a non-negligible effect on such process.

  16. Epitaxial lateral overgrowth - a tool for dislocation blockade in multilayer system

    International Nuclear Information System (INIS)

    Zytkiewicz, Z.R.

    1998-01-01

    Results on epitaxial lateral overgrowth of GaAs layers are reported. The methods of controlling the growth anisotropy, the effect of substrate defects filtration in epitaxial lateral overgrowth procedure and influence of the mask on properties of epitaxial lateral overgrowth layers will be discussed. The case od GaAs epitaxial lateral overgrowth layers grown by liquid phase epitaxy on heavily dislocated GaAs substrates was chosen as an example to illustrate the processes discussed. The similarities between our results and those reported recently for GaN layers grown laterally by metalorganic vapour phase epitaxy will be underlined. (author)

  17. Atypical magnetic phase diagrams of Ce.sub.2./sub.Fe.sub.17-x./sub.Mn.sub.x./sub. alloys and their hydrides

    Czech Academy of Sciences Publication Activity Database

    Kuchin, A. G.; Prokhnenko, O.; Arnold, Zdeněk; Kamarád, Jiří; Ritter, C.; Isnard, O.; Ivasechko, V.; Drulis, H.; Teplykh, A. E.; Khrabrov, V. I.; Medvedeva, I. V.; Lapina, T.P.

    2007-01-01

    Roč. 71, č. 11 (2007), s. 1615-1616 ISSN 1062-8738 Institutional research plan: CEZ:AV0Z10100521 Keywords : magnetic phase diagram * hydrides * neutron diffraction * pressure effect Subject RIV: BM - Solid Matter Physics ; Magnetism

  18. Formation and reconstruction of Se nanoislands at the surface of thin epitaxial ZnSe layers grown on GaAs substrates

    Energy Technology Data Exchange (ETDEWEB)

    Kozlovskiy, V. I.; Krivobok, V. S., E-mail: krivobok@lebedev.ru [Russian Academy of Sciences, Lebedev Physical Institute (Russian Federation); Kuznetsov, P. I.; Nikolaev, S. N.; Onistchenko, E. E.; Pruchkina, A. A.; Temiryazev, A. G. [Russian Academy of Sciences, Kotel’nikov Institute of Radio-Engineering and Electronics (Russian Federation)

    2016-05-15

    Strained epitaxial ZnSe layers are grown on GaAs substrates by the method of vapor-phase epitaxy from metal-organic compounds. It is found that Se nanoislands with a density of 10{sup 8} to 10{sup 9} cm{sup –2} are formed at the surface of such layers. It is established that an increase in the size of Se islands and a decrease in their density take place after completion of growth. Annealing in a H{sub 2} atmosphere at a temperature higher than 260°C leads to the disappearance of Se islands and to a decrease in the surface roughness. It is shown that annealing does not lead to deterioration of the structural perfection of the epitaxial ZnSe films; rather, annealing gives rise to a decrease in the intensity of impurity–defect luminescence and to an increase in the intensity of intrinsic radiation near the bottom of the exciton band.

  19. Epitaxial growth of hybrid nanostructures

    Science.gov (United States)

    Tan, Chaoliang; Chen, Junze; Wu, Xue-Jun; Zhang, Hua

    2018-02-01

    Hybrid nanostructures are a class of materials that are typically composed of two or more different components, in which each component has at least one dimension on the nanoscale. The rational design and controlled synthesis of hybrid nanostructures are of great importance in enabling the fine tuning of their properties and functions. Epitaxial growth is a promising approach to the controlled synthesis of hybrid nanostructures with desired structures, crystal phases, exposed facets and/or interfaces. This Review provides a critical summary of the state of the art in the field of epitaxial growth of hybrid nanostructures. We discuss the historical development, architectures and compositions, epitaxy methods, characterization techniques and advantages of epitaxial hybrid nanostructures. Finally, we provide insight into future research directions in this area, which include the epitaxial growth of hybrid nanostructures from a wider range of materials, the study of the underlying mechanism and determining the role of epitaxial growth in influencing the properties and application performance of hybrid nanostructures.

  20. Epitaxial growth and electronic structure of oxyhydride SrVO2H thin films

    Science.gov (United States)

    Katayama, Tsukasa; Chikamatsu, Akira; Yamada, Keisuke; Shigematsu, Kei; Onozuka, Tomoya; Minohara, Makoto; Kumigashira, Hiroshi; Ikenaga, Eiji; Hasegawa, Tetsuya

    2016-08-01

    Oxyhydride SrVO2H epitaxial thin films were fabricated on SrTiO3 substrates via topotactic hydridation of oxide SrVO3 films using CaH2. Structural and composition analyses suggested that the SrVO2H film possessed one-dimensionally ordered V-H--V bonds along the out-of-plane direction. The synthesis temperature could be lowered by reducing the film thickness, and the SrVO2H film was reversible to SrVO3 by oxidation through annealing in air. Photoemission and X-ray absorption spectroscopy measurements revealed the V3+ valence state in the SrVO2H film, indicating that the hydrogen existed as hydride. Furthermore, the electronic density of states was highly suppressed at the Fermi energy, consistent with the prediction that tetragonal distortion induces metal to insulation transition.

  1. Position-controlled epitaxial III-V nanowires on silicon

    NARCIS (Netherlands)

    Roest, A.L.; Verheijen, M.A.; Wunnicke, O.; Serafin, S.N.; Wondergem, H.J.; Bakkers, E.P.A.M.

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction

  2. The effect of vadose zone heterogeneities on vapor phase migration and aquifer contamination by volatile organics

    Energy Technology Data Exchange (ETDEWEB)

    Seneviratne, A.; Findikakis, A.N. [Bechtel Corporation, San Francisco, CA (United States)

    1995-03-01

    Organic vapors migrating through the vadose zone and inter-phase transfer can contribute to the contamination of larger portions of aquifers than estimated by accounting only for dissolved phase transport through the saturated zone. Proper understanding of vapor phase migration pathways is important for the characterization of the extent of both vadose zone and the saturated zone contamination. The multiphase simulation code T2VOC is used to numerically investigate the effect of heterogeneties on the vapor phase migration of chlorobenzene at a hypothetical site where a vapor extraction system is used to remove contaminants. Different stratigraphies consisting of alternate layers of high and low permeability materials with soil properties representative of gravel, sandy silt and clays are evaluated. The effect of the extent and continuity of low permeability zones on vapor migration is evaluated. Numerical simulations are carried out for different soil properties and different boundary conditions. T2VOC simulations with zones of higher permeability were made to assess the role of how such zones in providing enhanced migration pathways for organic vapors. Similarly, the effect of the degree of saturation of the porous medium on vapor migration was for a range of saturation values. Increased saturation reduces the pore volume of the medium available for vapor diffusion. Stratigraphic units with higher aqueous saturation can retard the vapor phase migration significantly.

  3. The Oxidation Products of Aluminum Hydride and Boron Aluminum Hydride Clusters

    Science.gov (United States)

    2016-01-04

    AFRL-AFOSR-VA-TR-2016-0075 The Oxidation Products of Aluminum Hydride and Boron Aluminum Hydride Clusters KIT BOWEN JOHNS HOPKINS UNIV BALTIMORE MD...2. REPORT TYPE Final Performance 3. DATES COVERED (From - To) 30-09-2014 to 29-09-2015 4. TITLE AND SUBTITLE The Oxidation Products of Aluminum ...Hydride and Boron Aluminum Hydride Clusters 5a.  CONTRACT NUMBER 5b.  GRANT NUMBER FA9550-14-1-0324 5c.  PROGRAM ELEMENT NUMBER 61102F 6. AUTHOR(S) KIT

  4. U-Mo Alloy Powder Obtained Through Selective Hydriding. Particle Size Control

    International Nuclear Information System (INIS)

    Balart, S.N.; Bruzzoni, P.; Granovsky, M.S.

    2002-01-01

    Hydride-dehydride methods to obtain U-Mo alloy powder for high-density fuel elements have been successfully tested by different authors. One of these methods is the selective hydriding of the α phase (HSα). In the HSα method, a key step is the partial decomposition of the γ phase (retained by quenching) to α phase and an enriched γ phase or U 2 Mo. This transformation starts mainly at grain boundaries. Subsequent hydrogenation of this material leads to selective hydriding of the α phase, embrittlement and intergranular fracture. According to this picture, the particle size of the final product should be related to the γ grain size of the starting alloy. The feasibility of controlling the particle size of the product by changing the γ grain size of the starting alloy is currently investigated. In this work an U-7 wt% Mo alloy was subjected to various heat treatments in order to obtain different grain sizes. The results on the powder particle size distribution after applying the HSα method to these samples show that there is a strong correlation between the original γ grain size and the particle size distribution of the powder. (author)

  5. Strain-free GaN thick films grown on single crystalline ZnO buffer layer with in situ lift-off technique

    International Nuclear Information System (INIS)

    Lee, S. W.; Minegishi, T.; Lee, W. H.; Goto, H.; Lee, H. J.; Lee, S. H.; Lee, Hyo-Jong; Ha, J. S.; Goto, T.; Hanada, T.; Cho, M. W.; Yao, T.

    2007-01-01

    Strain-free freestanding GaN layers were prepared by in situ lift-off process using a ZnO buffer as a sacrificing layer. Thin Zn-polar ZnO layers were deposited on c-plane sapphire substrates, which was followed by the growth of Ga-polar GaN layers both by molecular beam epitaxy (MBE). The MBE-grown GaN layer acted as a protecting layer against decomposition of the ZnO layer and as a seeding layer for GaN growth. The ZnO layer was completely in situ etched off during growth of thick GaN layers at low temperature by hydride vapor phase epitaxy. Hence freestanding GaN layers were obtained for the consecutive growth of high-temperature GaN thick layers. The lattice constants of freestanding GaN agree with those of strain-free GaN bulk. Extensive microphotoluminescence study indicates that strain-free states extend throughout the high-temperature grown GaN layers

  6. Electrocatalytic reduction of oxygen at vapor phase polymerized ...

    African Journals Online (AJOL)

    We successfully polymerized poly(3,4-ethylenedioxidethiophene) by vapor phase polymerization technique on rotating glassy carbon disk electrode. The catalytic activity of this electrode towards oxygen reduction reaction was investigated and showed remarkable activity. Rotating disk voltammetry was used to study the ...

  7. The nuclear liquid-vapor phase transition: Equilibrium between phases or free decay in vacuum?

    International Nuclear Information System (INIS)

    Phair, L.; Moretto, L.G.; Elliott, J.B.; Wozniak, G.J.

    2002-01-01

    Recent analyses of multifragmentation in terms of Fisher's model and the related construction of a phase diagram brings forth the problem of the true existence of the vapor phase and the meaning of its associated pressure. Our analysis shows that a thermal emission picture is equivalent to a Fisher-like equilibrium description which avoids the problem of the vapor and explains the recently observed Boltzmann-like distribution of the emission times. In this picture a simple Fermi gas thermometric relation is naturally justified. Low energy compound nucleus emission of intermediate mass fragments is shown to scale according to Fisher's formula and can be simultaneously fit with the much higher energy ISiS multifragmentation data

  8. Crystal structure of 200 K-superconducting phase in sulfur hydride system

    Energy Technology Data Exchange (ETDEWEB)

    Einaga, Mari; Sakata, Masafumi; Ishikawa, Takahiro; Shimizu, Katsuya [KYOKUGEN, Graduate School of Engineering Science, Osaka Univ. (Japan); Eremets, Mikhail; Drozdov, Alexander; Troyan, Ivan [Max Planck Institut fuer Chemie, Mainz (Germany); Hirao, Naohisa; Ohishi, Yasuo [JASRI/SPring-8, Hyogo (Japan)

    2016-07-01

    Superconductivity with the critical temperature T{sub c} above 200 K has been recently discovered by compression of H{sub 2}S (or D{sub 2}S) under extreme pressure. It was proposed that these materials decompose under high pressure to elemental sulfur and hydride with higher content of hydrogen which is responsible for the high temperature superconductivity. In this study, we have investigated that the crystal structure of the superconducting compressed H{sub 2}S and D{sub 2}S by synchrotron x-ray diffraction measurements combined with electrical resistance measurements at room and low temperatures. We found that the superconducting phase is in good agreement with theoretically predicted body-centered cubic structure, and coexists with elemental sulfur, which claims that the formation of 3H{sub 2}S → 2H{sub 3}S + S is occured under high pressure.

  9. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke [Toyota Central R and D Labs., Inc., Nagakute, Aichi 480-1192 (Japan)

    2015-02-23

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs.

  10. Sintered tantalum carbide coatings on graphite substrates: Highly reliable protective coatings for bulk and epitaxial growth

    International Nuclear Information System (INIS)

    Nakamura, Daisuke; Suzumura, Akitoshi; Shigetoh, Keisuke

    2015-01-01

    Highly reliable low-cost protective coatings have been sought after for use in crucibles and susceptors for bulk and epitaxial film growth processes involving wide bandgap materials. Here, we propose a production technique for ultra-thick (50–200 μmt) tantalum carbide (TaC) protective coatings on graphite substrates, which consists of TaC slurry application and subsequent sintering processes, i.e., a wet ceramic process. Structural analysis of the sintered TaC layers indicated that they have a dense granular structure containing coarse grain with sizes of 10–50 μm. Furthermore, no cracks or pinholes penetrated through the layers, i.e., the TaC layers are highly reliable protective coatings. The analysis also indicated that no plastic deformation occurred during the production process, and the non-textured crystalline orientation of the TaC layers is the origin of their high reliability and durability. The TaC-coated graphite crucibles were tested in an aluminum nitride (AlN) sublimation growth process, which involves extremely corrosive conditions, and demonstrated their practical reliability and durability in the AlN growth process as a TaC-coated graphite. The application of the TaC-coated graphite materials to crucibles and susceptors for use in bulk AlN single crystal growth, bulk silicon carbide (SiC) single crystal growth, chemical vapor deposition of epitaxial SiC films, and metal-organic vapor phase epitaxy of group-III nitrides will lead to further improvements in crystal quality and reduced processing costs

  11. The status and immediate problems of the chemistry of transition metal hydrides

    International Nuclear Information System (INIS)

    Meikheeva, V.I.

    1978-01-01

    The state of the art and perspectives of the chemistry transition metal hydrides are reviewed, the hydrides being essentially compounds with interstitial hydrogen in the crystal lattice of the metals. The possibilities of hydrogenation of transition metals are considered along with that of compounds of rare earth elements with metals of the iron family. It is shown that the products of hydrogenation of many alloys are unstable and disintegrate forming simpler hydrides. The phase diagram of La-Ni-H system resembles the isotherm of a ternary metal system with the difference that no continuous series of solid solutions is formed. Most hydrogenation products across LaHsub(2-3)-NiH are X-ray amorphous. The nature of hydrogen in hydrides is discussed along with the possibilities of synthesis of new hydrides of transition metals

  12. Stabilisation of late transition metal and noble metal films in hexagonal and body centred tetragonal phases by epitaxial growth

    Energy Technology Data Exchange (ETDEWEB)

    Hueger, E.

    2005-08-26

    In this work ultrathin metallic films with a crystal phase different to their natural bulk structure were produced by hetero-epitaxial growth on metallic substrates. A further aim of this work was to understand the initiation, growth and stability of crystal phase modifications of these films. there exist cases where the films turn beyond the pseudomorphic-growth to a crystal phase different from their natural bulk structure. The present work presents and discusses such a case in addition to the general phenomenon of pseudomorphic-growth. In particular it is shown that metals whose natural phase is face centred cubic (fcc) can be grown in body centred tetragonal (bct) or hexagonal close packed (hcp) phases in the form of thin films on (001) surfaces of appropriate substrates. The growth behavior, electron diffraction analysis, appearance conditions, geometric fit considerations, examples and a discussion of the phase stability of non-covered films and superlattices is given reviewing all epitaxial-systems whose diffraction pattern can be explained by the hexagonal or pseudomorphic bct phase. (orig.)

  13. Epitaxial rare-earth superlattices and films

    International Nuclear Information System (INIS)

    Salamon, M.B.; Beach, R.S.; Flynn, C.P.; Matheny, A.; Tsui, F.; Rhyne, J.J.

    1992-01-01

    This paper reports on epitaxial growth of rare-earth superlattices which is demonstrated to have opened important new areas of research on magnetic materials. The propagation magnetic order through non-magnetic elements, including its range and anisotropy, has been studied. The importance of magnetostriction in determining the phase diagram is demonstrated by the changes induced by epitaxial clamping. The cyrstallinity of epitaxial superlattices provides the opportunity to study interfacial magnetism by conventional x-ray and neutron scattering methods

  14. High-pressure hydriding of Zircaloy

    International Nuclear Information System (INIS)

    Kim, Y.S.

    1996-01-01

    The hydriding characteristics of Zircaloy-2(Zry), sponge zirconium (as a liner on Zry plate), and crystal-bar zirconium exposed to pure H 2 at 0.1 MPa or 7 MPa and 400 C were determined in a thermogravimetric apparatus. The morphology of the hydrided specimens was also examined by optical microscopy. For all specimen types, the rate of hydriding in 7 MPa H 2 was two orders of magnitude greater than in 0.1 MPa H 2 . For Zry, uniform bulk hydriding was revealed by hydride precipitates at room temperature and on one occasion, a sunburst hydride. In addition, all specimen types exhibited a hydride surface layer. In a duplex Zry/sponge-Zr specimen, Zry is more heavily hydrided than the sponge Zr layer. (orig.)

  15. Electron Backscatter Diffraction Studies on the Formation of Superlattice Metal Hydride Alloys

    Directory of Open Access Journals (Sweden)

    Shuli Yan

    2017-12-01

    Full Text Available Microstructures of a series of La-Mg-Ni-based superlattice metal hydride alloys produced by a novel method of interaction of a LaNi5 alloy and Mg vapor were studied using a combination of X-ray energy dispersive spectroscopy and electron backscatter diffraction. The conversion rate of LaNi5 increased from 86.8% into 98.2%, and the A2B7 phase abundance increased from 42.5 to 45.8 wt % and reduced to 39.2 wt % with the increase in process time from four to 32 h. During the first stage of reaction, Mg formed discrete grains with the same orientation, which was closely related to the orientation of the host LaNi5 alloy. Mg then diffused through the ab-phase of LaNi5 and formed the AB2, AB3, and A2B7 phases. Diffusion of Mg stalled at the grain boundary of the host LaNi5 alloy. Good alignments in the c-axis between the newly formed superlattice phases and LaNi5 were observed. The density of high-angle grain boundary decreased with the increase in process time and was an indication of lattice cracking.

  16. Epitaxial growth of SrTiO3/YBa2Cu3O7 - x heterostructures by plasma-enhanced metalorganic chemical vapor deposition

    Science.gov (United States)

    Liang, S.; Chern, C. S.; Shi, Z. Q.; Lu, P.; Safari, A.; Lu, Y.; Kear, B. H.; Hou, S. Y.

    1994-06-01

    We report heteroepitaxial growth of SrTiO3 on YBa2Cu3O7-x/LaAlO3 substrates by plasma-enhanced metalorganic chemical vapor deposition. X-ray diffraction results indicated that SrTiO3 films were epitaxially grown on a (001) YBa2Cu3O7-x surface with [100] orientation perpendicular to the surface. The film composition, with Sr/Ti molar ratio in the range of 0.9 to 1.1, was determined by Rutherford backscattering spectrometry and energy dispersive spectroscopy. The thickness of the SrTiO3 films is 0.1-0.2 μm. The epitaxial growth was further evidenced by high-resolution transmission electron microscopy and selected area diffraction. Atomically abrupt SrTiO3/YBa2Cu3O7-x interface and epitaxial growth with [100]SrTiO3∥[001]YBa2Cu3O7-x were observed in this study. The superconducting transition temperature of the bottom YBa2Cu3O7-x layer, as measured by ac susceptometer, did not significantly degrade after the growth of overlayer SrTiO3. The capacitance-voltage measurements showed that the dielectric constant of the SrTiO3 films was as high as 315 at a signal frequency of 100 KHz. The leakage current density through the SrTiO3 films is about 1×10-6 A/cm2 at 2-V operation. Data analysis on the current-voltage characteristic indicated that the conduction process is related to bulk-limited Poole-Frenkel emission.

  17. Liquid phase epitaxy of abrupt junctions in InAs and studies of injection radiative tunneling processes

    International Nuclear Information System (INIS)

    Bull, D.J.

    1977-01-01

    The p-n junction in a InAs crystal, by liquid phase epitaxy is obtained. The processes of injection and tunneling radiative recombination by emitted radiation from active region of p-n junction for low injection current are studied. (M.C.K.) [pt

  18. Synthesis of Si epitaxial layers from technical silicon by liquid-phase epitaxy method

    International Nuclear Information System (INIS)

    Ibragimov, Sh.I.; Saidov, A.S.; Sapaev, B.; Horvat, M.A.

    2004-01-01

    Full text: For today silicon is one of the most suitable materials because it is investigated, cheap and several its parameters are even just as good as those of connections A III B V . Disintegration of the USSR has led to the must difficult position of the industry of silicon instrument manufacture because of all industry of semiconductor silicon manufacture had generally concentrated in Ukraine. The importance of semiconductor silicon is rather great, because of, in opinion of expects, the nearest decade this material will dominate over not only on microelectronics but also in the majority of basic researches. Research of obtain of semiconductor silicon, power electronics and solar conversion, is topical interest of the science. In the work research of technological conditions of obtain and measurement of parameters of epitaxial layers obtained from technical silicon + stannum is resulted. Growth of silicon epitaxial layer with suitable parameters on thickness, cleanliness uniformity and structural perfection depends on the correct choice of condition of the growth and temperature. It is shown that in this case the growth occurring without preliminary clearing of materials (mix materials and substrates) at crystallization of epitaxial layer from technical silicon is accompanied by clearing of silicon film from majority of impurities order-of-magnitude. As starting raw material technical silicon of mark Kr.3 has been taken. By means of X-ray microanalyzer 'Jeol' JSM 5910 LV - Japan the quantitative analysis from the different points has been and from the different sides and from different points has been carried out. After corresponding chemical and mechanical processing the quantitative analysis of layer on chip has been carried out. Results of the quantitative analysis are shown. More effective clearing occurs that of the impurity atoms such as Al, P, Ca, Ti and Fe. The obtained material (epitaxial layer) has the parameters: specific resistance ρ∼0.1-4.0

  19. Vapor-phase biofiltration: Laboratory and field experience

    International Nuclear Information System (INIS)

    Evans, P.J.; Bourbonais, K.A.; Peterson, L.E.; Lee, J.H.; Laakso, G.L.

    1995-01-01

    Application of vapor-phase bioreactors (VPBs) to petroleum hydrocarbons is complicated by the different mass transfer characteristics of aliphatics and aromatics. Laboratory- and pilot-scale VPB studies were conducted to evaluate treatment of soil vapor extraction (SVE) off-gas. A mixture of compost, perlite, and activated carbon was the selected medium based on pressure drop, microbial colonization, and adsorption properties. Two different pilot-scale reactors were built with a difference of 70:1 in scale. The smaller VPB's maximum effective elimination capacity (EC) was determined to be 7.2 g m -3 h -1 ; the larger unit's EC was 70% to 80% of this value. Low EC values may be attributable to a combination of mass-transfer and kinetic limitations

  20. Synthesis, properties, and assimilation methods of aluminium hydride

    International Nuclear Information System (INIS)

    Mirsaidov, U.M.

    2013-01-01

    We have discovered a new source of aluminium hydride-conversion of tetrahydrofurane under influence of halogenous alkyls. We have proposed the chlorbenzene method of synthesis of AlH 3 , which excludes adhesion and ensure high quality of the product with respect to its purity, thermal stability, habits of crystals (round shape), and granulometric composition. We determined capability of benzyl chloride to fix AlH 4 -groups by the way of complexes formation. This allows increasing efficient concentration of AlH 3 solutions and their productivity. We have carried out 'direct' crystallization of aluminium hydride in one stage using interaction of binary metal hydride with aluminium chloride in the medium of ether-toluene at 60-100 d ig C a nd using solvent distillation. In the reaction of Li H with AlCl 3 , we achieved output of pure crystal AlH 3 of hexagonal modification, which was close to quantitative. We have discovered the assimilation methods of aluminium hydride in carrying out of solid-phase chemical reactions. (author)

  1. Phase-field model of vapor-liquid-solid nanowire growth

    Science.gov (United States)

    Wang, Nan; Upmanyu, Moneesh; Karma, Alain

    2018-03-01

    We present a multiphase-field model to describe quantitatively nanowire growth by the vapor-liquid-solid (VLS) process. The free-energy functional of this model depends on three nonconserved order parameters that distinguish the vapor, liquid, and solid phases and describe the energetic properties of various interfaces, including arbitrary forms of anisotropic γ plots for the solid-vapor and solid-liquid interfaces. The evolution equations for those order parameters describe basic kinetic processes including the rapid (quasi-instantaneous) equilibration of the liquid catalyst to a droplet shape with constant mean curvature, the slow incorporation of growth atoms at the droplet surface, and crystallization within the droplet. The standard constraint that the sum of the phase fields equals unity and the conservation of the number of catalyst atoms, which relates the catalyst volume to the concentration of growth atoms inside the droplet, are handled via separate Lagrange multipliers. An analysis of the model is presented that rigorously maps the phase-field equations to a desired set of sharp-interface equations for the evolution of the phase boundaries under the constraint of force balance at three-phase junctions (triple points) given by the Young-Herring relation that includes torque term related to the anisotropy of the solid-liquid and solid-vapor interface excess free energies. Numerical examples of growth in two dimensions are presented for the simplest case of vanishing crystalline anisotropy and the more realistic case of a solid-liquid γ plot with cusped minima corresponding to two sets of (10 ) and (11 ) facets. The simulations reproduce many of the salient features of nanowire growth observed experimentally, including growth normal to the substrate with tapering of the side walls, transitions between different growth orientations, and crawling growth along the substrate. They also reproduce different observed relationships between the nanowire growth

  2. Growth of β-FeSi2 layers on Si (111) by solid phase and reactive deposition epitaxies

    International Nuclear Information System (INIS)

    Miquita, D.R.; Paniago, R.; Rodrigues, W.N.; Moreira, M.V.B.; Pfannes, H.-D.; Oliveira, A.G. de

    2005-01-01

    Iron silicides were grown on Si (111) substrates by Solid Phase Epitaxy (SPE) and Reactive Deposition Epitaxy (RDE) to identify the optimum conditions to obtain the semiconducting β-FeSi 2 phase. The films were produced under different growth and annealing conditions and analyzed in situ and ex situ by X-ray Photoelectron Spectroscopy, and ex situ by Conversion Electron Moessbauer Spectroscopy. The use of these techniques allowed the investigation of different depth regions of the grown layer. Films of the ε-FeSi and β-FeSi 2 phases were obtained as well as the mixtures Fe 3 Si + ε-FeSi and ε-FeSi + β-FeSi 2 . The sequence Fe 3 Si→ε-FeSi→β-FeSi 2 was found upon annealing, where the phase transformation occurred due to the migration of silicon atoms from the substrate to the surface region of the grown layer. The best conditions for the phase transformation in SPE samples were met after annealing in the range 700 - 800 deg. C. For the RDE samples, the transition to the beta phase occurred between 600 and 700 deg. C, but pure β-FeSi 2 was obtained only after two hours of annealing at 700 deg. C

  3. Delayed hydride cracking in Zr-2.5Nb pressure tubes

    International Nuclear Information System (INIS)

    Mieza, Juan I.; Domizzi, Gladys; Vigna, Gustavo L.

    2007-01-01

    Zr-2.5 Nb alloy from CANDU pressure tubes are prone to failure by hydrogen intake. One of the degradation mechanisms is delayed hydride cracking, which is characterized by the velocity of cracking. In this work, we study the effect of beta zirconium phase transformation over delayed hydride cracking velocity in Zr-2.5 Nb alloy from pressure tubes. Acoustic emission technique was used for cracking detection. (author) [es

  4. Rare-earth-ion doped KY(WO4)2 optical waveguides grown by liquid-phase epitaxy

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Apostolopoulos, V.; Utke, U.; Pollnau, Markus

    High-quality KY(WO4)2 thin layers doped with rare-earth-ions were grown using liquid-phase epitaxy. A low-temperature mixture of chlorides was used as the flux and undoped KY(WO4)2 crystals as substrates. The crystalline layers possessed thicknesses up to 10 µm. Passive and active planar waveguiding

  5. Growth and characterization of Hg 1– Cd Te epitaxial films by ...

    Indian Academy of Sciences (India)

    Growth of Hg1–CdTe epitaxial films by a new technique called asymmetric vapour phase epitaxy (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication have ...

  6. Hybrid vapor phase-solution phase growth techniques for improved CZT(S,Se) photovoltaic device performance

    Energy Technology Data Exchange (ETDEWEB)

    Chang, Liang-Yi; Gershon, Talia S.; Haight, Richard A.; Lee, Yun Seog

    2016-12-27

    A hybrid vapor phase-solution phase CZT(S,Se) growth technique is provided. In one aspect, a method of forming a kesterite absorber material on a substrate includes the steps of: depositing a layer of a first kesterite material on the substrate using a vapor phase deposition process, wherein the first kesterite material includes Cu, Zn, Sn, and at least one of S and Se; annealing the first kesterite material to crystallize the first kesterite material; and depositing a layer of a second kesterite material on a side of the first kesterite material opposite the substrate using a solution phase deposition process, wherein the second kesterite material includes Cu, Zn, Sn, and at least one of S and Se, wherein the first kesterite material and the second kesterite material form a multi-layer stack of the absorber material on the substrate. A photovoltaic device and method of formation thereof are also provided.

  7. Influence of soil properties on vapor-phase sorption of trichloroethylene

    International Nuclear Information System (INIS)

    Bekele, Dawit N.; Naidu, Ravi; Chadalavada, Sreenivasulu

    2016-01-01

    Highlights: • Vapor intrusion is a major exposure pathway for volatile hydrocarbons. • Certainty in transport processes enhances vapor intrusion model precision. • Detailed understanding of vadose zone vapor transport processes save resources. • Vapor sorption near-steady-state conditions at sites may take months or years. • Type of clay fractions equitably affects sorption of trichloroethylene vapor. - Abstract: Current practices in health risk assessment from vapor intrusion (VI) using mathematical models are based on assumptions that the subsurface sorption equilibrium is attained. The time required for sorption to reach near-steady-state conditions at sites may take months or years to achieve. This study investigated the vapor phase attenuation of trichloroethylene (TCE) in five soils varying widely in clay and organic matter content using repacked columns. The primary indicators of TCE sorption were vapor retardation rate (R_t), the time required for the TCE vapor to pass through the soil column, and specific volume of retention (V_R), and total volume of TCE retained in soil. Results show TCE vapor retardation is mainly due to the rapid partitioning of the compound to SOM. However, the specific volume of retention of clayey soils with secondary mineral particles was higher. Linear regression analyses of the SOM and clay fraction with V_R show that a unit increase in clay fraction results in higher sorption of TCE (V_R) than the SOM. However, partitioning of TCE vapor was not consistent with the samples' surface areas but was mainly a function of the type of secondary minerals present in soils.

  8. Influence of soil properties on vapor-phase sorption of trichloroethylene

    Energy Technology Data Exchange (ETDEWEB)

    Bekele, Dawit N. [Global Center for Environmental Remediation, University of Newcastle, Callaghan, NSW 2308 (Australia); CRC for Contamination Assessment & Remediation of the Environment, Building X (Environmental Sciences Building), University of South Australia, Mawson Lakes, SA 5095 (Australia); Naidu, Ravi, E-mail: Ravi.Naidu@newcastle.edu.au [Global Center for Environmental Remediation, University of Newcastle, Callaghan, NSW 2308 (Australia); CRC for Contamination Assessment & Remediation of the Environment, Building X (Environmental Sciences Building), University of South Australia, Mawson Lakes, SA 5095 (Australia); Chadalavada, Sreenivasulu [Global Center for Environmental Remediation, University of Newcastle, Callaghan, NSW 2308 (Australia); CRC for Contamination Assessment & Remediation of the Environment, Building X (Environmental Sciences Building), University of South Australia, Mawson Lakes, SA 5095 (Australia)

    2016-04-05

    Highlights: • Vapor intrusion is a major exposure pathway for volatile hydrocarbons. • Certainty in transport processes enhances vapor intrusion model precision. • Detailed understanding of vadose zone vapor transport processes save resources. • Vapor sorption near-steady-state conditions at sites may take months or years. • Type of clay fractions equitably affects sorption of trichloroethylene vapor. - Abstract: Current practices in health risk assessment from vapor intrusion (VI) using mathematical models are based on assumptions that the subsurface sorption equilibrium is attained. The time required for sorption to reach near-steady-state conditions at sites may take months or years to achieve. This study investigated the vapor phase attenuation of trichloroethylene (TCE) in five soils varying widely in clay and organic matter content using repacked columns. The primary indicators of TCE sorption were vapor retardation rate (R{sub t}), the time required for the TCE vapor to pass through the soil column, and specific volume of retention (V{sub R}), and total volume of TCE retained in soil. Results show TCE vapor retardation is mainly due to the rapid partitioning of the compound to SOM. However, the specific volume of retention of clayey soils with secondary mineral particles was higher. Linear regression analyses of the SOM and clay fraction with V{sub R} show that a unit increase in clay fraction results in higher sorption of TCE (V{sub R}) than the SOM. However, partitioning of TCE vapor was not consistent with the samples' surface areas but was mainly a function of the type of secondary minerals present in soils.

  9. MEMS Lubrication by In-Situ Tribochemical Reactions From the Vapor Phase.

    Energy Technology Data Exchange (ETDEWEB)

    Dugger, Michael Thomas; Asay, David B.; Kim, Seong H.

    2008-01-01

    Vapor Phase Lubrication (VPL) of silicon surfaces with pentanol has been demonstrated. Two potential show stoppers with respect to application of this approach to real MEMS devices have been investigated. Water vapor was found to reduce the effectiveness of VPL with alcohol for a given alcohol concentration, but the basic reaction mechanism observed in water-free environments is still active, and devices operated much longer in mixed alcohol and water vapor environments than with chemisorbed monolayer lubricants alone. Complex MEMS gear trains were successfully lubricated with alcohol vapors, resulting in a factor of 104 improvement in operating life without failure. Complex devices could be made to fail if operated at much higher frequencies than previously used, and there is some evidence that the observed failure is due to accumulation of reaction products at deeply buried interfaces. However, if hypothetical reaction mechanisms involving heated surfaces are valid, then the failures observed at high frequency may not be relevant to operation at normal frequencies. Therefore, this work demonstrates that VPL is a viable approach for complex MEMS devices in conventional packages. Further study of the VPL reaction mechanisms are recommended so that the vapor composition may be optimized for low friction and for different substrate materials with potential application to conventionally fabricated, metal alloy parts in weapons systems. Reaction kinetics should be studied to define effective lubrication regimes as a function of the partial pressure of the vapor phase constituent, interfacial shear rate, substrate composition, and temperature.

  10. δ-hydride habit plane determination in α-zirconium by strain energy minimization technique at 25 and 300 deg C

    International Nuclear Information System (INIS)

    Singh, R.N.; Stahle, P.; Sairam, K.; Ristmana, Matti; Banerjee, S.

    2008-01-01

    The objective of the present investigation is to predict the habit plane of δ-hydride precipitating in α-Zr at 25 and 300 deg C using strain energy minimization technique. The δ-hydride phase is modeled to undergo isotropic elastic and plastic deformation. The α-Zr phase was modeled to undergo transverse isotropic elastic deformation. Both isotropic plastic and transverse isotropic plastic deformations of α-Zr were considered. Further, both perfect and linear work-hardening plastic behaviors of zirconium and its hydride were considered. Accommodation strain energy of δ-hydrides forming in α-Zr crystal was computed using initial strain method as a function of hydride nuclei orientation. Hydride was modeled as disk with circular edge. The simulation was carried out using materials properties reported at 25 and 300 deg C. Contrary to several habit planes reported in literature for δ-hydrides precipitating in α-Zr crystal the total accommodation energy minima suggests only basal plane i.e. (0001) as the habit plane. (author)

  11. Vaporization study on vanadium monoxide and two-phase mixture of vanadium and vanadium monoxide by mass-spectrometric method

    International Nuclear Information System (INIS)

    Banchorndhevakul, W.; Matsui, Tsuneo; Naito, Keiji

    1986-01-01

    The vapor pressures over single phase vanadium monoxide VO 1.022 (s) and the two-phase mixture of vanadium metal (β phase) and vanadium monoxide were measured by mass-spectrometric method in the temperature range of 1,803 ∼ 1,990 and 1,703 ∼ 1,884 K, respectively. The main gas species over both systems were found to be VO(g) and V(g). The vapor pressure of VO(g) over the two-phase mixture of V(s) and VO(s) was a little lower than that over single phase VO(s). The vapor pressure of V(g) over the two-phase mixture was nearly equal to that over single phase. From the vapor pressure data, the enthalpies of vaporization, the enthalpies of formation for VO(g) and V(g) and the dissociation energy of VO(g) were determined. The oxygen partial pressure was calculated as a function of temperature from the vapor pressures of VO(g) and V(g), from which the partial molar enthalpies and entropies of oxygen in both systems were obtained. (author)

  12. Suppression of surface-originated gate lag by a dual-channel AlN/GaN high electron mobility transistor architecture

    International Nuclear Information System (INIS)

    Deen, David A.; Storm, David F.; Scott Katzer, D.; Bass, R.; Meyer, David J.

    2016-01-01

    A dual-channel AlN/GaN high electron mobility transistor (HEMT) architecture is demonstrated that leverages ultra-thin epitaxial layers to suppress surface-related gate lag. Two high-density two-dimensional electron gas (2DEG) channels are utilized in an AlN/GaN/AlN/GaN heterostructure wherein the top 2DEG serves as a quasi-equipotential that screens potential fluctuations resulting from distributed surface and interface states. The bottom channel serves as the transistor's modulated channel. Dual-channel AlN/GaN heterostructures were grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. HEMTs fabricated with 300 nm long recessed gates demonstrated a gate lag ratio (GLR) of 0.88 with no degradation in drain current after bias stressed in subthreshold. These structures additionally achieved small signal metrics f_t/f_m_a_x of 27/46 GHz. These performance results are contrasted with the non-recessed gate dual-channel HEMT with a GLR of 0.74 and 82 mA/mm current collapse with f_t/f_m_a_x of 48/60 GHz.

  13. Suppression of surface-originated gate lag by a dual-channel AlN/GaN high electron mobility transistor architecture

    Energy Technology Data Exchange (ETDEWEB)

    Deen, David A., E-mail: david.deen@alumni.nd.edu; Storm, David F.; Scott Katzer, D.; Bass, R.; Meyer, David J. [Naval Research Laboratory, Electronics Science and Technology Division, Washington, DC 20375 (United States)

    2016-08-08

    A dual-channel AlN/GaN high electron mobility transistor (HEMT) architecture is demonstrated that leverages ultra-thin epitaxial layers to suppress surface-related gate lag. Two high-density two-dimensional electron gas (2DEG) channels are utilized in an AlN/GaN/AlN/GaN heterostructure wherein the top 2DEG serves as a quasi-equipotential that screens potential fluctuations resulting from distributed surface and interface states. The bottom channel serves as the transistor's modulated channel. Dual-channel AlN/GaN heterostructures were grown by molecular beam epitaxy on free-standing hydride vapor phase epitaxy GaN substrates. HEMTs fabricated with 300 nm long recessed gates demonstrated a gate lag ratio (GLR) of 0.88 with no degradation in drain current after bias stressed in subthreshold. These structures additionally achieved small signal metrics f{sub t}/f{sub max} of 27/46 GHz. These performance results are contrasted with the non-recessed gate dual-channel HEMT with a GLR of 0.74 and 82 mA/mm current collapse with f{sub t}/f{sub max} of 48/60 GHz.

  14. Vapor-phase synthesis and characterization of ZnSe nanoparticles

    Science.gov (United States)

    Sarigiannis, D.; Pawlowski, R. P.; Peck, J. D.; Mountziaris, T. J.; Kioseoglou, G.; Petrou, A.

    2002-06-01

    Compound semiconductor nanoparticles are an exciting class of materials whose unique optical and electronic properties can be exploited in a variety of applications, including optoelectronics, photovoltaics, and biophotonics. The most common route for synthesizing such nanoparticles has been via liquid-phase chemistry in reverse micelles. This paper discusses a flexible vapor-phase technique for synthesis of crystalline compound semiconductor nanoparticles using gas-phase condensation reactions near the stagnation point of a counterflow jet reactor. ZnSe nanoparticles were formed by reacting vapors of dimethylzinc: triethylamine adduct and hydrogen selenide at 120Torr and room temperature (28°C). No attempt was made to passivate the surface of the particles, which were collected as random aggregates on silicon wafers or TEM grids placed downstream of the reaction zone. Particle characterization using TEM, electron diffraction, Raman and EDAX revealed that the aggregates consisted of polycrystalline ZnSe nanoparticles, almost monodisperse in size (with diameters of ~40nm). The polycrystalline nanoparticles appear to have been formed by coagulation of smaller single-crystalline nanoparticles with characteristic size of 3-5 run.

  15. Influence of hydrides orientation on strain, damage and failure of hydrided zircaloy-4

    International Nuclear Information System (INIS)

    Racine, A.

    2005-09-01

    In pressurized water reactors of nuclear power plants, fuel pellets are contained in cladding tubes, made of Zirconium alloy, for instance Zircaloy-4. During their life in the primary water of the reactor (155 bars, 300 C), cladding tubes are oxidized and consequently hydrided. A part of the hydrogen given off precipitates as Zirconium hydrides in the bulk material and embrittles the material. This embrittlement depends on many parameters, among which hydrogen content and orientation of hydrides with respect to the applied stress. This investigation is devoted to the influence of the orientation of hydrides with respect to the applied stress on strain, damage and failure mechanisms. Macroscopic and SEM in-situ ring tensile tests are performed on cladding tube material (unirradiated cold worked stress-relieved Zircaloy-4) hydrided with about 200 and 500 wppm hydrogen, and with different main hydrides orientation: either parallel or perpendicular to the circumferential tensile direction. We get the mechanical response of the material as a function of hydride orientation and hydrogen content and we investigate the deformation, damage and failure mechanisms. In both cases, digital image correlation techniques are used to estimate local and global strain distributions. Neither the tensile stress-strain response nor the global and local strain modes are significantly affected by hydrogen content or hydride orientation, but the failure modes are strongly modified. Indeed, only 200 wppm radial hydrides embrittle Zy-4: sample fail in the elastic domain at about 350 MPa before strain bands could develop; whereas in other cases sample reach at least 750 MPa before necking and final failure, in ductile or brittle mode. To model this particular heterogeneous material behavior, a non-coupled damage approach which takes into account the anisotropic distribution of the hydrides is proposed. Its parameters are identified from the macroscopic strain field measurements and a

  16. Influence of Zircaloy cladding composition on hydride formation during aqueous hydrogen charging

    Energy Technology Data Exchange (ETDEWEB)

    Rajasekhara, S. [Intel Corporation, 2501 NW 229th Av., Hillsboro, OR 97124 (United States); Kotula, P.G.; Enos, D.G.; Doyle, B.L. [Sandia National Laboratories, Albuquerque, NM, 87185 (United States); Clark, B.G., E-mail: blyclar@sandia.gov [Sandia National Laboratories, Albuquerque, NM, 87185 (United States)

    2017-06-15

    Although hydrogen uptake in Zirconium (Zr) based claddings has been a topic of many studies, hydrogen uptake as a function of alloy composition has received little attention. In this work, commercial Zr-based cladding alloys (Zircaloy-2, Zircaloy-4 and ZIRLO™), differing in composition but with similar initial textures, grain sizes, and surface roughness, were aqueously charged with hydrogen for 100, 300, and 1000 s at nominally 90 °C to produce hydride layers of varying thicknesses. Transmission electron microscope characterization following aqueous charging showed hydride phase and orientation relationship were identical in all three alloys. However, elastic recoil detection measurements confirmed that surface hydride layers in Zircaloy-2 and Zircaloy-4 were an order of magnitude thicker relative to ZIRLO™. - Highlights: •Aqueous charging was performed to produce a layer of zirconium hydride for three different Zr-alloy claddings. •Hydride thicknesses were analyzed by elastic recoil detection and transmission electron microscopy. •Zircaloy-2 and Zircaloy-4 formed thicker hydride layers than ZIRLO™ for the same charging durations.

  17. Synchrotron radiation excited silicon epitaxy using disilane

    International Nuclear Information System (INIS)

    Akazawa, Housei; Utsumi, Yuichi

    1995-01-01

    Synchrotron radiation (SR) excited chemical reactions provide new crystal growth methods suitable for low-temperature Si epitaxy. The growth kinetics and film properties were investigated by atomic layer epitaxy (ALE) and photochemical vapor deposition (CVD) modes using Si 2 H 6 . SR-ALE, isolating the surface growth channel mediated by photon stimulated hydrogen desorption, achieves digital growth independent of gas exposure time, SR irradiation time, and substrate temperature. On the other hand in SR-CVD, photolysis of Si 2 H 6 is predominant. In the nonirradiated region, Eley-Rideal type reaction between the photofragments and the surface deposit Si adatoms in a layer-by-layer fashion. In the irradiated region, however, multi-layer photolysis and rebounding occurs within the condensed Si 2 H 6 layer. The pertinent elementary processes were identified by using the high-resolution time-of-flight mass spectroscopy. The SR-CVD can grow a uniform and epitaxial Si film down to 200degC. The surface morphology is controlled by the surfactant effect of hydrogen atoms. (author)

  18. Influence of vapor phase turbulent stress to the onset of slugging in a horizontal pipe

    International Nuclear Information System (INIS)

    Park, Jee Won

    1995-01-01

    An influence of the vapor phase turbulent stress(i, e., the two-phase Reynolds stress)to the characteristics of two-phase system in a horizontal pipe has been theoretically investigated. The average two-fluid model has been constituted with closure relations for stratified flow in a horizontal pipe. A vapor phase turbulent stress model for the regular interface geometry has been included. It is found that the second order waves propagate in opposite direction with almost the same speed in the moving frame of reference of the liquid phase velocity. Using the well-posedness limit of the two-phase system, the dispersed-stratified flow regime boundary has been modeled. Two-phase Froude number has been found to be a convenient parameter in quantifying the onset of slugging as a function of the global void fraction. The influence of the vapor phase turbulent stress was found to stabilize the flow stratification. 4 figs., 12 refs. (Author)

  19. Epitaxial growth and electronic structure of oxyhydride SrVO{sub 2}H thin films

    Energy Technology Data Exchange (ETDEWEB)

    Katayama, Tsukasa; Chikamatsu, Akira, E-mail: chikamatsu@chem.s.u-tokyo.ac.jp; Yamada, Keisuke; Onozuka, Tomoya [Department of Chemistry, The University of Tokyo, Bunkyo-ku, Tokyo 113-0033 (Japan); Shigematsu, Kei [Kanagawa Academy of Science and Technology, Kawasaki, Kanagawa 213-0012 (Japan); Minohara, Makoto; Kumigashira, Hiroshi [Institute of Materials Structure Science, High Energy Accelerator Research Organization (KEK), Tsukuba, Ibaraki 305-0801 (Japan); Ikenaga, Eiji [Japan Synchrotron Radiation Research Institute (JASRI)/SPring-8, Mikazuki-cho, Hyogo 679-5198 (Japan); Hasegawa, Tetsuya [Department of Chemistry, The University of Tokyo, Bunkyo-ku, Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology, Kawasaki, Kanagawa 213-0012 (Japan)

    2016-08-28

    Oxyhydride SrVO{sub 2}H epitaxial thin films were fabricated on SrTiO{sub 3} substrates via topotactic hydridation of oxide SrVO{sub 3} films using CaH{sub 2}. Structural and composition analyses suggested that the SrVO{sub 2}H film possessed one-dimensionally ordered V-H{sup −}-V bonds along the out-of-plane direction. The synthesis temperature could be lowered by reducing the film thickness, and the SrVO{sub 2}H film was reversible to SrVO{sub 3} by oxidation through annealing in air. Photoemission and X-ray absorption spectroscopy measurements revealed the V{sup 3+} valence state in the SrVO{sub 2}H film, indicating that the hydrogen existed as hydride. Furthermore, the electronic density of states was highly suppressed at the Fermi energy, consistent with the prediction that tetragonal distortion induces metal to insulation transition.

  20. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films

    Directory of Open Access Journals (Sweden)

    Huaping Wu

    2016-01-01

    Full Text Available The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO3 thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110 orientation leads to a lower symmetry and more complicated phase transition than the (111 orientation in BaTiO3 films. The increase of compressive strain will dramatically enhance the Curie temperature TC of (110-oriented BaTiO3 films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110- and (111-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  1. Growth and characterization of Hg1–xCdxTe epitaxial films by ...

    Indian Academy of Sciences (India)

    Unknown

    Abstract. Growth of Hg1–xCdxTe epitaxial films by a new technique called asymmetric vapour phase epitaxy. (ASVPE) has been carried out on CdTe and CZT substrates. The critical problems faced in normal vapour phase epitaxy technique like poor surface morphology, composition gradient and dislocation multiplication.

  2. Improved thermal lattice Boltzmann model for simulation of liquid-vapor phase change

    Science.gov (United States)

    Li, Qing; Zhou, P.; Yan, H. J.

    2017-12-01

    In this paper, an improved thermal lattice Boltzmann (LB) model is proposed for simulating liquid-vapor phase change, which is aimed at improving an existing thermal LB model for liquid-vapor phase change [S. Gong and P. Cheng, Int. J. Heat Mass Transfer 55, 4923 (2012), 10.1016/j.ijheatmasstransfer.2012.04.037]. First, we emphasize that the replacement of ∇ .(λ ∇ T ) /∇.(λ ∇ T ) ρ cV ρ cV with ∇ .(χ ∇ T ) is an inappropriate treatment for diffuse interface modeling of liquid-vapor phase change. Furthermore, the error terms ∂t 0(T v ) +∇ .(T vv ) , which exist in the macroscopic temperature equation recovered from the previous model, are eliminated in the present model through a way that is consistent with the philosophy of the LB method. Moreover, the discrete effect of the source term is also eliminated in the present model. Numerical simulations are performed for droplet evaporation and bubble nucleation to validate the capability of the model for simulating liquid-vapor phase change. It is shown that the numerical results of the improved model agree well with those of a finite-difference scheme. Meanwhile, it is found that the replacement of ∇ .(λ ∇ T ) /∇ .(λ ∇ T ) ρ cV ρ cV with ∇ .(χ ∇ T ) leads to significant numerical errors and the error terms in the recovered macroscopic temperature equation also result in considerable errors.

  3. electrocatalytic reduction of oxygen at vapor phase polymerized poly ...

    African Journals Online (AJOL)

    Preferred Customer

    ABSTRACT. We successfully polymerized poly(3,4-ethylenedioxidethiophene) by vapor phase polymerization technique on rotating glassy carbon disk electrode. The catalytic activity of this electrode towards oxygen reduction reaction was investigated and showed remarkable activity. Rotating disk voltammetry was used to ...

  4. Liquid-Vapor Phase Transition: Thermomechanical Theory, Entropy Stable Numerical Formulation, and Boiling Simulations

    Science.gov (United States)

    2015-05-01

    vapor bubbles may generate near blades [40]. This is the phenomenon of cavitation and it is still a limiting factor for ship propeller design. Phase...van der Waals theory with hydrodynamics [39]. The fluid equations based on the van der Waals theory are called the Navier-Stokes-Korteweg equations... cavitating flows, the liquid- vapor phase transition induced by pressure variations. A potential challenge for such a simulation is a proper design of open

  5. Epitaxial growth and characterization of CuGa2O4 films by laser molecular beam epitaxy

    OpenAIRE

    Hongling Wei; Zhengwei Chen; Zhenping Wu; Wei Cui; Yuanqi Huang; Weihua Tang

    2017-01-01

    Ga2O3 with a wide bandgap of ∼ 4.9 eV can crystalize in five crystalline phases. Among those phases, the most stable monoclinic β-Ga2O3 has been studied most, however, it is hard to find materials lattice matching with β-Ga2O3 to grown epitaxial thin films for optoelectronic applications. In this work, CuGa2O4 bulk were prepared by solid state reaction as target, and the films were deposited on sapphire substrates by laser molecular beam epitaxy (L-MBE) at different substrate temperatures. Th...

  6. Liquid-vapor phase transition upon pressure decrease in the lead-bismuth system

    Science.gov (United States)

    Volodin, V. N.

    2009-11-01

    The liquid-vapor phase transitions boundaries were calculated on the basis of the values of vapor pressure of the components in the lead-bismuth system during the stepwise pressure decrease by one order of magnitude from 105 down to 1 Pa. The emergence of azeotropic liquid under pressure lower than 19.3 kPa was ascertained. The emergence of azeotropic mixture near the lead edge of the phase diagram was concluded to be the reason for technological difficulties in the distillation separation of the system into the components in a vacuum.

  7. Advanced fabrication method for the preparation of MOF thin films: Liquid-phase epitaxy approach meets spin coating method.

    KAUST Repository

    Chernikova, Valeriya; Shekhah, Osama; Eddaoudi, Mohamed

    2016-01-01

    Here we report a new and advanced method for the fabrication of highly oriented/polycrystalline metal-organic framework (MOF) thin films. Building on the attractive features of the liquid-phase epitaxy (LPE) approach, a facile spin coating method

  8. The effect of thermal cycling on the movement of the αZr/ αZr hydride phase boundary in cold-worked Zr-2.5 wt% Nb alloy

    International Nuclear Information System (INIS)

    Cox, B.; Ling, V.C.

    1980-05-01

    A piece of CW Zr-2.5 wt% Nb alloy pressure tube was hydrided at one end in 40 g/L LiOH solution at 573 K (after nickel-plating that end). The result was a solid hydride layer 0.6 mm thick plus approximately 130 ppm hydrogen in the core under the nickel plate. Thermal cycling under conditions similar to those likely to be experienced during a reactor trip did not cause any significant movement of the α+hydridephase boundary along the tube for up to 2688 cycles from 573 to 523 K. Supercharging of the core was observed in the nickel-plated area. Some conclusions have been drawn concerning the origin of the hydrogen in the nickel-plated area, and the factors controlling the supercharging process. (auth)

  9. Hydrogen charging, hydrogen content analysis and metallographic examination of hydride in zirconium alloys

    International Nuclear Information System (INIS)

    Singh, R.N.; Kishore, R.; Mukherjee, S.; Roychowdhury, S.; Srivastava, D.; Sinha, T.K.; De, P.K.; Banerjee, S.; Gopalan, B.; Kameswaran, R.; Sheelvantra, Smita S.

    2003-12-01

    Gaseous and electrolytic hydrogen charging techniques for introducing controlled amount of hydrogen in zirconium alloy is described. Zr-1wt%Nb fuel tube, zircaloy-2 pressure tube and Zr-2.5Nb pressure tube samples were charged with up to 1000 ppm of hydrogen by weight using one of the aforementioned methods. These hydrogen charged Zr-alloy samples were analyzed for estimating the total hydrogen content using inert gas fusion technique. Influence of sample surface preparation on the estimated hydrogen content is also discussed. In zirconium alloys, hydrogen in excess of the terminal solid solubility precipitates out as brittle hydride phase, which acquire platelet shaped morphology due to its accommodation in the matrix and can make the host matrix brittle. The F N number, which represents susceptibility of Zr-alloy tubes to hydride embrittlement was measured from the metallographs. The volume fraction of the hydride phase, platelet size, distribution, interplatelet spacing and orientation were examined metallographically using samples sliced along the radial-axial and radial-circumferential plane of the tubes. It was observed that hydride platelet length increases with increase in hydrogen content. Considering the metallographs generated by Materials Science Division as standard, metallographs prepared by the IAEA round robin participants for different hydrogen concentration was compared. It is felt that hydride micrographs can be used to estimate not only that approximate hydrogen concentration of the sample but also its size, distribution and orientation which significantly affect the susceptibility to hydride embrittlement of these alloys. (author)

  10. Growth of AlN films and their characterization

    Energy Technology Data Exchange (ETDEWEB)

    Jain, Rakesh B.; Gao, Ying; Zhang, Jianping; Qhaleed Fareed, R.S.; Gaska, Remis [Sensor Electronic Technology, Inc., 1195 Atlas Rd., Columbia, SC 29209 (United States); Li, Jiawei; Arjunan, Arulchakkravarthi; Yang, Jinwei; Asif Khan, M. [Department of Electrical Engineering, University of South Carolina, Columbia, SC 29208 (United States); Kuokstis, Edmundas [MTMI, Vilnius University, Vilnius (Lithuania)

    2006-06-15

    Single crystal AlN layers have been produced by migration enhanced metal organic chemical vapor deposition (MEMOCVD), hydride vapor phase epitaxy (HVPE) and their combination. The growth was carried out on 2'' basal plane sapphire substrates. In MEMOCVD, the duration and waveforms of precursors were varied to achieve better surface mobility and thus better atomic incorporation. It resulted in superior layer quality templates with the narrowest (002) X-ray rocking curve full width half maximum (FWHM). Such high quality AlN templates were used as seeds for subsequent HVPE growth. Thick films with thickness ranging from 1-25 {mu}m have been grown by HVPE with growth rates as high as 200 {mu}m/min, highest ever reported. Films grown by the two methods have been extensively characterized by Nomarski microscopy, scanning electron microscopy (SEM), atomic force microscopy (AFM), high-resolution X-ray diffractometry (HRXRD), and photoluminescence (PL). (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  11. Hydride embrittlement in zircaloy components

    Energy Technology Data Exchange (ETDEWEB)

    Lobo, Raquel M.; Andrade, Arnaldo H.P.; Castagnet, Mariano, E-mail: rmlobo@ipen.br [Instituto de Pesquisas Energeticas e Nucleares (IPEN/CNEN-SP), Sao Paulo, SP (Brazil)

    2011-07-01

    Zirconium alloys are used in nuclear reactor cores under high-temperature water environment. During service, hydrogen is generated by corrosion processes, and it is readily absorbed by these materials. When hydrogen concentration exceeds the terminal solid solubility, the excess hydrogen precipitates as zirconium hydride (ZrH{sub 2}) platelets or needles. Zirconium alloys components can fail by hydride cracking if they contain large flaws and are highly stressed. Zirconium alloys are susceptible to a mechanism for crack initiation and propagation termed delayed hydride cracking (DHC). The presence of brittle hydrides, with a K{sub Ic} fracture toughness of only a few MPa{radical}m, results in a severe loss in ductility and toughness when platelet normal is oriented parallel to the applied stress. In plate or tubing, hydrides tend to form perpendicular to the thickness direction due to the texture developed during fabrication. Hydrides in this orientation do not generally cause structural problems because applied stresses in the through-thickness direction are very low. However, the high mobility of hydrogen in a zirconium lattice enables redistribution of hydrides normal to the applied stress direction, which can result in localized embrittlement. When a platelet reaches a critical length it ruptures. If the tensile stress is sufficiently great, crack initiation starts at some of these hydrides. Crack propagation occurs by repeating the same process at the crack tip. Delayed hydride cracking can degrade the structural integrity of zirconium alloys during reactor service. The paper focuses on the fracture mechanics and fractographic aspects of hydride material. (author)

  12. Metal hydrides: an innovative and challenging conversion reaction anode for lithium-ion batteries

    Directory of Open Access Journals (Sweden)

    Luc Aymard

    2015-08-01

    Full Text Available The state of the art of conversion reactions of metal hydrides (MH with lithium is presented and discussed in this review with regard to the use of these hydrides as anode materials for lithium-ion batteries. A focus on the gravimetric and volumetric storage capacities for different examples from binary, ternary and complex hydrides is presented, with a comparison between thermodynamic prediction and experimental results. MgH2 constitutes one of the most attractive metal hydrides with a reversible capacity of 1480 mA·h·g−1 at a suitable potential (0.5 V vs Li+/Li0 and the lowest electrode polarization (2, TiH2, complex hydrides Mg2MHx and other Mg-based hydrides. The reversible conversion reaction mechanism of MgH2, which is lithium-controlled, can be extended to others hydrides as: MHx + xLi+ + xe− in equilibrium with M + xLiH. Other reaction paths—involving solid solutions, metastable distorted phases, and phases with low hydrogen content—were recently reported for TiH2 and Mg2FeH6, Mg2CoH5 and Mg2NiH4. The importance of fundamental aspects to overcome technological difficulties is discussed with a focus on conversion reaction limitations in the case of MgH2. The influence of MgH2 particle size, mechanical grinding, hydrogen sorption cycles, grinding with carbon, reactive milling under hydrogen, and metal and catalyst addition to the MgH2/carbon composite on kinetics improvement and reversibility is presented. Drastic technological improvement in order to the enhance conversion process efficiencies is needed for practical applications. The main goals are minimizing the impact of electrode volume variation during lithium extraction and overcoming the poor electronic conductivity of LiH. To use polymer binders to improve the cycle life of the hydride-based electrode and to synthesize nanoscale composite hydride can be helpful to address these drawbacks. The development of high-capacity hydride anodes should be inspired by the emergent

  13. Metal hydrides: an innovative and challenging conversion reaction anode for lithium-ion batteries.

    Science.gov (United States)

    Aymard, Luc; Oumellal, Yassine; Bonnet, Jean-Pierre

    2015-01-01

    The state of the art of conversion reactions of metal hydrides (MH) with lithium is presented and discussed in this review with regard to the use of these hydrides as anode materials for lithium-ion batteries. A focus on the gravimetric and volumetric storage capacities for different examples from binary, ternary and complex hydrides is presented, with a comparison between thermodynamic prediction and experimental results. MgH2 constitutes one of the most attractive metal hydrides with a reversible capacity of 1480 mA·h·g(-1) at a suitable potential (0.5 V vs Li(+)/Li(0)) and the lowest electrode polarization (lithium are subsequently detailed for MgH2, TiH2, complex hydrides Mg2MH x and other Mg-based hydrides. The reversible conversion reaction mechanism of MgH2, which is lithium-controlled, can be extended to others hydrides as: MH x + xLi(+) + xe(-) in equilibrium with M + xLiH. Other reaction paths-involving solid solutions, metastable distorted phases, and phases with low hydrogen content-were recently reported for TiH2 and Mg2FeH6, Mg2CoH5 and Mg2NiH4. The importance of fundamental aspects to overcome technological difficulties is discussed with a focus on conversion reaction limitations in the case of MgH2. The influence of MgH2 particle size, mechanical grinding, hydrogen sorption cycles, grinding with carbon, reactive milling under hydrogen, and metal and catalyst addition to the MgH2/carbon composite on kinetics improvement and reversibility is presented. Drastic technological improvement in order to the enhance conversion process efficiencies is needed for practical applications. The main goals are minimizing the impact of electrode volume variation during lithium extraction and overcoming the poor electronic conductivity of LiH. To use polymer binders to improve the cycle life of the hydride-based electrode and to synthesize nanoscale composite hydride can be helpful to address these drawbacks. The development of high-capacity hydride anodes should

  14. Conference 'Chemistry of hydrides' Proceedings

    International Nuclear Information System (INIS)

    1991-07-01

    This collection of thesis of conference of Chemistry hydrides presents the results of investigations concerning of base questions of chemistry of nonorganic hydrides, including synthesis questions, studying of physical and chemical properties, thermodynamics, analytical chemistry, investigation of structure, equilibriums in the systems of metal-hydrogen, behaviour of nonorganic hydrides in non-water mediums and applying investigations in the chemistry area and technology of nonorganic hydrides

  15. Generalized modeling of multi-component vaporization/condensation phenomena for multi-phase-flow analysis

    International Nuclear Information System (INIS)

    Morita, K.; Fukuda, K.; Tobita, Y.; Kondo, Sa.; Suzuki, T.; Maschek, W.

    2003-01-01

    A new multi-component vaporization/condensation (V/C) model was developed to provide a generalized model for safety analysis codes of liquid metal cooled reactors (LMRs). These codes simulate thermal-hydraulic phenomena of multi-phase, multi-component flows, which is essential to investigate core disruptive accidents of LMRs such as fast breeder reactors and accelerator driven systems. The developed model characterizes the V/C processes associated with phase transition by employing heat transfer and mass-diffusion limited models for analyses of relatively short-time-scale multi-phase, multi-component hydraulic problems, among which vaporization and condensation, or simultaneous heat and mass transfer, play an important role. The heat transfer limited model describes the non-equilibrium phase transition processes occurring at interfaces, while the mass-diffusion limited model is employed to represent effects of non-condensable gases and multi-component mixture on V/C processes. Verification of the model and method employed in the multi-component V/C model of a multi-phase flow code was performed successfully by analyzing a series of multi-bubble condensation experiments. The applicability of the model to the accident analysis of LMRs is also discussed by comparison between steam and metallic vapor systems. (orig.)

  16. Liquid Solution Phase Epitaxial Growth of Al-doped f-SiC for LEDs

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; van der Eijk, Casper

    light quality and longer lifespan, compared to the current yellow phosphor based white LEDs. Liquid phase epitaxy technology is able to yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium process. In addition, the technological equipment...... are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  17. New ternary hydride formation in U-Ti-H system

    International Nuclear Information System (INIS)

    Yamamoto, Takuya; Kayano, Hideo; Yamawaki, Michio.

    1991-01-01

    Hydrogen absorption properties of two titanium-rich uranium alloys, UTi 2 and UTi 4 , were studied in order to prepare and identify the recently found ternary hydride. They slowly reacted with hydrogen of the initial pressure of 10 5 Pa at 873K to form the ternary hydride. The hydrogenated specimen mainly consisted of the pursued ternary hydride but contained also U(or UO 2 ), TiH x , and some transient phases. X-ray powder diffraction and Electron Probe Micro Analysis proved that it was the UTi 2 H x with the expected MgCu 2 structure, though all the X-ray peaks were broad probably because of inhomogeneity. This compound had extremely high resistance to powdering on its formation, which showed high potential utilities for a non-powdering tritium storage system or for other purposes. (author)

  18. PAC and μSr investigations of light interstitial diffusion in intermetallic hydrides

    International Nuclear Information System (INIS)

    Boyer, P.; Baudry, A.

    1988-01-01

    Specific aspects of the Perturbed Angular Correlation (PAC) of gamma rays concerning its application to the study of atomic diffusion in solids are presented. PAC results recently obtained on the 181 Ta probe in several crystalline and amorphous phases of Zr 2 Ni hydrides are briefly summarized. Preliminary μSR results relative to these intermetallic hydrides are presented and compared to the PAC data

  19. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  20. Modeling of hydrogen storage in hydride-forming materials : statistical thermodynamics

    NARCIS (Netherlands)

    Ledovskikh, A.; Danilov, D.; Rey, W.J.J.; Notten, P.H.L.

    2006-01-01

    A new lattice gas model has been developed, describing the hydrogen storage in hydride-forming materials. This model is based on the mean-field theory and Bragg-Williams approximation. To describe first-order phase transitions and two-phase coexistence regions, a binary alloy approach has been

  1. High efficiency thin film solar cells grown by molecular beam epitaxy (HEFTY)

    Energy Technology Data Exchange (ETDEWEB)

    Mason, N.B.; Barnham, K.W.J.; Ballard, I.M.; Zhang, J. [Imperial College, London (United Kingdom)

    2006-05-04

    The project sought to show the UK as a world leader in the field of thin film crystalline solar cells. A premise was that the cell design be suitable for large-scale manufacturing and provide a basis for industrial exploitation. The study demonstrated (1) that silicon films grown at temperatures suitable for deposition on glass by Gas Phase Molecular Beam Epitaxy gives better PV cells than does Ultra Low Pressure Chemical Vapor Deposition; (2) a conversion energy of 15 per cent was achieved - the project target was 18 per cent and (3) one of the highest reported conversion efficiencies for a 15 micrometre silicon film was achieved. The study was carried out by BP Solar Limited under contract to the DTI.

  2. Growth and characterization of visible diode lasers

    International Nuclear Information System (INIS)

    Shealy, J.R.; Bour, D.P.

    1988-01-01

    The (Al x Ga 1-x )yIn 1-y rho material system, lattice matched to GaAs substrates, has received much attention for use in visible laser diodes emitting in the spectral region λ--650-680 nm. When lattice matched to GaAs (y=0.5), this alloy spans a direct band gap range from --1.85 eV (at x=0) to --2.3 eV (near the T-X crossover at chi--0.7) It was only recently that device quality epitaxial layers have been prepared in this material due to difficulties with liquid phase epitaxial (LPE) and halide vapor phase epitaxial growth.Only organometallic vapor phase epitaxy (OMVPE) and molecular beam epitaxy (MBE) growth techniques have successfully produced AlGainP laser material

  3. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-08-31

    We report the growth and characterization of III-nitride ternary thin films (Al{sub x}Ga{sub 1−x}N, In{sub x}Al{sub 1−x}N and In{sub x}Ga{sub 1−x}N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures.

  4. Growth and characterization of III-N ternary thin films by plasma assisted atomic layer epitaxy at low temperatures

    International Nuclear Information System (INIS)

    Nepal, Neeraj; Anderson, Virginia R.; Hite, Jennifer K.; Eddy, Charles R.

    2015-01-01

    We report the growth and characterization of III-nitride ternary thin films (Al x Ga 1−x N, In x Al 1−x N and In x Ga 1−x N) at ≤ 500 °C by plasma assisted atomic layer epitaxy (PA-ALE) over a wide stoichiometric range including the range where phase separation has been an issue for films grown by molecular beam epitaxy and metal organic chemical vapor deposition. The composition of these ternaries was intentionally varied through alterations in the cycle ratios of the III-nitride binary layers (AlN, GaN, and InN). By this digital alloy growth method, we are able to grow III-nitride ternaries by PA-ALE over nearly the entire stoichiometry range including in the spinodal decomposition region (x = 15–85%). These early efforts suggest great promise of PA-ALE at low temperatures for addressing miscibility gap challenges encountered with conventional growth methods and realizing high performance optoelectronic and electronic devices involving ternary/binary heterojunctions, which are not currently possible. - Highlights: • III-N ternaries grown at ≤ 500 °C by plasma assisted atomic layer epitaxy • Growth of InGaN and AlInN in the spinodal decomposition region (15–85%) • Epitaxial, smooth and uniform III-N film growth at low temperatures

  5. In situ, subsurface monitoring of vapor-phase TCE using fiber optics

    International Nuclear Information System (INIS)

    Rossabi, J.; Colston, B. Jr.; Brown, S.; Milanovich, F.; Lee, L.T. Jr.

    1993-01-01

    A vapor-phase, reagent-based, fiber optic trichloroethylene (TCE) sensor developed by Lawrence Livermore National Laboratory (LLNL) was demonstrated at the Savannah River Site (SRS) in two configurations. The first incorporated the sensor into a down-well instrument bounded by two inflatable packers capable of sealing an area for discrete depth analysis. The second involved an integration of the sensor into the probe tip of the Army Corps of Engineers Waterways Experiment Station (WES) cone penetrometry system. Discrete depth measurements of vapor-phase concentrations of TCE in the vadose zone were successfully made using both configurations. These measurements demonstrate the first successful in situ sensing (as opposed to sampling) of TCE at a field site

  6. Irradiation of fish fillets: Relation of vapor phase reactions to storage quality

    Science.gov (United States)

    Spinelli, J.; Dollar, A.M.; Wedemeyer, G.A.; Gallagher, E.C.

    1969-01-01

    Fish fillets irradiated under air, nitrogen, oxygen, or carbon dioxide atmospheres developed rancidlike flavors when they were stored at refrigerated temperatures. Packing and irradiating under vacuum or helium prevented development of off-flavors during storage.Significant quantities of nitrate and oxidizing substances were formed when oxygen, nitrogen, or air were present in the vapor or liquid phases contained in a Pyrex glass model system exposed to ionizing radiation supplied by a 60Co source. It was demonstrated that the delayed flavor changes that occur in stored fish fillets result from the reaction of vapor phase radiolysis products and the fish tissue substrates.

  7. Effects of anharmonic strain on the phase stability of epitaxial films and superlattices: Applications to noble metals

    International Nuclear Information System (INIS)

    Ozolins, V.; Wolverton, C.; Zunger, A.

    1998-01-01

    Epitaxial strain energies of epitaxial films and bulk superlattices are studied via first-principles total-energy calculations using the local-density approximation. Anharmonic effects due to large lattice mismatch, beyond the reach of the harmonic elasticity theory, are found to be very important in Cu/Au (lattice mismatch 12%), Cu/Ag (12%), and Ni/Au (15%). We find that left-angle 001 right-angle is the elastically soft direction for biaxial expansion of Cu and Ni, but it is left-angle 201 right-angle for large biaxial compression of Cu, Ag, and Au. The stability of superlattices is discussed in terms of the coherency strain and interfacial energies. We find that in phase separating systems such as Cu-Ag the superlattice formation energies decrease with superlattice period, and the interfacial energy is positive. Superlattices are formed easiest on (001) and hardest on (111) substrates. For ordering systems, such as Cu-Au and Ag-Au, the formation energy of superlattices increases with period, and interfacial energies are negative. These superlattices are formed easiest on (001) or (110) and hardest on (111) substrates. For Ni-Au we find a hybrid behavior: superlattices along left-angle 111 right-angle and left-angle 001 right-angle behave like phase separating systems, while for left-angle 110 right-angle they behave like ordering systems. Finally, recent experimental results on epitaxial stabilization of disordered Ni-Au and Cu-Ag alloys, immiscible in the bulk form, are explained in terms of destabilization of the phase separated state due to lattice mismatch between the substrate and constituents. copyright 1998 The American Physical Society

  8. Effect of crystal orientation on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Huaping, E-mail: wuhuaping@gmail.com, E-mail: hpwu@zjut.edu.cn [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); State Key Laboratory of Structural Analysis for Industrial Equipment, Dalian University of Technology, Dalian 116024 (China); Ma, Xuefu; Zhang, Zheng; Zeng, Jun; Chai, Guozhong [Key Laboratory of E& M (Zhejiang University of Technology), Ministry of Education & Zhejiang Province, Hangzhou 310014 (China); Wang, Jie [Department of Engineering Mechanics, School of Aeronautics and Astronautics, Zhejiang University, Hangzhou 310027 (China)

    2016-01-15

    The influence of crystal orientations on the phase diagrams, dielectric and piezoelectric properties of epitaxial BaTiO{sub 3} thin films has been investigated using an expanded nonlinear thermodynamic theory. The calculations reveal that crystal orientation has significant influence on the phase stability and phase transitions in the misfit strain-temperature phase diagrams. In particular, the (110) orientation leads to a lower symmetry and more complicated phase transition than the (111) orientation in BaTiO{sub 3} films. The increase of compressive strain will dramatically enhance the Curie temperature T{sub C} of (110)-oriented BaTiO{sub 3} films, which matches well with previous experimental data. The polarization components experience a great change across the boundaries of different phases at room temperature in both (110)- and (111)-oriented films, which leads to the huge dielectric and piezoelectric responses. A good agreement is found between the present thermodynamics calculation and previous first-principles calculations. Our work provides an insight into how to use crystal orientation, epitaxial strain and temperature to tune the structure and properties of ferroelectrics.

  9. Evidence for extreme partitioning of copper into a magmatic vapor phase

    International Nuclear Information System (INIS)

    Lowenstern, J.B.; Mahood, G.A.; Rivers, M.L.; Sutton, S.R.

    1991-01-01

    The discovery of copper sulfides in carbon dioxide- and chlorine-bearing bubbles in phenocryst-hosted melt inclusions shows that copper resides in a vapor phase in some shallow magma chambers. Copper is several hundred times more concentrated in magmatic vapor than in coexisting pantellerite melt. The volatile behavior of copper should be considered when modeling the volcanogenic contribution of metals to the atmosphere and may be important in the formation of copper porphyry ore deposits

  10. Structural stability of complex hydrides LiBH4 revisited

    DEFF Research Database (Denmark)

    Lodziana, Zbigniew; Vegge, Tejs

    2004-01-01

    A systematic approach to study the phase stability of LiBH4 based on ab initio calculations is presented. Three thermodynamically stable phases are identified and a new phase of Cc symmetry is proposed for the first time for a complex hydride. The x-ray diffraction pattern and vibrational spectra...

  11. Determination of inorganic arsenic in algae using bromine halogenation and on-line nonpolar solid phase extraction followed by hydride generation atomic flourescence spectrometry

    Science.gov (United States)

    Accurate, stable and fast analysis of toxic inorganic arsenic (iAs) in complicated and arsenosugar-rich algae matrix is always a challenge. Herein, a novel analytical method for iAs in algae was reported, using bromine halogenation and on-line nonpolar solid phase extraction (SPE) followed by hydrid...

  12. Developments in delayed hydride cracking in zirconium alloys

    International Nuclear Information System (INIS)

    Puls, Manfred P.

    2008-01-01

    Delayed hydride cracking (DHC) is a process of diffusion assisted localized hydride embrittlement at flaws or regions of high stress. Models of DHC propagation and initiation have been developed that capture the essential elements of this phenomenon in terms of parameters describing processes occurring at the micro-scale. The models and their predictions of experimental results applied to Zr alloys are assessed. The propagation model allows rationalization of the effect of direction of approach to temperature and of the effect of the state and morphology of the beta phase in Zr-2.5Nb on DHC velocity. The K I dependence of the DHC velocity can only be approximately rationalized by the propagation models. This is thought to be because these models approximate the DHC velocity by a constant and shape-invariant rate of growth of the hydride at the flaw and have not incorporated a coupling between the applied stress field due to the flaw alone and the precipitated hydrides that would result in a variation of the shape and density of the hydrided region with K I . Separately, models have been developed for DHC initiation at cracks and blunt flaws. Expressions are obtained for the threshold stress intensity factor, K IH , for DHC initiation at a crack. A model for K IH has been used to rationalize the experimental result that DHC initiation is not possible above a certain temperature, even when hydrides can form at the crack tip. For blunt flaws with root radii in the μm range, and engineering process zone procedure has been derived to determine the initiation conditions requiring that both a critical stress and a critical flaw tip displacement must be achieved for hydride fracture. The engineering process zone procedure takes account of the dependence of DHC initiation on the flaw's root radius. Although all of the foregoing models are capable of describing the essential features of DHC, they are highly idealized and in need of further refinement. (author)

  13. GaN epitaxial layers grown on multilayer graphene by MOCVD

    Science.gov (United States)

    Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe

    2018-04-01

    In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.

  14. Mechanical properties and fracture of titanium hydrides

    International Nuclear Information System (INIS)

    Koketsu, Hideyuki; Taniyama, Yoshihiro; Yonezu, Akio; Cho, Hideo; Ogawa, Takeshi; Takemoto, Mikio; Nakayama, Gen

    2006-01-01

    Titanium hydrides tend to suffer fracture when their thicknesses reach a critical thickness. Morphology and mechanical property of the hydrides are, however, not well known. The study aims to reveal the hydride morphology and fracture types of the hydrides. Chevron shaped plate hydrides were found to be produced on the surface of pure titanium (Grade 1) and Grade 7 titanium absorbing hydrogen. There were tree types of fracture of the hydrides, i.e., crack in hydride layer, exfoliation of the layer and shear-type fracture of the hydride plates, during the growth of the hydrides and deformation. We next estimated the true stress-strain curves of the hydrides on Grade 1 and 7 titanium using the dual Vickers indentation method, and the critical strain causing the Mode-I fine crack by indentation. Fracture strength and strain of the hydrides in Grade 1 titanium were estimated as 566 MPa and 4.5%, respectively. Those of the hydride in Grade 7 titanium were 498 MPa and 16%. Though the fracture strains estimated from the plastic instability of true stress-strain curves were approximately the half of those estimated by finite element method, the titanium hydrides were estimated to possess some extent of toughness or plastic deformation capability. (author)

  15. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    Science.gov (United States)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  16. The effect of stress state on zirconium hydride reorientation

    Science.gov (United States)

    Cinbiz, Mahmut Nedim

    correlating the finite element stress-state results with the spatial distribution of hydride microstructures observed within the optical micrographs for each sample. Experiments showed that the hydride reorientation was enhanced as the stress biaxiality increased. The threshold stress decreased from 150 MPa to 80 MPa when stress biaxiality ratio increased from uniaxial tension to near-equibiaxial tension. This behavior was also predicted by classical nucleation theory based on the Gibbs free energy of transformation being assisted by the far-field stress. An analysis of in situ X-ray diffraction data obtained during a thermo-mechanical cycle typical of vacuum drying showed a complex lattice-spacing behavior of the hydride phase during the dissolution and precipitation. The in-plane hydrides showed bilinear lattice expansion during heating with the intrinsic thermal expansion rate of the hydrides being observed only at elevated temperatures as they dissolve. For radial hydrides that precipitate during cooling under stress, the spacing of the close-packed {111} planes oriented normal to the maximum applied stress was permanently higher than the corresponding {111} plane spacing in the other directions. This behavior is believed to be a result of a complex stress state within the precipitating plate-like hydrides that induces a strain component within the hydrides normal to its "plate" face (i.e., the applied stress direction) that exceeds the lattice spacing strains in the other directions. During heat-up, the lattice spacing of these same "plate" planes actually contract due to the reversion of the stress state within the plate-like hydrides as they dissolve. The presence of radial hydrides and their connectivity with in-plane hydrides was shown to increase the ductile-to-brittle transition temperature during tensile testing. This behavior can be understood in terms of the role of radial hydrides in promoting the initiation of a long crack that subsequently propagates under

  17. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  18. Experimental-statistical model of liquid-phase epitaxy for InP/InGaAsP/InP heterostructures

    International Nuclear Information System (INIS)

    Vasil'ev, M.G.; Selin, A.A.; Shelyakin, A.A.

    1985-01-01

    A mathematic model of the process of liquid-phase epitaxy for double InP/InGaAsP/InP heterostructures is constructed using statistical methods of experiment planning. The analysis of the model shows that the degree of In-P system melt supercooling affects considerably the characteristics of double heterostructures

  19. Fundamental experiments on hydride reorientation in zircaloy

    Science.gov (United States)

    Colas, Kimberly B.

    In the current study, an in-situ X-ray diffraction technique using synchrotron radiation was used to follow directly the kinetics of hydride dissolution and precipitation during thermomechanical cycles. This technique was combined with conventional microscopy (optical, SEM and TEM) to gain an overall understanding of the process of hydride reorientation. Thus this part of the study emphasized the time-dependent nature of the process, studying large volume of hydrides in the material. In addition, a micro-diffraction technique was also used to study the spatial distribution of hydrides near stress concentrations. This part of the study emphasized the spatial variation of hydride characteristics such as strain and morphology. Hydrided samples in the shape of tensile dog-bones were used in the time-dependent part of the study. Compact tension specimens were used during the spatial dependence part of the study. The hydride elastic strains from peak shift and size and strain broadening were studied as a function of time for precipitating hydrides. The hydrides precipitate in a very compressed state of stress, as measured by the shift in lattice spacing. As precipitation proceeds the average shift decreases, indicating average stress is reduced, likely due to plastic deformation and morphology changes. When nucleation ends the hydrides follow the zirconium matrix thermal contraction. When stress is applied below the threshold stress for reorientation, hydrides first nucleate in a very compressed state similar to that of unstressed hydrides. After reducing the average strain similarly to unstressed hydrides, the average hydride strain reaches a constant value during cool-down to room temperature. This could be due to a greater ease of deforming the matrix due to the applied far-field strain which would compensate for the strains due to thermal contraction. Finally when hydrides reorient, the average hydride strains become tensile during the first precipitation regime and

  20. Vaporization thermodynamics of Pd-rich intermediate phases in the Pd–Yb system

    Energy Technology Data Exchange (ETDEWEB)

    Ciccioli, A., E-mail: andrea.ciccioli@uniroma1.it [Dipartimento di Chimica, Sapienza Università di Roma, p.le Aldo Moro 5, 00185 Roma (Italy); Balducci, G.; Gigli, G. [Dipartimento di Chimica, Sapienza Università di Roma, p.le Aldo Moro 5, 00185 Roma (Italy); Provino, A. [Dipartimento di Chimica e Chimica Industriale, Università degli Studi di Genova, via Dodecaneso 31, 16146 Genova (Italy); Istituto SPIN-CNR, Corso Perrone 24, 16152 Genova (Italy); Palenzona, A. [Dipartimento di Chimica e Chimica Industriale, Università degli Studi di Genova, via Dodecaneso 31, 16146 Genova (Italy); Manfrinetti, P. [Dipartimento di Chimica e Chimica Industriale, Università degli Studi di Genova, via Dodecaneso 31, 16146 Genova (Italy); Istituto SPIN-CNR, Corso Perrone 24, 16152 Genova (Italy)

    2016-02-20

    Highlights: • Vaporization equilibria of Pd–Yb intermediate phases investigated by effusion techniques. • Heats of formation of Pd–Yb compounds determined from decomposition/atomization enthalpies. • Phase diagram of the Pd–Yb system re-drawn. • Influence of the Yb valence state on the thermodynamic properties observed. - Abstract: The vaporization thermodynamics of several intermediate phases in the Pd–Yb system was investigated by means of vaporization experiments performed under Knudsen conditions (KEML, Knudsen Effusion Mass Loss). The following thermal decomposition processes were studied in the overall temperature range 819–1240 K and their enthalpy changes determined: 4 PdYb(s) = Pd{sub 4}Yb{sub 3}(s) + Yb(g); 5/3 Pd{sub 4}Yb{sub 3}(s) = 4/3 Pd{sub 5}Yb{sub 3}(s) + Yb(g); 21/13 Pd{sub 5}Yb{sub 3}(s) = 5/13 Pd{sub 21}Yb{sub 10}(s) + Yb(g); 1/3 Pd{sub 21}Yb{sub 10}(s) = 21/9 Pd{sub 3}Yb(s) + Yb(g). Additional measurements were performed by KEMS (Knudsen Effusion Mass Spectrometry) on a Pd-rich two-phase sample, which allowed to detect both Yb(g) and Pd(g) in the vapor phase and to determine the atomization enthalpy of the Pd{sub 3}Yb phase (Pd-rich composition boundary, Pd{sub 3.08}Yb{sub 0.92}): Pd{sub 3.08}Yb{sub 0.92}(s) = 0.92 Yb(g) + 3.08 Pd(g). The enthalpy of formation of this compound was thereafter determined as −68 ± 2 kJ/mol at. and, by combining this value with the decomposition enthalpies derived by KEML, the enthalpies of formation of the studied Pd–Yb intermediate phases were evaluated (kJ/mol at.): −75 ± 4 (Pd{sub 21}Yb{sub 10}), −75 ± 3 (Pd{sub 5}Yb{sub 3}), −73 ± 3 (Pd{sub 4}Yb{sub 3}), and −66 ± 3 (PdYb). A modified version of the Pd–Yb phase diagram is also reported, re-drawn on the basis of literature data and of new experimental information recently become available.

  1. A Study on the Radial Hydride Assisted Delayed Hydride Cracking of Zircaloy

    Energy Technology Data Exchange (ETDEWEB)

    Jeong, Jin-Ho; Lee, Ji-Min; Kim, Yong-Soo [Hanyang University, Seoul (Korea, Republic of)

    2015-05-15

    Extensive studies have been done on understanding of DHC(Delayed hydride cracking) phenomenon since several zirconium alloy pressure tubes failed in nuclear reactor in the 1970s. Recently, long-term dry storage strategy has been considered seriously in order to manage spent nuclear fuel in Korea and other countries around the world. Consequentially, many researches have been investigated the degradation mechanisms which will threaten the spent fuel integrity during dry storage and showed that hydrogen related phenomenon such as hydride reorientation and DHC are the critical factors. Especially, DHC is the direct cracking mechanism which can cause not only a through-wall defect but also a radiation leak to the environment. In addition, DHC can be enhanced by radial hydride as reported by Kim who demonstrate that radial hydrides clearly act as crack linkage path. This phenomenon is known as the radial hydride assisted DHC (RHA-DHC). Therefore, study on DHC is essential to ensure the safety of spent fuel. Finite element analysis will be carried out for the stress gradient evaluation around notch tip. A variation in thermal cycle which leads to change in hydrogen solid solution trajectory may be required. If the radial hydride precipitates at notch tip, we will investigate what conditions should be met. Ultimately, we will suggest the regulation criteria for long-term dry storage of spent nuclear fuel.

  2. Development of III-nitride semiconductors by molecular beam epitaxy and cluster beam epitaxy and fabrication of LEDs based on indium gallium nitride MQWs

    Science.gov (United States)

    Chen, Tai-Chou Papo

    high temperatures (800˜1050°C) in order to increase the solubility of nitrogen into the free Al on the surface of the growing film. The films were found to have smooth surface morphology with narrow on-axis X-ray diffraction (XRD) rocking curves and relatively broad off-axis XRD rocking curves attributed to the lack of a buffer layer during the film growth. The device aspect of this work involves the material formation and the device fabrication of Indium Gallium Nitride (InGaN) based LEDs on textured GaN templates produced spontaneously by either hydride vapor phase epitaxy (HVPE) or using a method of natural lithography and reactive ion etching. This part of the work includes the film deposition and characterization of InGaNJGaN quantum wells on smooth and textured GaN template.

  3. Surface roughening of undoped and in situ B-doped SiGe epitaxial layers deposited by using reduced pressure chemical vapor deposition

    Science.gov (United States)

    Kim, Youngmo; Park, Jiwoo; Sohn, Hyunchul

    2018-01-01

    Si1- x Ge x (:B) epitaxial layers were deposited by using reduced pressure chemical vapor deposition with SiH4, GeH4, and B2H6 source gases, and the dependences of the surface roughness of undoped Si1- x Ge x on the GeH4 flow rate and of Si1- x Ge x :B on the B2H6 flow rate were investigated. The root-mean-square (RMS) roughness value of the undoped Si1- x Ge x at constant thickness increased gradually with increasing Ge composition, resulting from an increase in the amplitude of the wavy surface before defect formation. At higher Ge compositions, the residual strain in Si1- x Ge x significantly decreased through the formation of defects along with an abrupt increase in the RMS roughness. The variation of the surface roughness of Si1- x Ge x :B depended on the boron (B) concentration. At low B concentrations, the RMS roughness of Si1- x Ge x remained constant regardless of Ge composition, which is similar to that of undoped Si1- x Ge x . However, at high B concentrations, the RMS roughness of Si1- x Ge x :B increased greatly due to B islanding. In addition, at very high B concentrations ( 9.9 at%), the RMS roughness of Si1- x Ge x :B decreased due to non-epitaxial growth.

  4. Optimization Problem of Thermal Field on Surface of Revolving Susceptor in Vapor-Phase Epitaxy Reactor

    Science.gov (United States)

    Zhilenkov, A. A.; Chernyi, S. G.; Nyrkov, A. P.; Sokolov, S. S.

    2017-10-01

    Nitrides of group III elements are a very suitable basis for deriving light-emitting devices with the radiating modes lengths of 200-600 nm. The use of such semiconductors allows obtaining full-color RGB light sources, increasing record density of a digital data storage device, getting high-capacity and efficient sources of white light. Electronic properties of such semi-conductors allow using them as a basis for high-power and high-frequency transistors and other electronic devices, the specifications of which are competitive with those of SiC-based devices. Only since 2000, the technology of cultivation of crystals III-N of group has come to the level of wide recognition by both abstract science, and the industry that has led to the creation of the multi-billion dollar market. And this is despite a rather low level of development of the production technology of devices on the basis of III-N of materials. The progress that has happened in the last decade requires the solution of the main problem, constraining further development of this technology today - ensuring cultivation of III-N structures of necessary quality. For this purpose, it is necessary to solve problems of the analysis and optimization of processes in installations of epitaxial growth, and, as a result, optimization of its constructions.

  5. Hydrogen storage in metallic hydrides: the hydrides of magnesium-nickel alloys

    International Nuclear Information System (INIS)

    Silva, E.P. da.

    1981-01-01

    The massive and common use of hydrogen as an energy carrier requires an adequate solution to the problem of storing it. High pressure or low temperatures are not entirely satisfactory, having each a limited range of applications. Reversible metal hydrides cover a range of applications intermediate to high pressure gas and low temperature liquid hydrogen, retaining very favorable safety and energy density characteristics, both for mobile and stationary applications. This work demonstrates the technical viability of storing hydrogen in metal hydrides of magnesium-nickel alloys. Also, it shows that technology, a product of science, can be generated within an academic environment, of the goal is clear, the demand outstanding and the means available. We review briefly theoretical models relating to metal hydride properties, specially the thermodynamics properties relevant to this work. We report our experimental results on hydrides of magnesium-nickel alloys of various compositions including data on structure, hydrogen storage capacities, reaction kinetics, pressure-composition isotherms. We selected a promising alloy for mass production, built and tested a modular storage tank based on the hydrides of the alloy, with a capacity for storing 10 Nm sup(3) of hydrogen of 1 atm and 20 sup(0)C. The tank weighs 46,3 Kg and has a volume of 21 l. (author)

  6. Effects of gas phase impurities on the topochemical-kinetic behaviour of uranium hydride development

    International Nuclear Information System (INIS)

    Bloch, J.; Brami, D.; Kremner, A.; Mintz, M.H.; Ben-Gurion Univ. of the Negev, Beersheba

    1988-01-01

    The hydriding kinetics of bulk uranium and U-0.1 wt.% Cr, in the presence of oxidizing gaseous impurities (oxygen and CO), were studied by combined rate measurements and metallographic examinations of partially reacted samples. The effect of the gaseous impurity (type and concentration) was examined metallographically, and the kinetic data were discussed in relation to these examinations. Below about 100 0 C the reaction of uranium with pure hydrogen consists of the following sequence of steps: (i) Surface nucleation; (ii) homogeneous growth (pitting); (iii) relatively fast lateral growth leading to the formation of a reaction front which penetrates into the sample at a constant rate. The effects of oxygen and CO on the hydriding kinetics were related to their abilities to block hydrogen penetration into the uranium. Thus, it was found that oxygen affects only the penetration through the oxide layer, whereas CO affects the penetration through both the oxide and hydride layers. (orig.)

  7. Raman scattering temperature measurements for water vapor in nonequilibrium dispersed two-phase flow

    International Nuclear Information System (INIS)

    Anastasia, C.M.; Neti, S.; Smith, W.R.; Chen, J.C.

    1982-09-01

    The objective of this investigation was to determine the feasibility of using Raman scattering as a nonintrusive technique to measure vapor temperatures in dispersed two-phase flow. The Raman system developed for this investigation is described, including alignment of optics and optimization of the photodetector for photon pulse counting. Experimentally obtained Raman spectra are presented for the following single- and two-phase samples: liquid water, atmospheric nitrogen, superheated steam, nitrogen and water droplets in a high void fraction air/water mist, and superheated water vapor in nonequilibrium dispersed flow

  8. gamma-Zr-Hydride Precipitate in Irradiated Massive delta- Zr-Hydride

    DEFF Research Database (Denmark)

    Warren, M. R.; Bhattacharya, D. K.

    1975-01-01

    During examination of A Zircaloy-2-clad fuel pin, which had been part of a test fuel assembly in a boiling water reactor, several regions of severe internal hydriding were noticed in the upper-plenum end of the pin. Examination of similar fuel pins has shown that hydride of this type is caused by...... to irradiation-induced swelling....

  9. Tritium removal using vanadium hydride

    International Nuclear Information System (INIS)

    Hill, F.B.; Wong, Y.W.; Chan, Y.N.

    1978-01-01

    The results of an initial examination of the feasibility of separation of tritium from gaseous protium-tritium mixtures using vanadium hydride in cyclic processes is reported. Interest was drawn to the vanadium-hydrogen system because of the so-called inverse isotope effect exhibited by this system. Thus the tritide is more stable than the protide, a fact which makes the system attractive for removal of tritium from a mixture in which the light isotope predominates. The initial results of three phases of the research program are reported, dealing with studies of the equilibrium and kinetics properties of isotope exchange, development of an equilibrium theory of isotope separation via heatless adsorption, and experiments on the performance of a single heatless adsorption stage. In the equilibrium and kinetics studies, measurements were made of pressure-composition isotherms, the HT--H 2 separation factors and rates of HT--H 2 exchange. This information was used to evaluate constants in the theory and to understand the performance of the heatless adsorption experiments. A recently developed equilibrium theory of heatless adsorption was applied to the HT--H 2 separation using vanadium hydride. Using the theory it was predicted that no separation would occur by pressure cycling wholly within the β phase but that separation would occur by cycling between the β and γ phases and using high purge-to-feed ratios. Heatless adsorption experiments conducted within the β phase led to inverse separations rather than no separation. A kinetic isotope effect may be responsible. Cycling between the β and γ phases led to separation but not to the predicted complete removal of HT from the product stream, possibly because of finite rates of exchange. Further experimental and theoretical work is suggested which may ultimately make possible assessment of the feasibility and practicability of hydrogen isotope separation by this approach

  10. Growth of pseudomorphic structures through organic epitaxy

    International Nuclear Information System (INIS)

    Kaviyil, Sreejith Embekkat; Sassella, Adele; Borghesi, Alessandro; Campione, Marcello; Su Genbo; He Youping; Chen Chenjia

    2012-01-01

    The control of molecular orientation in thin solid film phases of organic semiconductors is a basic factor for the exploitation of their physical properties for optoelectronic devices. We compare structural and optical properties of thin films of the organic semiconductor α-quarterthiophene grown by molecular beam epitaxy on different organic substrates. We show how epitactic interactions, characteristic of the surface of organic crystals, can drive the orientation of the crystalline overlayer and the selection of specific polymorphs and new pseudomorphic phases. We identify a key role in this phenomenon played by the marked groove-like corrugations present in some organic crystal surfaces. Since different polymorphs possess rather different performance in terms of, e.g., charge carrier mobility, this strategy is demonstrated to allow for the growth of oriented phases with enhanced physical properties, while keeping the substrate at room temperature. These results provide useful guidelines for the design of technological substrates for organic epitaxy and they substantiate the adoption of an organic epitaxy approach for the fabrication of optoelectronic devices based on thin films of organic semiconductors.

  11. The influence of liquid/vapor phase change onto the Nusselt number

    Science.gov (United States)

    Popescu, Elena-Roxana; Colin, Catherine; Tanguy, Sebastien

    2017-11-01

    In spite of its significant interest in various fields, there is currently a very few information on how an external flow will modify the evaporation or the condensation of a liquid surface. Although most applications involve turbulent flows, the simpler configuration where a laminar superheated or subcooled vapor flow is shearing a saturated liquid interface has still never been solved. Based on a numerical approach, we propose to characterize the interaction between a laminar boundary layer of a superheated or subcooled vapor flow and a static liquid pool at saturation temperature. By performing a full set of simulations sweeping the parameters space, correlations are proposed for the first time on the Nusselt number depending on the dimensionless numbers that characterize both vaporization and condensation. As attended, the Nusselt number decreases or increases in the configurations involving respectively vaporization or condensation. More unexpected is the behaviour of the friction of the vapor flow on the liquid pool, for which we report that it is weakly affected by the phase change, despite the important variation of the local flow structure due to evaporation or condensation.

  12. Trends in heteroepitaxy of III-Vs on silicon for photonic and photovoltaic applications

    Science.gov (United States)

    Lourdudoss, Sebastian; Junesand, Carl; Kataria, Himanshu; Metaferia, Wondwosen; Omanakuttan, Giriprasanth; Sun, Yan-Ting; Wang, Zhechao; Olsson, Fredrik

    2017-02-01

    We present and compare the existing methods of heteroepitaxy of III-Vs on silicon and their trends. We focus on the epitaxial lateral overgrowth (ELOG) method as a means of achieving good quality III-Vs on silicon. Initially conducted primarily by near-equilibrium epitaxial methods such as liquid phase epitaxy and hydride vapour phase epitaxy, nowadays ELOG is being carried out even by non-equilibrium methods such as metal organic vapour phase epitaxy. In the ELOG method, the intermediate defective seed and the mask layers still exist between the laterally grown purer III-V layer and silicon. In a modified ELOG method called corrugated epitaxial lateral overgrowth (CELOG) method, it is possible to obtain direct interface between the III-V layer and silicon. In this presentation we exemplify some recent results obtained by these techniques. We assess the potentials of these methods along with the other existing methods for realizing truly monolithic photonic integration on silicon and III-V/Si heterojunction solar cells.

  13. Interaction of water vapor with erbium and erbium dideuteride films

    International Nuclear Information System (INIS)

    Holloway, D.M.; Swartz, W.E. Jr.

    1976-01-01

    The reaction of water vapor with erbium and erbium dideuteride thin films was studied by x-ray diffraction, mass spectrometry and Auger electron spectroscopy. The data indicate that significant reactions take place above 573 K forming both the hydride and the oxide. The data also indicate that isotopic displacement occurs. These are important considerations in hydrogen storage applications

  14. Silicon epitaxy on textured double layer porous silicon by LPCVD

    International Nuclear Information System (INIS)

    Cai Hong; Shen Honglie; Zhang Lei; Huang Haibin; Lu Linfeng; Tang Zhengxia; Shen Jiancang

    2010-01-01

    Epitaxial silicon thin film on textured double layer porous silicon (DLPS) was demonstrated. The textured DLPS was formed by electrochemical etching using two different current densities on the silicon wafer that are randomly textured with upright pyramids. Silicon thin films were then grown on the annealed DLPS, using low-pressure chemical vapor deposition (LPCVD). The reflectance of the DLPS and the grown silicon thin films were studied by a spectrophotometer. The crystallinity and topography of the grown silicon thin films were studied by Raman spectroscopy and SEM. The reflectance results show that the reflectance of the silicon wafer decreases from 24.7% to 11.7% after texturing, and after the deposition of silicon thin film the surface reflectance is about 13.8%. SEM images show that the epitaxial silicon film on textured DLPS exhibits random pyramids. The Raman spectrum peaks near 521 cm -1 have a width of 7.8 cm -1 , which reveals the high crystalline quality of the silicon epitaxy.

  15. Effects of δ-hydride precipitation at a crack tip on crack propagation in delayed hydride cracking of Zircaloy-2

    Energy Technology Data Exchange (ETDEWEB)

    Kubo, T., E-mail: kubo@nfd.co.jp [Nippon Nuclear Fuel Development Co., Ltd., 2163 Narita-cho, Oarai-machi, Ibaraki 311-1313 (Japan); Kobayashi, Y. [M.O.X. Co., Ltd., 1828-520 Hirasu-cho, Mito, Ibaraki 311-0853 (Japan)

    2013-08-15

    Highlights: • Steady state crack velocity of delayed hydride cracking in Zircaloy-2 was analyzed. • A large stress peak is induced at an end of hydride by volume expansion of hydride. • Hydrogen diffuses to the stress peak, thereby accelerating steady hydride growth. • Crack velocity was estimated from the calculated hydrogen flux into the stress peak. • There was good agreement between calculation results and experimental data. -- Abstract: Delayed hydride cracking (DHC) of Zircaloy-2 is one possible mechanism for the failure of boiling water reactor fuel rods in ramp tests at high burnup. Analyses were made for hydrogen diffusion around a crack tip to estimate the crack velocity of DHC in zirconium alloys, placing importance on effects of precipitation of δ-hydride. The stress distribution around the crack tip is significantly altered by precipitation of hydride, which was strictly analyzed using a finite element computer code. Then, stress-driven hydrogen diffusion under the altered stress distribution was analyzed by a differential method. Overlapping of external stress and hydride precipitation at a crack tip induces two stress peaks; one at a crack tip and the other at the front end of the hydride precipitate. Since the latter is larger than the former, more hydrogen diffuses to the front end of the hydride precipitate, thereby accelerating hydride growth compared with that in the absence of the hydride. These results indicated that, after hydride was formed in front of the crack tip, it grew almost steadily accompanying the interaction of hydrogen diffusion, hydride growth and the stress alteration by hydride precipitation. Finally, crack velocity was estimated from the calculated hydrogen flux into the crack tip as a function of temperature, stress intensity factor and material strength. There was qualitatively good agreement between calculation results and experimental data.

  16. A compensating point defect in carbon-doped GaN substrates studied with electron paramagnetic resonance spectroscopy

    Science.gov (United States)

    Willoughby, W. R.; Zvanut, M. E.; Paudel, Subash; Iwinska, M.; Sochacki, T.; Bockowski, M.

    2018-04-01

    Electron paramagnetic resonance (EPR) spectroscopy was used to investigate a type of point defect present in 1019 cm-3 carbon-doped GaN substrates grown by hydride vapor phase epitaxy. A broad, isotropic resonance at g ˜ 1.987 was observed at 3.5 K, and the EPR intensity increased with illumination at energies greater than 2.75 eV and decreased with photon energies greater than 0.95 eV. The latter is consistent with a deep level of 0.95 eV above the valence band maximum and implies that the associated defect likely participates in donor compensation. The ionization energy for this defect is close to the predicted value for the (-/0) transition level of CN and transition levels associated with Ga vacancies such as VGa and VGa-ON-2H.

  17. Merging Standard CVD Techniques for GaAs and Si Epitaxial Growth

    NARCIS (Netherlands)

    Sammak, A.; De Boer, W.; Van den Bogaard, A.; Nanver, L.K.

    2010-01-01

    A commercial Chemical Vapor Deposition (CVD) system, the ASMI Epsilon 2000 designed for Si and SiGe epitaxy, has, for the first time, been equipped for the growth of GaAs compounds in a manner that does not exclude the use of the system also for Si-based depositions. With the new system, intrinsic,

  18. Powder free PECVD epitaxial silicon by plasma pulsing or increasing the growth temperature

    Science.gov (United States)

    Chen, Wanghua; Maurice, Jean-Luc; Vanel, Jean-Charles; Cabarrocas, Pere Roca i.

    2018-06-01

    Crystalline silicon thin films are promising candidates for low cost and flexible photovoltaics. Among various synthesis techniques, epitaxial growth via low temperature plasma-enhanced chemical vapor deposition is an interesting choice because of two low temperature related benefits: low thermal budget and better doping profile control. However, increasing the growth rate is a tricky issue because the agglomeration of clusters required for epitaxy leads to powder formation in the plasma. In this work, we have measured precisely the time evolution of the self-bias voltage in silane/hydrogen plasmas at millisecond time scale, for different values of the direct-current bias voltage applied to the radio frequency (RF) electrode and growth temperatures. We demonstrate that the decisive factor to increase the epitaxial growth rate, i.e. the inhibition of the agglomeration of plasma-born clusters, can be obtained by decreasing the RF OFF time or increasing the growth temperature. The influence of these two parameters on the growth rate and epitaxial film quality is also presented.

  19. Modelling and numerical simulation of liquid-vapor phase transitions

    International Nuclear Information System (INIS)

    Caro, F.

    2004-11-01

    This work deals with the modelling and numerical simulation of liquid-vapor phase transition phenomena. The study is divided into two part: first we investigate phase transition phenomena with a Van Der Waals equation of state (non monotonic equation of state), then we adopt an alternative approach with two equations of state. In the first part, we study the classical viscous criteria for selecting weak solutions of the system used when the equation of state is non monotonic. Those criteria do not select physical solutions and therefore we focus a more recent criterion: the visco-capillary criterion. We use this criterion to exactly solve the Riemann problem (which imposes solving an algebraic scalar non linear equation). Unfortunately, this step is quite costly in term of CPU which prevent from using this method as a ground for building Godunov solvers. That is why we propose an alternative approach two equations of state. Using the least action principle, we propose a phase changing two-phase flow model which is based on the second thermodynamic principle. We shall then describe two equilibrium submodels issued from the relaxations processes when instantaneous equilibrium is assumed. Despite the weak hyperbolicity of the last sub-model, we propose stable numerical schemes based on a two-step strategy involving a convective step followed by a relaxation step. We show the ability of the system to simulate vapor bubbles nucleation. (author)

  20. Hydride effect on crack instability of Zircaloy cladding

    Energy Technology Data Exchange (ETDEWEB)

    Tseng, Che-Chung, E-mail: cctseng@iner.gov.tw [Institute of Nuclear Energy Research, No. 1000, Wunhua Road, Jiaan Village, Lungtan, Township, Taoyuan County 32546, Taiwan (China); Sun, Ming-Hung [Institute of Nuclear Energy Research, No. 1000, Wunhua Road, Jiaan Village, Lungtan, Township, Taoyuan County 32546, Taiwan (China); Chao, Ching-Kong [Department of Mechanical Engineering, National Taiwan University of Science and Technology, 43 Keelung Road, Section 4, Taipei 106, Taiwan (China)

    2014-04-01

    Highlights: • Radial hydrides near the crack tip had a significant effect on crack propagation. • For radial hydrides off the crack line vertically, the effect on crack propagation was notably reduced. • The longer hydride platelet resulted in a remarkable effect on crack propagation. • A long split in the radial hydride precipitate would enhance crack propagation. • The presence of circumferential hydride among radial hydrides may play an important role in crack propagation. - Abstract: A methodology was proposed to investigate the effect of hydride on the crack propagation in fuel cladding. The analysis was modeled based on an outside-in crack with radial hydrides located near its crack tip. The finite element method was used in the calculation; both stress intensity factor K{sub I} and J integral were applied to evaluate the crack stability. The parameters employed in the analysis included the location of radial hydride, hydride dimensions, number of hydrides, and the presence of circumferential hydride, etc. According to our study, the effective distance between a radial hydride and the assumed cladding surface crack for the enhancement of crack propagation proved to be no greater than 0.06 mm. For a hydride not on the crack line, it would induce a relatively minor effect on crack propagation if the vertical distance was beyond 0.05 mm. However, a longer hydride precipitate as well as double radial hydrides could have a remarkable effect on crack propagation. A combined effect of radial and circumferential hydrides was also discussed.

  1. Position-controlled epitaxial III-V nanowires on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M [Philips Research Laboratories, Professor Holstlaan 4, 5656 AA Eindhoven (Netherlands); Kavli Institute of NanoScience, Delft University of Technology, PO Box 5046, 2600 GA Delft (Netherlands)

    2006-06-14

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires.

  2. Position-controlled epitaxial III-V nanowires on silicon

    International Nuclear Information System (INIS)

    Roest, Aarnoud L; Verheijen, Marcel A; Wunnicke, Olaf; Serafin, Stacey; Wondergem, Harry; Bakkers, Erik P A M

    2006-01-01

    We show the epitaxial integration of III-V semiconductor nanowires with silicon technology. The wires are grown by the VLS mechanism with laser ablation as well as metal-organic vapour phase epitaxy. The hetero-epitaxial growth of the III-V nanowires on silicon was confirmed with x-ray diffraction pole figures and cross-sectional transmission electron microscopy. We show preliminary results of two-terminal electrical measurements of III-V nanowires grown on silicon. E-beam lithography was used to predefine the position of the nanowires

  3. Flow analysis-hydride generation-gas phase derivative molecular absorption spectrophotometric determination of antimony in antileishmanial drugs

    Directory of Open Access Journals (Sweden)

    Máximo Gallignani

    2009-01-01

    Full Text Available In the present work, the development of a method based on the coupling of flow analysis (FA, hydride generation (HG, and derivative molecular absorption spectrophotometry (D-EAM in gas phase (GP, is described in order to determine total antimony in antileishmanial products. Second derivative order (D²224nm of the absorption spectrum (190 - 300 nm is utilized as measurement criterion. Each one of the parameters involved in the development of the proposed method was examined and optimized. The utilization of the EAM in GP as detection system in a continuous mode instead of atomic absorption spectrometry represents the great potential of the analytic proposal.

  4. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    International Nuclear Information System (INIS)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman

    2016-01-01

    The synthesis of a 50 unit cell thick n = 4 Sr_n_+_1Ti_nO_3_n_+_1 (Sr_5Ti_4O_1_3) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO_2 layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO_2 layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO_3 perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  5. Photoconduction efficiencies and dynamics in GaN nanowires grown by chemical vapor deposition and molecular beam epitaxy: A comparison study

    International Nuclear Information System (INIS)

    Chen, R. S.; Tsai, H. Y.; Huang, Y. S.; Chen, Y. T.; Chen, L. C.; Chen, K. H.

    2012-01-01

    The normalized gains, which determines the intrinsic photoconduction (PC) efficiencies, have been defined and compared for the gallium nitride (GaN) nanowires (NWs) grown by chemical vapor deposition (CVD) and molecular beam epitaxy (MBE). By excluding the contributions of experimental parameters and under the same light intensity, the CVD-grown GaN NWs exhibit the normalized gain which is near two orders of magnitude higher than that of the MBE-ones. The temperature-dependent time-resolved photocurrent measurement further indicates that the higher photoconduction efficiency in the CVD-GaN NWs is originated from the longer carrier lifetime induced by the higher barrier height (φ B = 160 ± 30 mV) of surface band bending. In addition, the experimentally estimated barrier height at 20 ± 2 mV for the MBE-GaN NWs, which is much lower than the theoretical value, is inferred to be resulted from the lower density of charged surface states on the non-polar side walls.

  6. Topotactic Solid-State Metal Hydride Reductions of Sr2MnO4.

    Science.gov (United States)

    Hernden, Bradley C; Lussier, Joey A; Bieringer, Mario

    2015-05-04

    We report novel details regarding the reactivity and mechanism of the solid-state topotactic reduction of Sr2MnO4 using a series of solid-state metal hydrides. Comprehensive details describing the active reducing species are reported and comments on the reductive mechanism are provided, where it is shown that more than one electron is being donated by H(-). Commonly used solid-state hydrides LiH, NaH, and CaH2, were characterized in terms of reducing power. In addition the unexplored solid-state hydrides MgH2, SrH2, and BaH2 are evaluated as potential solid-state reductants and characterized in terms of their reductive reactivities. These 6 group I and II metal hydrides show the following trend in terms of reactivity: MgH2 < SrH2 < LiH ≈ CaH2 ≈ BaH2 < NaH. The order of the reductants are discussed in terms of metal electronegativity and bond strengths. NaH and the novel use of SrH2 allowed for targeted synthesis of reduced Sr2MnO(4-x) (0 ≤ x ≤ 0.37) phases. The enhanced control during synthesis demonstrated by this soft chemistry approach has allowed for a more comprehensive and systematic evaluation of Sr2MnO(4-x) phases than previously reported phases prepared by high temperature methods. Sr2MnO3.63(1) has for the first time been shown to be monoclinic by powder X-ray diffraction and the oxidative monoclinic to tetragonal transition occurs at 450 °C.

  7. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    reactions on the substrate surface, conductive, convective, inductive and radiative heat transfer, species transport and thereto-elastic stress distributions. Gas phase and surface reactions are studied thermodynamically and kinetically. Based on experimental results, detailed reaction mechanisms are proposed and the deposition rates are predicted. The deposition model proposed could be used for other experiments with similar operating conditions. Four different growth systems are presented in this thesis to discuss comprehensive transport phenomena in crystal growth from vapor. The first is the polysilicon bulk growth by modified Siemens technique in which a silicon tube is used as the starting material. The research effort has been focused on system design, geometric and operating parameters optimization, and heterogeneous and homogeneous silane pyrolysis analysis. The second is the GaN thin film growth by iodine vapor phase epitaxy technique. Heat and mass transport is studied analytically and numerically. Gas phase and surface reactions are analyzed thermodynamically and kinetically. Quasi-equilibrium and kinetic deposition models are developed to predict the growth rate. The third one is the AlN thin film growth by halide vapor phase epitaxy technique. The effects of gas phase and surface reactions on the crystal growth rate and deposition uniformity are studied. The last one is the AlN sublimation growth system. The research effort has been focused on the effect of thermal environment evolution on the crystal growth process. The thermoelastic stress formed in the as-grown AlN crystal is also calculated.

  8. Experimental investigation of strain, damage and failure of hydrided zircaloy-4 with various hydride orientations

    International Nuclear Information System (INIS)

    Racine, A; Catherine, C.S.; Cappelaere, C.; Bornert, M.; Caldemaison, D.

    2005-01-01

    This experimental investigation is devoted to the influence of the orientation of hydrides on the mechanical response of Zircaloy-4. Ring tensile tests are performed on unirradiated CWSR Zircaloy-4, charged with about 200 or 500wppm hydrogen. Hydrides are oriented either parallel ('tangential'), or perpendicular ('radial') to the circumferential tensile direction. Tangential hydrides are usually observed in cladding tubes, however, hydrides can be reoriented after cooling under stress to become radial and then trigger brittle behavior. In this investigation, we perform, 'macroscopic' or SEM in-situ tensile tests on smooth rings, at room temperature. We get the mechanical response of the material as a function of hydride orientation and hydrogen content and we investigate the deformation, damage and failure mechanisms. In both cases, digital image correlation techniques are used to estimate local and global strain distributions. The results lead to the following conclusions: neither the tensile stress-strain response nor the strain modes are affected by hydrogen content or hydride orientation, but the failure modes are. Indeed, only 200wppm radial hydrides embrittle Zy-4: sample fails in the elastic domain at about 350 MPa before strain bands could develop; whereas in other cases samples reach at least 750 MPa before failure, with ductile or brittle mode. (authors)

  9. Characteristics of hydride precipitation and reorientation in spent-fuel cladding

    International Nuclear Information System (INIS)

    Chung, H. M.; Strain, R. V.; Billone, M. C.

    2000-01-01

    The morphology, number density, orientation, distribution, and crystallographic aspects of Zr hydrides in Zircaloy fuel cladding play important roles in fuel performance during all phases before and after discharge from the reactor, i.e., during normal operation, transient and accident situations in the reactor, temporary storage in a dry cask, and permanent storage in a waste repository. In the past, partly because of experimental difficulties, hydriding behavior in irradiated fuel cladding has been investigated mostly by optical microscopy (OM). In the present study, fundamental metallurgical and crystallographic characteristics of hydride precipitation and reorientation were investigated on the microscopic level by combined techniques of OM and transmission electron and scanning electron microscopy (TEM and SEM) of spent-fuel claddings discharged from several boiling and pressurized water reactors (BWRs and PWRs). Defueled sections of standard and Zr-lined Zircaloy-2 fuel claddings, irradiated to fluences of ∼3.3 x 10 21 n cm -2 and ∼9.2 x 10 21 n cm -2 (E > 1 MeV), respectively, were obtained from spent fuel rods discharged from two BWRs. Sections of standard and low-tin Zircaloy-4 claddings, irradiated to fluences of ∼4.4 x 10 21 n cm -2 , ∼5.9 x 10 21 n cm -2 , and ∼9.6 x 10 21 n cm -2 (E > 1 MeV) in three PWRs, were also obtained. Microstructural characteristics of hydrides were analyzed in as-irradiated condition and after gas-pressurization-burst or expanding-mandrel tests at 292-325 C in Ar for some of the spent-fuel claddings. Analyses were also conducted of hydride habit plane, morphology, and reorientation characteristics on unirradiated Zircaloy-4 cladding that contained dense radial hydrides. Reoriented hydrides in the slowly cooled unirradiated cladding were produced by expanding-mandrel loading

  10. Rechargeable metal hydrides for spacecraft application

    Science.gov (United States)

    Perry, J. L.

    1988-01-01

    Storing hydrogen on board the Space Station presents both safety and logistics problems. Conventional storage using pressurized bottles requires large masses, pressures, and volumes to handle the hydrogen to be used in experiments in the U.S. Laboratory Module and residual hydrogen generated by the ECLSS. Rechargeable metal hydrides may be competitive with conventional storage techniques. The basic theory of hydride behavior is presented and the engineering properties of LaNi5 are discussed to gain a clear understanding of the potential of metal hydrides for handling spacecraft hydrogen resources. Applications to Space Station and the safety of metal hydrides are presented and compared to conventional hydride storage. This comparison indicates that metal hydrides may be safer and require lower pressures, less volume, and less mass to store an equivalent mass of hydrogen.

  11. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan; Lukose, Binit; Shekhah, Osama; Arslan, Hasan Kemal; Weidler, Peter; Gliemann, Hartmut; Brä se, Stefan; Grosjean, Sylvain; Godt, Adelheid; Feng, Xinliang; Mü llen, Klaus; Magdau, Ioan-Bogdan; Heine, Thomas; Wö ll, Christof

    2012-01-01

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  12. A novel series of isoreticular metal organic frameworks: Realizing metastable structures by liquid phase epitaxy

    KAUST Repository

    Liu, Jinxuan

    2012-12-04

    A novel class of metal organic frameworks (MOFs) has been synthesized from Cu-acetate and dicarboxylic acids using liquid phase epitaxy. The SURMOF-2 isoreticular series exhibits P4 symmetry, for the longest linker a channel-size of 3 3 nm2 is obtained, one of the largest values reported for any MOF so far. High quality, ab-initio electronic structure calculations confirm the stability of a regular packing of (Cu++) 2-carboxylate paddle-wheel planes with P4 symmetry and reveal, that the SURMOF-2 structures are in fact metastable, with a fairly large activation barrier for the transition to the bulk MOF-2 structures exhibiting a lower, twofold (P2 or C2) symmetry. The theoretical calculations also allow identifying the mechanism for the low-temperature epitaxial growth process and to explain, why a synthesis of this highly interesting, new class of high-symmetry, metastable MOFs is not possible using the conventional solvothermal process.

  13. Heterogeneous Two-Phase Pillars in Epitaxial NiFe 2 O 4 -LaFeO 3 Nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Comes, Ryan B. [Physical and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland WA 99352 USA; Department of Physics, Auburn University, Auburn AL 36849 USA; Perea, Daniel E. [Environmental Molecular Sciences Laboratory, Pacific Northwest National Laboratory, Richland WA 99352 USA; Spurgeon, Steven R. [Physical and Computational Sciences Directorate, Pacific Northwest National Laboratory, Richland WA 99352 USA

    2017-07-10

    Self-assembled epitaxial oxide nanocomposites have been explored for a wide range of applications, including multiferroic and magnetoelectric properties, plasmonics, and catalysis. These so-called “vertically aligned nanocomposites” form spontaneously during the deposition process when segregation into two phases is energetically favorable as compared to a solid solution. However, there has been surprisingly little work understanding the driving forces that govern the synthesis of these materials, which can include point defect energetics, surface diffusion, and interfacial energies. To explore these factors, La-Ni-Fe-O films have been synthesized by molecular beam epitaxy and it is shown that these phase segregate into spinel-perovskite nanocomposites. Using complementary scanning transmission electron microscopy and atom-probe tomography, the elemental composition of each phase is examined and found that Ni ions are exclusively found in the spinel phase. From correlative analysis, a model for the relative favorability of the Ni2+ and Ni3+ valences under the growth conditions is developed. It is shown that multidimensional characterization techniques provide previously unobserved insight into the growth process and complex driving forces for phase segregation.

  14. Hydriding failure in water reactor fuel elements

    International Nuclear Information System (INIS)

    Sah, D.N.; Ramadasan, E.; Unnikrishnan, K.

    1980-01-01

    Hydriding of the zircaloy cladding has been one of the important causes of failure in water reactor fuel elements. This report reviews the causes, the mechanisms and the methods for prevention of hydriding failure in zircaloy clad water reactor fuel elements. The different types of hydriding of zircaloy cladding have been classified. Various factors influencing zircaloy hydriding from internal and external sources in an operating fuel element have been brought out. The findings of post-irradiation examination of fuel elements from Indian reactors, with respect to clad hydriding and features of hydriding failure are included. (author)

  15. A computer model for hydride blister growth in zirconium alloys

    International Nuclear Information System (INIS)

    White, A.J.; Sawatzky, A.; Woo, C.H.

    1985-06-01

    The failure of a Zircaloy-2 pressure tube in the Pickering unit 2 reactor started at a series of zirconium hydride blisters on the outside of the pressure tube. These blisters resulted from the thermal diffusion of hydrogen to the cooler regions of the pressure tube. In this report the physics of thermal diffusion of hydrogen in zirconium is reviewed and a computer model for blister growth in two-dimensional Cartesian geometry is described. The model is used to show that the blister-growth rate in a two-phase zirconium/zirconium-hydride region does not depend on the initial hydrogen concentration nor on the hydrogen pick-up rate, and that for a fixed far-field temperature there is an optimum pressure-type/calandria-tube contact temperature for growing blisters. The model described here can also be used to study large-scale effects, such as hydrogen-depletion zones around hydride blisters

  16. Comparison of cryopreserved human sperm in vapor and liquid phases of liquid nitrogen: effect on motility parameters, morphology, and sperm function.

    Science.gov (United States)

    Punyatanasakchai, Piyaphan; Sophonsritsuk, Areephan; Weerakiet, Sawaek; Wansumrit, Surapee; Chompurat, Deonthip

    2008-11-01

    To compare the effects of cryopreserved sperm in vapor and liquid phases of liquid nitrogen on sperm motility, morphology, and sperm function. Experimental study. Andrology laboratory at Ramathibodi Hospital, Thailand. Thirty-eight semen samples with normal motility and sperm count were collected from 38 men who were either patients of an infertility clinic or had donated sperm for research. Each semen sample was divided into two aliquots. Samples were frozen with static-phase vapor cooling. One aliquot was plunged into liquid nitrogen (-196 degrees C), and the other was stored in vapor-phase nitrogen (-179 degrees C) for 3 days. Thawing was performed at room temperature. Motility was determined by using computer-assisted semen analysis, sperm morphology was determined by using eosin-methylene blue staining, and sperm function was determined by using a hemizona binding test. Most of the motility parameters of sperm stored in the vapor phase were not significantly different from those stored in the liquid phase of liquid nitrogen, except in amplitude of lateral head displacement. The percentages of normal sperm morphology in both vapor and liquid phases also were not significantly different. There was no significant difference in the number of bound sperm in hemizona between sperm cryopreserved in both vapor and liquid phases of liquid nitrogen. Cryopreservation of human sperm in a vapor phase of liquid nitrogen was comparable to cryopreservation in a liquid phase of liquid nitrogen.

  17. Preparation of beryllium hydride

    International Nuclear Information System (INIS)

    Roberts, C.B.

    1975-01-01

    A process is described for preparing beryllium hydride by the direct reaction of beryllium borohydride and aluminum hydride trimethylamine adduct. Volatile by-products and unreacted reactants are readily removed from the product mass by sublimation and/or evaporation. (U.S.)

  18. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  19. Nanostructured, complex hydride systems for hydrogen generation

    Directory of Open Access Journals (Sweden)

    Robert A. Varin

    2015-02-01

    Full Text Available Complex hydride systems for hydrogen (H2 generation for supplying fuel cells are being reviewed. In the first group, the hydride systems that are capable of generating H2 through a mechanical dehydrogenation phenomenon at the ambient temperature are discussed. There are few quite diverse systems in this group such as lithium alanate (LiAlH4 with the following additives: nanoiron (n-Fe, lithium amide (LiNH2 (a hydride/hydride system and manganese chloride MnCl2 (a hydride/halide system. Another hydride/hydride system consists of lithium amide (LiNH2 and magnesium hydride (MgH2, and finally, there is a LiBH4-FeCl2 (hydride/halide system. These hydride systems are capable of releasing from ~4 to 7 wt.% H2 at the ambient temperature during a reasonably short duration of ball milling. The second group encompasses systems that generate H2 at slightly elevated temperature (up to 100 °C. In this group lithium alanate (LiAlH4 ball milled with the nano-Fe and nano-TiN/TiC/ZrC additives is a prominent system that can relatively quickly generate up to 7 wt.% H2 at 100 °C. The other hydride is manganese borohydride (Mn(BH42 obtained by mechano-chemical activation synthesis (MCAS. In a ball milled (2LiBH4 + MnCl2 nanocomposite, Mn(BH42 co-existing with LiCl can desorb ~4.5 wt.% H2 at 100 °C within a reasonable duration of dehydrogenation. Practical application aspects of hydride systems for H2 generation/storage are also briefly discussed.

  20. Vapor phase carbonylation of dimethyl ether and methyl acetate with supported transition metal catalysts

    International Nuclear Information System (INIS)

    Shikada, T.; Fujimoto, K.; Tominaga, H.O.

    1986-01-01

    The synthesis of acetic acid (AcOH) from methanol (MeOH) and carbon monoxide has been performed industrially in the liquid phase using a rhodium complex catalyst and an iodide promoter. The selectivity to AcOH is more than 99% under mild conditions (175 0 C, 28 atm). The homogeneous rhodium catalyst has been also effective for the synthesis of acetic anhydride (Ac 2 O) by carbonylation of dimethyl ether (DME) or methyl acetate (AcOMe). However, rhodium is one of the most expensive metals and its proved reserves are quite limited. It is highly desired, therefore, to develop a new catalyst as a substitute for rhodium. The authors have already reported that nickel supported on active carbon exhibits an excellent activity for the vapor phase carbonylation of MeOh in the presence of iodide promoter and under moderately pressurized conditions. In addition, corrosive attack on reactors by iodide compounds is expected to be negligible in the vapor phase system. In the present work, vapor phase carbonylation of DME and AcOMe on nickel-active carbon (Ni/A.C.) and molybdenum-active carbon (Mo/A.C.) catalysts was studied

  1. Liquid and vapor phase fluids visualization using an exciplex chemical sensor

    International Nuclear Information System (INIS)

    Kim, Jong Uk; Kim, Guang Hoon; Kim, Chang Bum; Suk, Hyyong

    2001-01-01

    Two dimensional slices of the cross-sectional distributions of fuel images in the combustion chamber were visualized quantitatively using a laser-induced exciplex (excited state complex) fluorescence technique. A new exciplex visualization system consisting of 5%DMA (N, N-dimethylaniline) · 5%1, 4,6-TMN (trimethylnaphthalene) in 90% isooctane (2,2,4-trimethylpentane) fuel was employed. In this method, the vapor phase was tagged by the monomer fluorescence while the liquid phase was tracked by the red-shifted exciplex fluorescence with good spectral and spatial resolution. The direct calibration of the fluorescence intensity as a function of the fluorescing dopant concentrations then permitted the determination of quantitative concentration maps of liquid and vapor phases in the fuel. The 308 nm (XeCl) line of the excimer laser was used to excite the doped molecules in the fuel and the resulting fluorescence images were obtained with an ICCD detector as a function time. In this paper, the spectroscopy of the exciplex chemical sensors as well as the optical diagnostic method of the fluid distribution is discussed in detail.

  2. Finite size and Coulomb corrections: from nuclei to nuclear liquid vapor phase diagram

    International Nuclear Information System (INIS)

    Moretto, L.G.; Elliott, J.B.; Phair, L.

    2003-01-01

    In this paper we consider the problem of obtaining the infinite symmetric uncharged nuclear matter phase diagram from a thermal nuclear reaction. In the first part we shall consider the Coulomb interaction which, because of its long range makes the definition of phases problematic. This Coulomb effect seems truly devastating since it does not allow one to define nuclear phase transitions much above A ∼ 30. However there may be a solution to this difficulty. If we consider the emission of particles with a sizable charge, we notice that a large Coulomb barrier Bc is present. For T << Bc these channels may be considered effectively closed. Consequently the unbound channels may not play a role on a suitably short time scale. Then a phase transition may still be definable in an approximate way. In the second part of the article we shall deal with the finite size problem by means of a new method, the complement method, which shall permit a straightforward extrapolation to the infinite system. The complement approach consists of evaluating the change in free energy occurring when a particle or cluster is moved from one (finite) phase to another. In the case of a liquid drop in equilibrium with its vapor, this is done by extracting a vapor particle of any given size from the drop and evaluating the energy and entropy changes associated with both the vapor particle and the residual liquid drop (complement)

  3. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer

    International Nuclear Information System (INIS)

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-01-01

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO 2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO 2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO 2 /Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0–2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p–i–n nanocolumns were fabricated on SiO 2 /Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO 2 . (paper)

  4. Solid State Transmitters for Water Vapor and Ozone DIAL Systems, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — The focus of this Select Phase II program is to build and deliver laser components both for airborne water vapor and ozone DIAL systems. Specifically, Fibertek...

  5. Epitaxial strain-engineered self-assembly of magnetic nanostructures in FeRh thin films

    International Nuclear Information System (INIS)

    Witte, Ralf; Kruk, Robert; Molinari, Alan; Wang, Di; Brand, Richard A; Hahn, Horst; Schlabach, Sabine; Provenzano, Virgil

    2017-01-01

    In this paper we introduce an innovative bottom–up approach for engineering self-assembled magnetic nanostructures using epitaxial strain-induced twinning and phase separation. X-ray diffraction, 57 Fe Mössbauer spectroscopy, scanning tunneling microscopy, and transmission electron microscopy show that epitaxial films of a near-equiatomic FeRh alloy respond to the applied epitaxial strain by laterally splitting into two structural phases on the nanometer length scale. Most importantly, these two structural phases differ with respect to their magnetic properties, one being paramagnetic and the other ferromagnetic, thus leading to the formation of a patterned magnetic nanostructure. It is argued that the phase separation directly results from the different strain-dependence of the total energy of the two competing phases. This straightforward relation directly enables further tailoring and optimization of the nanostructures’ properties. (paper)

  6. Hydrogen absorption in epitaxial Nb-films. A STM-study; Wasserstoffabsorption in epitaktischen Niobschichten. Eine STM-Studie

    Energy Technology Data Exchange (ETDEWEB)

    Noerthemann, K.

    2006-07-01

    In this work the phase transition of the system Niobium Hydrogen in thin films was investigated. The epitaxial Niobium films were fabricated using ion sputtering on sapphire substrates. The changes due to the hydrogen loading were observed with the scanning tunnel microscope (STM). With this method it is possible to detect the changes in the nanometer scale. With help of theoretical models it was possible to establish volume changes through the measured surface data. This is possible due to the linear relationship between hydrogen concentration and volume expansion. Comparisons between experimental data and calculations, which were done using the 'finite element method', allows to establish that the hydride precipitates are of cylindrical form. Thereafter the time continuously measurements of nuclei formation and precipitations growth was investigated. The growth is described through a 'Johnson-Mehl-Avrami' kinetic. Whereas coherent precipitations at first stage were observed, afterwards at higher Hydrogen concentration these transformed to incoherent. This coherent - incoherent transition occur at precipitation sizes which shows a film thickness dependency. (orig.)

  7. Creating Ruddlesden-Popper phases by hybrid molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Haislmaier, Ryan C.; Stone, Greg; Alem, Nasim; Engel-Herbert, Roman, E-mail: rue2@psu.edu [Department of Materials Science and Engineering, The Pennsylvania State University, University Park, Pennsylvania 16802 (United States)

    2016-07-25

    The synthesis of a 50 unit cell thick n = 4 Sr{sub n+1}Ti{sub n}O{sub 3n+1} (Sr{sub 5}Ti{sub 4}O{sub 13}) Ruddlesden-Popper (RP) phase film is demonstrated by sequentially depositing SrO and TiO{sub 2} layers in an alternating fashion using hybrid molecular beam epitaxy (MBE), where Ti was supplied using titanium tetraisopropoxide (TTIP). A detailed calibration procedure is outlined for determining the shuttering times to deposit SrO and TiO{sub 2} layers with precise monolayer doses using in-situ reflection high energy electron diffraction (RHEED) as feedback. Using optimized Sr and TTIP shuttering times, a fully automated growth of the n = 4 RP phase was carried out over a period of >4.5 h. Very stable RHEED intensity oscillations were observed over the entire growth period. The structural characterization by X-ray diffraction and high resolution transmission electron microscopy revealed that a constant periodicity of four SrTiO{sub 3} perovskite unit cell blocks separating the double SrO rocksalt layer was maintained throughout the entire film thickness with a very little amount of planar faults oriented perpendicular to the growth front direction. These results illustrate that hybrid MBE is capable of layer-by-layer growth with atomic level precision and excellent flux stability.

  8. Cyclopentadiene-mediated hydride transfer from rhodium complexes.

    Science.gov (United States)

    Pitman, C L; Finster, O N L; Miller, A J M

    2016-07-12

    Attempts to generate a proposed rhodium hydride catalytic intermediate instead resulted in isolation of (Cp*H)Rh(bpy)Cl (1), a pentamethylcyclopentadiene complex, formed by C-H bond-forming reductive elimination from the fleeting rhodium hydride. The hydride transfer ability of diene 1 was explored through thermochemistry and hydride transfer reactions, including the reduction of NAD(+).

  9. Overview: Homogeneous nucleation from the vapor phase-The experimental science.

    Science.gov (United States)

    Wyslouzil, Barbara E; Wölk, Judith

    2016-12-07

    Homogeneous nucleation from the vapor phase has been a well-defined area of research for ∼120 yr. In this paper, we present an overview of the key experimental and theoretical developments that have made it possible to address some of the fundamental questions first delineated and investigated in C. T. R. Wilson's pioneering paper of 1897 [C. T. R. Wilson, Philos. Trans. R. Soc., A 189, 265-307 (1897)]. We review the principles behind the standard experimental techniques currently used to measure isothermal nucleation rates, and discuss the molecular level information that can be extracted from these measurements. We then highlight recent approaches that interrogate the vapor and intermediate clusters leading to particle formation, more directly.

  10. Air and metal hydride battery

    Energy Technology Data Exchange (ETDEWEB)

    Lampinen, M.; Noponen, T. [Helsinki Univ. of Technology, Otaniemi (Finland). Lab. of Applied Thermodynamics

    1998-12-31

    The main goal of the air and metal hydride battery project was to enhance the performance and manufacturing technology of both electrodes to such a degree that an air-metal hydride battery could become a commercially and technically competitive power source for electric vehicles. By the end of the project it was possible to demonstrate the very first prototype of the air-metal hydride battery at EV scale, achieving all the required design parameters. (orig.)

  11. Crystallization engineering as a route to epitaxial strain control

    Directory of Open Access Journals (Sweden)

    Andrew R. Akbashev

    2015-10-01

    Full Text Available The controlled synthesis of epitaxial thin films offers opportunities for tuning their functional properties via enabling or suppressing strain relaxation. Examining differences in the epitaxial crystallization of amorphous oxide films, we report on an alternate, low-temperature route for strain engineering. Thin films of amorphous Bi–Fe–O were grown on (001SrTiO3 and (001LaAlO3 substrates via atomic layer deposition. In situ X-ray diffraction and X-ray photoelectron spectroscopy studies of the crystallization of the amorphous films into the epitaxial (001BiFeO3 phase reveal distinct evolution profiles of crystallinity with temperature. While growth on (001SrTiO3 results in a coherently strained film, the same films obtained on (001LaAlO3 showed an unstrained, dislocation-rich interface, with an even lower temperature onset of the perovskite phase crystallization than in the case of (001SrTiO3. Our results demonstrate how the strain control in an epitaxial film can be accomplished via its crystallization from the amorphous state.

  12. Growth of Gold-assisted Gallium Arsenide Nanowires on Silicon Substrates via Molecular Beam Epitaxy

    Directory of Open Access Journals (Sweden)

    Ramon M. delos Santos

    2008-06-01

    Full Text Available Gallium arsenide nanowires were grown on silicon (100 substrates by what is called the vapor-liquid-solid (VLS growth mechanism using a molecular beam epitaxy (MBE system. Good quality nanowires with surface density of approximately 108 nanowires per square centimeter were produced by utilizing gold nanoparticles, with density of 1011 nanoparticles per square centimeter, as catalysts for nanowire growth. X-ray diffraction measurements, scanning electron microscopy, transmission electron microscopy and Raman spectroscopy revealed that the nanowires are epitaxially grown on the silicon substrates, are oriented along the [111] direction and have cubic zincblende structure.

  13. Determination of hydrogen in zirconium hydride and uranium-zirconium hydride by inert gas exraction-gravimetric method

    International Nuclear Information System (INIS)

    Hoshino, Akira; Iso, Shuichi

    1976-01-01

    An inert gas extraction-gravimetric method has been applied to the determination of hydrogen in zirconium hydride and uranium-zirconium hydride which are used as neutron moderator and fuel of nuclear safety research reactor (NSRR), respectively. The sample in a graphite-enclosed quartz crucible is heated inductively to 1200 0 C for 20 min in a helium stream. Hydrogen liberated from the sample is oxidized to water by copper(I) oxide-copper(II) oxide at 400 0 C, and the water is determined gravimetrically by absorption in anhydrone. The extraction curves of hydrogen for zirconium hydride and uranium-zirconium hydride samples are shown in Figs. 2 and 3. Hydrogen in the samples is extracted quantitatively by heating at (1000 -- 1250) 0 C for (10 -- 40) min. Recoveries of hydrogen in the case of zirconium hydride were examined as follows: a weighed zirconium rod (5 phi x 6 mm, hydrogen -5 Torr. After the chamber was filled with purified hydrogen to 200 Torr, the rod was heated to 400 0 C for 15 h, and again weighed to determine the increase in weight. Hydrogen in the rod was then determined by the proposed method. The results are in excellent agreement with the increase in weight as shown in Table 1. Analytical results of hydrogen in zirconium hydride samples and an uranium-zirconium hydride sample are shown in Table 2. (auth.)

  14. Luminescence of Y2O2S-Eu3+ and Ln2O2S-Tb3+ films grown by the method of photostimulated epitaxy

    International Nuclear Information System (INIS)

    Maksimovskij, S.N.; Sidorov, P.P.; Sluch, M.I.

    1990-01-01

    Study of luminescence of Y 2 O 2 S-Eu 3+ (1) and La 2 O 2 S-Tb 3+ (2) films, grown from vapor phase by photostimulated epitaxy method is carried out. Spectroscopic analysis data showed that films(1) spectra contain narrow lines, relating to C 3V symmetry centre, and wider lines, relating to C S symmetry centre. Films(2) possess intensive luminescence in green spectral region, but luminescence lines are wider due to higher number of defects. As to production of film luminescent screens the method is shown to be promising

  15. Aberration corrected STEM of defects in epitaxial n=4 Ruddlesden-Popper phase Can+1MnnO3n+1

    International Nuclear Information System (INIS)

    Wang, P; Bleloch, A L; Goodhew, P J; Yan, L; Niu, H J; Rosseinsky, M J; Chalker, P R

    2008-01-01

    Defects in Ruddlesden-Popper phase CaO·[(CaMnO 3 )] 4 epitaxial films grown on SrTiO 3 (001) by pulsed laser deposition have been investigated using high angle annular dark field imaging in an aberration-corrected STEM. The stacking faults perpendicular and parallel to the substrate formed during the growth are discussed in detail. The desired n = 4 RP phase is imaged and chemically analyzed at the atomic scale using electron energy loss spectroscopy.

  16. Hydride phase dissolution enthalpy in neutron irradiated Zircaloy-4

    International Nuclear Information System (INIS)

    Vizcaino, Pablo; Banchik, Abraham D.

    2003-01-01

    The differential calorimetric technique has been applied to measure the dissolution enthalpy, ΔH irrad δ→α , of zirconium hydrides precipitated in structural components removed from the Argentine Atucha 1 PHWR nuclear power plant after 10.3 EFPY. An average value of ΔH irrad δ→α = 5 kJ/mol H was obtained after the first calorimetric run. That value is seven times lower than the value of ΔH δ→α = 37.7 kJ/mol H recently determined in Zircaloy-4 specimens taken from similar unirradiated structural components using the same calorimetric technique, [1]. Post-irradiation thermal treatments gradually increase that low value towards the unirradiated value with increasing annealing temperature similar to that observed for TSSd irrad . Therefore the same H atom trapping mechanism during reactor operation already proposed to explain the evolution of TSSd irrad is also valid for Q irrad δ→α . As the ratio Q/ΔH is proportional to the number N H of H atoms precipitated as hydrides, the increment of Q irrad δ→α with the thermal treatment indicates that the value of N H also grows with the annealing reaching the value corresponding to the bulk H concentration when ΔH irrad δ→α ≅ 37 kJ/mol H. That is a direct indication that the post-irradiation thermal treatment releases the H atoms from their traps increasing the number of H atoms available to precipitate at the end of each calorimetric run and/or isothermal treatment. (author)

  17. Hydridation of Ti-6Al-4V

    International Nuclear Information System (INIS)

    Domizzi, G; Luppo, M.I; Ortiz, M; Vigna, G

    2004-01-01

    The production of Ti pieces or their alloys through powder metallurgy is an economical alternative that replaces the costly methods commonly used. The Ti-6AI-4V alloy is widely used in the aerospace, chemical and medical industries. The use of powder from the alloy instead of using more pure alloyed titanium powders, further simplifies the production process. The presence of V allows the phase β to stabilize at very low temperatures and both alloys alter the Ti-H equilibrium diagram. This work analyzes to what degree these effects influence the obtaining of powders from this alloy from that of hydridation and dehydridation. Although it has slower kinetics, powders can be produced in times similar to those found for grade 2 Ti since the distribution of hydrides in the sample is uniform and the material is fragile enough for concentrations of approximately 0.7 H/Ti (CW)

  18. Modifications of the hydriding kinetics of a metallic surface, using ion implantation

    International Nuclear Information System (INIS)

    Crusset, D.

    1992-10-01

    Uranium reacts with hydrogen to form an hydride: this reaction leads to the total destruction of the material. To modify the reactivity of an uranium surface towards hydrogen, ion implantation was selected, among surface treatments techniques. Four elements (carbon, nitrogen, oxygen, sulfur) were implanted to different doses. The results show a modification of the hydriding mechanism and a significant increase in the reaction induction times, notably at high implantation doses. Several techniques (SIMS, X-rays phases analysis and residual stresses determination) were used to characterize the samples and understand the different mechanisms involved

  19. Modeling the Non-Equilibrium Process of the Chemical Adsorption of Ammonia on GaN(0001) Reconstructed Surfaces Based on Steepest-Entropy-Ascent Quantum Thermodynamics

    OpenAIRE

    Kusaba, Akira; Li, Guanchen; von Spakovsky, Michael R.; Kangawa, Yoshihiro; Kakimoto, Koichi

    2017-01-01

    Clearly understanding elementary growth processes that depend on surface reconstruction is essential to controlling vapor-phase epitaxy more precisely. In this study, ammonia chemical adsorption on GaN(0001) reconstructed surfaces under metalorganic vapor phase epitaxy (MOVPE) conditions (3Ga-H and Nad-H + Ga-H on a 2 × 2 unit cell) is investigated using steepest-entropy-ascent quantum thermodynamics (SEAQT). SEAQT is a thermodynamic-ensemble based, first-principles framework that can predict...

  20. Investigation on orientation, epitaxial growth and microstructure of a-axis-, c-axis-, (103)/(110)- and (113)-oriented YBa2Cu3O7-δ films prepared on (001), (110) and (111) SrTiO3 single crystal substrates by spray atomizing and coprecipitating laser chemical vapor deposition

    Science.gov (United States)

    Zhao, Pei; Wang, Ying; Huang, Zhi liang; Mao, Yangwu; Xu, Yuan Lai

    2015-04-01

    a-axis-, c-axis-, (103)/(110)- and (113)-oriented YBa2Cu3O7-δ (YBCO) films were pareared by spray atomizing and coprecipitating laser chemical vapor deposition. The surface of the a-axis-oriented YBCO film consisted of rectangular needle-like grains whose in-plane epitaxial growth relationship was YBCO [100] // STO [001] (YBCO [001] // STO [100]), and that of the c-axis-oriented YBCO film consisted of dense flat surface with epitaxial growth relationship of YBCO [001] // STO [001] (YBCO [100] //STO [100]). For the (103)/(110)-oriented and (113)-oriented YBCO film, they showed wedge-shaped and triangle-shaped grains, with corresponding in-plane epitaxial growth relationship of YBCO [110] // STO [110] (YBCO [010] // STO [010]) and YBCO [100] // STO [100] (YBCO [113] // STO [111], respectively.

  1. Effect of Growth Pressure on Epitaxial Graphene Grown on 4H-SiC Substrates by Using Ethene Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Shuxian Cai

    2015-08-01

    Full Text Available The Si(0001 face and C(000-1 face dependences on growth pressure of epitaxial graphene (EG grown on 4H-SiC substrates by ethene chemical vapor deposition (CVD was studied using atomic force microscopy (AFM and micro-Raman spectroscopy (μ-Raman. AFM revealed that EGs on Si-faced substrates had clear stepped morphologies due to surface step bunching. However, This EG formation did not occur on C-faced substrates. It was shown by μ-Raman that the properties of EG on both polar faces were different. EGs on Si-faced substrates were relatively thinner and more uniform than on C-faced substrates at low growth pressure. On the other hand, D band related defects always appeared in EGs on Si-faced substrates, but they did not appear in EG on C-faced substrate at an appropriate growth pressure. This was due to the μ-Raman covering the step edges when measurements were performed on Si-faced substrates. The results of this study are useful for optimized growth of EG on polar surfaces of SiC substrates.

  2. Induced defects in neutron irradiated GaN single crystals

    International Nuclear Information System (INIS)

    Park, I. W.; Koh, E. K.; Kim, Y. M.; Choh, S. H.; Park, S. S.; Kim, B. G.; Sohn, J. M.

    2005-01-01

    The local structure of defects in undoped, Si-doped, and neutron irradiated free standing GaN bulk crystals, grown by hydride vapor phase epitaxy, has been investigated by employing Raman scattering and cathodoluminescence. The GaN samples were irradiated to a dose of 2 x 10 17 neutrons in an atomic reactor at Korea Atomic Energy Research Institute. There was no appreciable change in the Raman spectra for undoped GaN samples before and after neutron irradiation. However, a forbidden transition, A 1 (TO) mode, appeared for a neutron irradiated Si-doped GaN crystal. Cathodoluminescence spectrum for the neutron irradiated Si-doped GaN crystal became much more broadened than that for the unirradiated one. The experimental results reveal the generation of defects with locally deformed structure in the wurtzite Si-doped GaN single crystal

  3. High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.

    Science.gov (United States)

    Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng

    2018-02-16

    In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.

  4. Heteroepitaxy of orientation-patterned nonlinear optical materials

    Science.gov (United States)

    Tassev, Vladimir L.; Vangala, Shivashankar R.; Peterson, Rita D.; Snure, Michael

    2018-03-01

    We report some recent results on thick heteroepitaxial growth of GaP on GaAs substrates and on orientation-patterned (OP) GaAs templates conducted in a hot-wall horizontal quartz reactor for Hydride Vapor Phase Epitaxy. The growths on the plain substrates resulted in up to 500 μm thick GaP with smooth surface morphology (RMS following stages of growth, as well on the mechanisms of the strain relaxation from the lattice and thermal mismatch between layer and substrate. The efforts to accommodate the growing film to the foreign substrate by engineering an intermediate buffer layer were extended to thick growths of GaAsxP1-x ternary with the idea to combine in one material the best of the nonlinear properties of GaP and GaAs that are strictly relevant to the pursued applications.

  5. Liquid Phase Epitaxial Growth of Al-doped f-SiC for White Light-Emitting Diodes

    DEFF Research Database (Denmark)

    Tang, Kai; Ma, Xiang; can der Eijk, Casper

    efficiency, better light quality and longer lifespan, compared to the current yellow phosphor based white LEDs.Liquid phase epitaxy technology can yield a high crystalline quality in terms of structural perfection owing to the fact that it is a near equilibrium crystalline growth process. In addition....... The experimental results are presented and discussed. Since operational temperature of LPE growth is much lower than that currently used in physical vapour transport (PVT) process, it is expected to save the energy consumption for SiC crystal growth....

  6. Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane

    Science.gov (United States)

    Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu

    2018-03-01

    Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.

  7. Epitaxial Graphene: A New Material for Electronics

    Science.gov (United States)

    de Heer, Walt A.

    2007-10-01

    Graphene multilayers are grown epitaxially on single crystal silicon carbide. This system is composed of several graphene layers of which the first layer is electron doped due to the built-in electric field and the other layers are essentially undoped. Unlike graphite the charge carriers show Dirac particle properties (i.e. an anomalous Berry's phase, weak anti-localization and square root field dependence of the Landau level energies). Epitaxial graphene shows quasi-ballistic transport and long coherence lengths; properties that may persists above cryogenic temperatures. Paradoxically, in contrast to exfoliated graphene, the quantum Hall effect is not observed in high mobility epitaxial graphene. It appears that the effect is suppressed due to absence of localized states in the bulk of the material. Epitaxial graphene can be patterned using standard lithography methods and characterized using a wide array of techniques. These favorable features indicate that interconnected room temperature ballistic devices may be feasible for low dissipation high-speed nano-electronics.

  8. On-line electrochemically controlled in-tube solid phase microextraction of inorganic selenium followed by hydride generation atomic absorption spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Asiabi, Hamid [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Yamini, Yadollah, E-mail: yyamini@modares.ac.ir [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of); Seidi, Shahram [Department of Analytical Chemistry, Faculty of Chemistry, K.N. Toosi University of Technology, Tehran (Iran, Islamic Republic of); Shamsayei, Maryam; Safari, Meysam; Rezaei, Fatemeh [Department of Chemistry, Tarbiat Modares University, P.O. Box 14115-175, Tehran (Iran, Islamic Republic of)

    2016-05-30

    In this work, for the first time, a rapid, simple and sensitive microextraction procedure is demonstrated for the matrix separation, preconcentration and determination of inorganic selenium species in water samples using an electrochemically controlled in-tube solid phase microextraction (EC-in-tube SPME) followed by hydride generation atomic absorption spectrometry (HG-AAS). In this approach, in which EC-in-tube SPME and HG-AAS system were combined, the total analysis time, was decreased and the accuracy, repeatability and sensitivity were increased. In addition, to increases extraction efficiency, a novel nanostructured composite coating consisting of polypyrrole (PPy) doped with ethyleneglycol dimethacrylate (EGDMA) was prepared on the inner surface of a stainless-steel tube by a facile electrodeposition method. To evaluate the offered setup and the new PPy-EGDMA coating, it was used to extract inorganic selenium species in water samples. Extraction of inorganic selenium species was carried out by applying a positive potential through the inner surface of coated in-tube under flow conditions. Under the optimized conditions, selenium was detected in amounts as small as 4.0 parts per trillion. The method showed good linearity in the range of 0.012–200 ng mL{sup −1}, with coefficients of determination better than 0.9996. The intra- and inter-assay precisions (RSD%, n = 5) were in the range of 2.0–2.5% and 2.7–3.2%, respectively. The validated method was successfully applied for the analysis of inorganic selenium species in some water samples and satisfactory results were obtained. - Graphical abstract: An electrochemically controlled in-tube solid phase microextraction followed by hydride generation atomic absorption spectrometry was developed for extraction and determination ultra-trace amounts of Se in aqueous solutions. - Highlights: • A nanostructured composite coating consisting of PPy doped with EGDMA was prepared. • The coating was

  9. Blue-violet InGaN laser diodes grown on bulk GaN substrates by plasma-assisted molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Skierbiszewski, C.; Wasilewski, Z.R.; Siekacz, M.; Feduniewicz, A.; Perlin, P.; Wisniewski, P.; Borysiuk, J.; Grzegory, I.; Leszczynski, M.; Suski, T.; Porowski, S.

    2005-01-01

    We report on the InGaN multiquantum laser diodes (LDs) made by rf plasma-assisted molecular beam epitaxy (PAMBE). The laser operation at 408 nm is demonstrated at room temperature with pulsed current injections using 50 ns pulses at 0.25% duty cycle. The threshold current density and voltage for the LDs with cleaved uncoated mirrors are 12 kA/cm 2 (900 mA) and 9 V, respectively. High output power of 0.83 W is obtained during pulse operation at 3.6 A and 9.6 V bias with the slope efficiency of 0.35 W/A. The laser structures are deposited on the high-pressure-grown low dislocation bulk GaN substrates taking full advantage of the adlayer enhanced lateral diffusion channel for adatoms below the dynamic metallic cover. Our devices compare very favorably to the early laser diodes fabricated using the metalorganic vapor phase epitaxy technique, providing evidence that the relatively low growth temperatures used in this process pose no intrinsic limitations on the quality of the blue optoelectronic components that can be fabricated using PAMBE

  10. Metal hydride compositions and lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Young, Kwo; Nei, Jean

    2018-04-24

    Heterogeneous metal hydride (MH) compositions comprising a main region comprising a first metal hydride and a secondary region comprising one or more additional components selected from the group consisting of second metal hydrides, metals, metal alloys and further metal compounds are suitable as anode materials for lithium ion cells. The first metal hydride is for example MgH.sub.2. Methods for preparing the composition include coating, mechanical grinding, sintering, heat treatment and quenching techniques.

  11. Dependency of Delayed Hydride Crack Velocity on the Direction of an Approach to Test Temperatures in Zirconium Alloys

    International Nuclear Information System (INIS)

    Kim, Young Suk; Kim, Kang Soo; Im, Kyung Soo; Ahn, Sang Bok; Cheong, Yong Moo

    2005-01-01

    Recently, Kim proposed a new DHC model where a driving force for the DHC is a supersaturated hydrogen concentration as a result of a hysteresis of the terminal solid solubility (TSS) of hydrogen in zirconium alloys upon a heating and a cooling. This model was demonstrated to be valid through a model experiment where the prior plastic deformation facilitated nucleation of the reoriented hydrides, thus reducing the supersaturated hydrogen concentration at the plastic zone ahead of the crack tip and causing hydrogen to move to the crack tip from the bulk region. Thus, an approach to the test temperature by a cooling is required to create a supersaturation of hydrogen, which is a driving force for the DHC of zirconium alloys. However, despite the absence of the supersaturation of hydrogen due to an approach to the test temperature by a heating, DHC is observed to occur in zirconium alloys at the test temperatures below 180 .deg. C. As to this DHC phenomenon, Kim proposed that stress-induced transformation from γ-hydrides to δ-hydrides is likely to be a cause of this, based on Root's observation that the γ-hydride is a stable phase at temperatures lower than 180 .deg. C. In other words, the hydrides formed at the crack tip would be δ-hydrides due to the stressinduced transformation while the bulk region still maintains the initial hydride phase or γ-hydrides. It should be noted that Ambler has also assumed the crack tip hydrides to be δ-hydrides. When the δ-hydrides or ZrH1.66 are precipitated at the crack tip due to the transformation of the γ-hydrides or ZrH, the crack tip will have a decreased concentration of dissolved hydrogen in zirconium, considering the atomic ratio of hydrogen and zirconium in the γ- and δ-hydrides. In contrast, due to no stress-induced transformation of hydrides, the bulk region maintains the initial concentration of dissolved hydrogen. Hence, there develops a difference in the hydrogen concentration or .C between the bulk and the

  12. Dependency of Delayed Hydride Crack Velocity on the Direction of an Approach to Test Temperatures in Zirconium Alloys

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Young Suk; Kim, Kang Soo; Im, Kyung Soo; Ahn, Sang Bok; Cheong, Yong Moo [Korea Atomic Energy Research Institute, Taejon (Korea, Republic of)

    2005-07-01

    Recently, Kim proposed a new DHC model where a driving force for the DHC is a supersaturated hydrogen concentration as a result of a hysteresis of the terminal solid solubility (TSS) of hydrogen in zirconium alloys upon a heating and a cooling. This model was demonstrated to be valid through a model experiment where the prior plastic deformation facilitated nucleation of the reoriented hydrides, thus reducing the supersaturated hydrogen concentration at the plastic zone ahead of the crack tip and causing hydrogen to move to the crack tip from the bulk region. Thus, an approach to the test temperature by a cooling is required to create a supersaturation of hydrogen, which is a driving force for the DHC of zirconium alloys. However, despite the absence of the supersaturation of hydrogen due to an approach to the test temperature by a heating, DHC is observed to occur in zirconium alloys at the test temperatures below 180 .deg. C. As to this DHC phenomenon, Kim proposed that stress-induced transformation from {gamma}-hydrides to {delta}-hydrides is likely to be a cause of this, based on Root's observation that the {gamma}-hydride is a stable phase at temperatures lower than 180 .deg. C. In other words, the hydrides formed at the crack tip would be {delta}-hydrides due to the stressinduced transformation while the bulk region still maintains the initial hydride phase or {gamma}-hydrides. It should be noted that Ambler has also assumed the crack tip hydrides to be {delta}-hydrides. When the {delta}-hydrides or ZrH1.66 are precipitated at the crack tip due to the transformation of the {gamma}-hydrides or ZrH, the crack tip will have a decreased concentration of dissolved hydrogen in zirconium, considering the atomic ratio of hydrogen and zirconium in the {gamma}- and {delta}-hydrides. In contrast, due to no stress-induced transformation of hydrides, the bulk region maintains the initial concentration of dissolved hydrogen. Hence, there develops a difference in the

  13. Hydride formation on deformation twin in zirconium alloy

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Ju-Seong [Korea Atomic Energy Research Institute, 989-111 Daedeokdaero, Yuseong-gu, Daejeon, 305-353 (Korea, Republic of); Kim, Sung-Dae [Korea Institute of Material Science (KIMS), 797 Changwondaero, Changwon, Gyeongnam, 642-831 (Korea, Republic of); Yoon, Jonghun, E-mail: yooncsmd@gmail.com [Department of Mechanical Engineering, Hanyang University, 1271 Sa3-dong, Sangrok-gu, Ansan-si, Gyeonggi-do, 426-791 (Korea, Republic of)

    2016-12-15

    Hydrides deteriorate the mechanical properties of zirconium (Zr) alloys used in nuclear reactors. Intergranular hydrides that form along grain boundaries have been extensively studied due to their detrimental effects on cracking. However, it has been little concerns on formation of Zr hydrides correlated with deformation twins which is distinctive heterogeneous nucleation site in hexagonal close-packed metals. In this paper, the heterogeneous precipitation of Zr hydrides at the twin boundaries was visualized using transmission electron microscopy. It demonstrates that intragranular hydrides in the twinned region precipitates on the rotated habit plane by the twinning and intergranular hydrides precipitate along the coherent low energy twin boundaries independent of the conventional habit planes. Interestingly, dislocations around the twin boundaries play a substantial role in the nucleation of Zr hydrides by reducing the misfit strain energy.

  14. The barrier to misfit dislocation glide in continuous, strained, epitaxial layers on patterned substrates

    International Nuclear Information System (INIS)

    Watson, G.P.; Ast, D.G.; Anderson, T.J.; Pathangey, B.

    1993-01-01

    In a previous report [G. P. Watson, D. G. Ast, T. J. Anderson, and Y. Hayakawa, Appl. Phys. Lett. 58, 2517 (1991)] we demonstrated that the motion of misfit dislocations in InGaAs, grown by organometallic vapor phase epitaxy on patterned GaAs substrates, can be impeded even if the strained epitaxial layer is continuous. Trenches etched into GaAs before growth are known to act as a barrier to misfit dislocation propagation [E. A. Fitzgerald, G. P. Watson, R. E. Proano, D. G. Ast, P. D. Kirchner, G. D. Pettit, and J. M. Woodall, J. Appl. Phys. 65, 2220 (1989)] when those trenches create discontinuities in the epitaxial layers; but even shallow trenches, with continuous strained layers following the surface features, can act as barriers. By considering the strain energy required to change the length of the dislocation glide segments that stretch from the interface to the free surface, a simple model is developed that explains the major features of the unique blocking action observed at the trench edges. The trench wall angle is found to be an important parameter in determining whether or not a trench will block dislocation glide. The predicted blocking angles are consistent with observations made on continuous 300 and 600 nm thick In 0.04 Ga 0.96 As films on patterned GaAs. Based on the model, a structure is proposed that may be used as a filter to yield misfit dislocations with identical Burgers vectors or dislocations which slip in only one glide plane

  15. Vapor-phase infrared laser spectroscopy: from gas sensing to forensic urinalysis.

    Science.gov (United States)

    Bartlome, Richard; Rey, Julien M; Sigrist, Markus W

    2008-07-15

    Numerous gas-sensing devices are based on infrared laser spectroscopy. In this paper, the technique is further developed and, for the first time, applied to forensic urinalysis. For this purpose, a difference frequency generation laser was coupled to an in-house-built, high-temperature multipass cell (HTMC). The continuous tuning range of the laser was extended to 329 cm(-1) in the fingerprint C-H stretching region between 3 and 4 microm. The HTMC is a long-path absorption cell designed to withstand organic samples in the vapor phase (Bartlome, R.; Baer, M.; Sigrist, M. W. Rev. Sci. Instrum. 2007, 78, 013110). Quantitative measurements were taken on pure ephedrine and pseudoephedrine vapors. Despite featuring similarities, the vapor-phase infrared spectra of these diastereoisomers are clearly distinguishable with respect to a vibrational band centered at 2970.5 and 2980.1 cm(-1), respectively. Ephedrine-positive and pseudoephedrine-positive urine samples were prepared by means of liquid-liquid extraction and directly evaporated in the HTMC without any preliminary chromatographic separation. When 10 or 20 mL of ephedrine-positive human urine is prepared, the detection limit of ephedrine, prohibited in sports as of 10 microg/mL, is 50 or 25 microg/mL, respectively. The laser spectrometer has room for much improvement; its potential is discussed with respect to doping agents detection.

  16. Epitaxial Oxide Thin Films Grown by Solid Source Metal-Organic Chemical Vapor Deposition.

    Science.gov (United States)

    Lu, Zihong

    1995-01-01

    The conventional liquid source metal-organic chemical vapor deposition (MOCVD) technique is capable of producing large area, high quality, single crystal semiconductor films. However, the growth of complex oxide films by this method has been hampered by a lack of suitable source materials. While chemists have been actively searching for new source materials, the research work reported here has demonstrated the successful application of solid metal-organic sources (based on tetramethylheptanedionate) to the growth of high quality thin films of binary compound cerium dioxide (CeO_2), and two more complex materials, the ternary compound lithium niobate (LiNbO_3), with two cations, and the quaternary compound strontium barium niobate (SBN), with three cations. The growth of CeO_2 thin films on (1012)Al_2O_3 substrates has been used as a model to study the general growth behavior of oxides. Factors affecting deposition rate, surface morphology, out-of-plane mosaic structure, and film orientation have been carefully investigated. A kinetic model based on gas phase prereaction is proposed to account for the substrate temperature dependence of film orientation found in this system. Atomically smooth, single crystal quality cerium dioxide thin films have been obtained. Superconducting YBCO films sputtered on top of solid source MOCVD grown thin cerium dioxide buffer layers on sapphire have been shown to have physical properties as good as those of YBCO films grown on single crystal MgO substrates. The thin film growth of LiNbO_3 and Sr_{1-x}Ba _{x}Nb_2 O_6 (SBN) was more complex and challenging. Phase purity, transparency, in-plane orientation, and the ferroelectric polarity of LiNbO _3 films grown on sapphire substrates was investigated. The first optical quality, MOCVD grown LiNbO _3 films, having waveguiding losses of less than 2 dB/cm, were prepared. An important aspect of the SBN film growth studies involved finding a suitable single crystal substrate material. Mg

  17. Low-temperature liquid-phase epitaxy and optical waveguiding of rare-earth-ion-doped KY(WO4)2 thin layers

    NARCIS (Netherlands)

    Romanyuk, Y.E.; Utke, I.; Ehrentraut, D.; Apostolopoulos, V.; Pollnau, Markus; Garcia-Revilla, S.; Valiente, B.

    2004-01-01

    Crystalline $KY(WO_{4})_{2}$ thin layers doped with different rare-earth ions were grown on b-oriented, undoped $KY(WO_{4})_{2}$ substrates by liquid-phase epitaxy employing a low-temperature flux. The ternary chloride mixture of NaCl, KCl, and CsCl with a melting point of 480°C was used as a

  18. Organic vapor phase composition of sidestream and environmental tobacco smoke from cigarettes

    International Nuclear Information System (INIS)

    Higgins, C.E.; Jenkins, R.A.; Guerin, M.R.

    1987-01-01

    Environmental tobacco smoke (ETS) has received considerable attention because of its contribution to indoor air pollution. While some studies have attempted to estimate the exposure of humans to ETS constituents by extrapolating from information gleaned from investigations of sidestream smoke (SS), few studies have reported a direct comparison between the composition of SS and that of ETS. In the study reported here, the authors describe the relative compositional similarities and differences between the vapor phase of SS and that of ETS. SS was generated under different conditions. Both a new laminar flow chamber, which prevents significant alteration of the near-cigarette environment, and a modified Neurath chamber were used for SS generation. ETS samples were collected from an office environment. Vapor phase samples were collected on multi-media resin sorbent traps and analyzed using thermal desorption gas/liquid chromatography employing flame ionization, nitrogen-specific, and mass selective detection. Influences on the compositional profiles by the manner in which the SS is generated are described, as well as the differences between SS and ETS composition resulting from phase transition

  19. Manufacturing and investigation of U-Mo LEU fuel granules by hydride-dehydride processing

    International Nuclear Information System (INIS)

    Stetskiy, Y.A.; Trifonov, Y.I.; Mitrofanov, A.V.; Samarin, V.I.

    2002-01-01

    Investigations of hydride-dehydride processing for comminution of U-Mo alloys with Mo content in the range 1.9/9.2% have been performed. Some regularities of the process as a function of Mo content have been determined as well as some parameters elaborated. Hydride-dehydride processing has been shown to provide necessary phase and chemical compositions of U-Mo fuel granules to be used in disperse fuel elements for research reactors. Pin type disperse mini-fuel elements for irradiation tests in the loop of 'MIR' reactor (Dmitrovgrad) have been fabricated using U-Mo LEU fuel granules obtained by hydride-dehydride processing. Irradiation tests of these mini-fuel elements loaded to 4 g U tot /cm 3 are planned to start by the end of this year. (author)

  20. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Theoretical study of hydrogen storage in metal hydrides.

    Science.gov (United States)

    Oliveira, Alyson C M; Pavão, A C

    2018-05-04

    Adsorption, absorption and desorption energies and other properties of hydrogen storage in palladium and in the metal hydrides AlH 3 , MgH 2 , Mg(BH 4 ) 2 , Mg(BH 4 )(NH 2 ) and LiNH 2 were analyzed. The DFT calculations on cluster models show that, at a low concentration, the hydrogen atom remains adsorbed in a stable state near the palladium surface. By increasing the hydrogen concentration, the tetrahedral and the octahedral sites are sequentially occupied. In the α phase the tetrahedral site releases hydrogen more easily than at the octahedral sites, but the opposite occurs in the β phase. Among the hydrides, Mg(BH 4 ) 2 shows the highest values for both absorption and desorption energies. The absorption energy of LiNH 2 is higher than that of the palladium, but its desorption energy is too high, a recurrent problem of the materials that have been considered for hydrogen storage. The release of hydrogen, however, can be favored by using transition metals in the material structure, as demonstrated here by doping MgH 2 with 3d and 4d-transition metals to reduce the hydrogen atomic charge and the desorption energy.

  2. Draft of M2 Report on Integration of the Hybrid Hydride Model into INL's MBM Framework for Review

    Energy Technology Data Exchange (ETDEWEB)

    Tikare, Veena; Weck, Philippe F.; Schultz, Peter Andrew; Clark, Blythe; Glazoff, Michael V.; Homer, Eric R.

    2014-07-01

    This report documents the development, demonstration and validation of a mesoscale, microstructural evolution model for simulation of zirconium hydride {delta}-ZrH{sub 1.5} precipitation in the cladding of used nuclear fuels that may occur during long-term dry storage. While the Zr-based claddings are manufactured free of any hydrogen, they absorb hydrogen during service, in the reactor by a process commonly termed ‘hydrogen pick-up’. The precipitation and growth of zirconium hydrides during dry storage is one of the most likely fuel rod integrity failure mechanisms either by embrittlement or delayed hydride cracking of the cladding. While the phenomenon is well documented and identified as a potential key failure mechanism during long-term dry storage (NUREG/CR-7116), the ability to actually predict the formation of hydrides is poor. The model being documented in this work is a computational capability for the prediction of hydride formation in different claddings of used nuclear fuels. This work supports the Used Fuel Disposition Research and Development Campaign in assessing the structural engineering performance of the cladding during and after long-term dry storage. This document demonstrates a basic hydride precipitation model that is built on a recently developed hybrid Potts-phase field model that combines elements of Potts-Monte Carlo and the phase-field models. The model capabilities are demonstrated along with the incorporation of the starting microstructure, thermodynamics of the Zr-H system and the hydride formation mechanism.

  3. Vapor generation – atomic spectrometric techniques. Expanding frontiers through specific-species preconcentration. A review

    International Nuclear Information System (INIS)

    Gil, Raúl A.; Pacheco, Pablo H.; Cerutti, Soledad; Martinez, Luis D.

    2015-01-01

    This article reviews 120 articles found in SCOPUS and specific Journal cites corresponding to the terms ‘preconcentration’; ‘speciation’; ‘vapor generation techniques’ and ‘atomic spectrometry techniques’ in the last 5 years. - Highlights: • Recent advances in vapor generation and atomic spectrometry were reviewed. • Species-specific preconcentration strategies after and before VG were discussed. • New preconcentration and speciation analysis were evaluated within this framework. - Abstract: We review recent progress in preconcentration strategies associated to vapor generation techniques coupled to atomic spectrometric (VGT-AS) for specific chemical species detection. This discussion focuses on the central role of different preconcentration approaches, both before and after VG process. The former was based on the classical solid phase and liquid–liquid extraction procedures which, aided by automation and miniaturization strategies, have strengthened the role of VGT-AS in several research fields including environmental, clinical, and others. We then examine some of the new vapor trapping strategies (atom-trapping, hydride trapping, cryotrapping) that entail improvements in selectivity through interference elimination, but also they allow reaching ultra-low detection limits for a large number of chemical species generated in conventional VG systems, including complete separation of several species of the same element. This review covers more than 100 bibliographic references from 2009 up to date, found in SCOPUS database and in individual searches in specific journals. We finally conclude by giving some outlook on future directions of this field

  4. Vapor generation – atomic spectrometric techniques. Expanding frontiers through specific-species preconcentration. A review

    Energy Technology Data Exchange (ETDEWEB)

    Gil, Raúl A.; Pacheco, Pablo H.; Cerutti, Soledad [Área de Química Analítica, Facultad de Química Bioquímica y Farmacia, Universidad Nacional de San Luis, Ciudad de San Luis 5700 (Argentina); Instituto de Química de San Luis, INQUISAL, Centro Científico-Tecnológico de San Luis (CCT-San Luis), Consejo Nacional de Investigaciones Científicas y Universidad Nacional de San Luis, Ciudad de San Luis 5700 (Argentina); Martinez, Luis D., E-mail: ldm@unsl.edu.ar [Área de Química Analítica, Facultad de Química Bioquímica y Farmacia, Universidad Nacional de San Luis, Ciudad de San Luis 5700 (Argentina); Instituto de Química de San Luis, INQUISAL, Centro Científico-Tecnológico de San Luis (CCT-San Luis), Consejo Nacional de Investigaciones Científicas y Universidad Nacional de San Luis, Ciudad de San Luis 5700 (Argentina)

    2015-05-22

    This article reviews 120 articles found in SCOPUS and specific Journal cites corresponding to the terms ‘preconcentration’; ‘speciation’; ‘vapor generation techniques’ and ‘atomic spectrometry techniques’ in the last 5 years. - Highlights: • Recent advances in vapor generation and atomic spectrometry were reviewed. • Species-specific preconcentration strategies after and before VG were discussed. • New preconcentration and speciation analysis were evaluated within this framework. - Abstract: We review recent progress in preconcentration strategies associated to vapor generation techniques coupled to atomic spectrometric (VGT-AS) for specific chemical species detection. This discussion focuses on the central role of different preconcentration approaches, both before and after VG process. The former was based on the classical solid phase and liquid–liquid extraction procedures which, aided by automation and miniaturization strategies, have strengthened the role of VGT-AS in several research fields including environmental, clinical, and others. We then examine some of the new vapor trapping strategies (atom-trapping, hydride trapping, cryotrapping) that entail improvements in selectivity through interference elimination, but also they allow reaching ultra-low detection limits for a large number of chemical species generated in conventional VG systems, including complete separation of several species of the same element. This review covers more than 100 bibliographic references from 2009 up to date, found in SCOPUS database and in individual searches in specific journals. We finally conclude by giving some outlook on future directions of this field.

  5. Iron and intrinsic deep level states in Ga2O3

    Science.gov (United States)

    Ingebrigtsen, M. E.; Varley, J. B.; Kuznetsov, A. Yu.; Svensson, B. G.; Alfieri, G.; Mihaila, A.; Badstübner, U.; Vines, L.

    2018-01-01

    Using a combination of deep level transient spectroscopy, secondary ion mass spectrometry, proton irradiation, and hybrid functional calculations, we identify two similar deep levels that are associated with Fe impurities and intrinsic defects in bulk crystals and molecular beam epitaxy and hydride vapor phase epitaxi-grown epilayers of β-Ga2O3. First, our results indicate that FeGa, and not an intrinsic defect, acts as the deep acceptor responsible for the often dominating E2 level at ˜0.78 eV below the conduction band minimum. Second, by provoking additional intrinsic defect generation via proton irradiation, we identified the emergence of a new level, labeled as E2*, having the ionization energy very close to that of E2, but exhibiting an order of magnitude larger capture cross section. Importantly, the properties of E2* are found to be consistent with its intrinsic origin. As such, contradictory opinions of a long standing literature debate on either extrinsic or intrinsic origin of the deep acceptor in question converge accounting for possible contributions from E2 and E2* in different experimental conditions.

  6. Hydrogen Storage Engineering Center of Excellence Metal Hydride Final Report

    Energy Technology Data Exchange (ETDEWEB)

    Motyka, T. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL)

    2014-05-31

    The Hydrogen Storage Engineering Center of Excellence (HSECoE) was established in 2009 by the U.S. Department of Energy (DOE) to advance the development of materials-based hydrogen storage systems for hydrogen-fueled light-duty vehicles. The overall objective of the HSECoE is to develop complete, integrated system concepts that utilize reversible metal hydrides, adsorbents, and chemical hydrogen storage materials through the use of advanced engineering concepts and designs that can simultaneously meet or exceed all the DOE targets. This report describes the activities and accomplishments during Phase 1 of the reversible metal hydride portion of the HSECoE, which lasted 30 months from February 2009 to August 2011. A complete list of all the HSECoE partners can be found later in this report but for the reversible metal hydride portion of the HSECoE work the major contributing organizations to this effort were the United Technology Research Center (UTRC), General Motors (GM), Pacific Northwest National Laboratory (PNNL), the National Renewable Energy Laboratory (NREL) and the Savannah River National Laboratory (SRNL). Specific individuals from these and other institutions that supported this effort and the writing of this report are included in the list of contributors and in the acknowledgement sections of this report. The efforts of the HSECoE are organized into three phases each approximately 2 years in duration. In Phase I, comprehensive system engineering analyses and assessments were made of the three classes of storage media that included development of system level transport and thermal models of alternative conceptual storage configurations to permit detailed comparisons against the DOE performance targets for light-duty vehicles. Phase 1 tasks also included identification and technical justifications for candidate storage media and configurations that should be capable of reaching or exceeding the DOE targets. Phase 2 involved bench-level testing and

  7. First principles characterisation of brittle transgranular fracture of titanium hydrides

    International Nuclear Information System (INIS)

    Olsson, Pär A.T.; Mrovec, Matous; Kroon, Martin

    2016-01-01

    In this work we have studied transgranular cleavage and the fracture toughness of titanium hydrides by means of quantum mechanical calculations based on density functional theory. The calculations show that the surface energy decreases and the unstable stacking fault energy increases with increasing hydrogen content. This is consistent with experimental findings of brittle behaviour of titanium hydrides at low temperatures. Based on Griffith-Irwin theory we estimate the fracture toughness of the hydrides to be of the order of 1 MPa⋅m"1"/"2, which concurs well with experimental data. To investigate the cleavage energetics, we analyse the decohesion at various crystallographic planes and determine the traction-separation laws based on the Rose's extended universal binding energy relation. The calculations predict that the peak stresses do not depend on the hydrogen content of the phases, but it is rather dependent on the crystallographic cleavage direction. However, it is found that the work of fracture decreases with increasing hydrogen content, which is an indication of hydrogen induced bond weakening in the material.

  8. Hydrogen Storage using Metal Hydrides in a Stationary Cogeneration System

    International Nuclear Information System (INIS)

    Botzung, Maxime; Chaudourne, Serge; Perret, Christian; Latroche, Michel; Percheron-Guegan, Annick; Marty Philippe

    2006-01-01

    In the frame of the development of a hydrogen production and storage unit to supply a 40 kW stationary fuel cell, a metal hydride storage tank was chosen according to its reliability and high energetic efficiency. The study of AB5 compounds led to the development of a composition adapted to the project needs. The absorption/desorption pressures of the hydride at 75 C (2 / 1.85 bar) are the most adapted to the specifications. The reversible storage capacity (0.95 %wt) has been optimized to our work conditions and chemical kinetics is fast. The design of the Combined Heat and Power CHP system requires 5 kg hydrogen storage but in a first phase, only a 0.1 kg prototype has been realised and tested. Rectangular design has been chosen to obtain good compactness with an integrated plate fin type heat exchanger designed to reach high absorption/desorption rates. In this paper, heat and mass transfer characteristics of the Metal Hydride tank (MH tank) during absorption/desorption cycles are given. (authors)

  9. The kinetics of solid phase epitaxy in As-doped buried amorphous silicon layers

    International Nuclear Information System (INIS)

    McCallum, J.C.

    1998-01-01

    The kinetics of dopant-enhanced solid phase epitaxy (SPE) have been measured in buried a-Si layers doped with arsenic. SPE rates were measured over the temperature range 480 - 660 deg C for buried a-Si layers containing ten different As concentrations. In the absence of H-retardation effects, the dopant-enhanced SPE rate is observed to depend linearly on the As concentration over the entire range of concentrations, 1-16 x 10 19 cm -3 covered in the study. The Fermi level energy was calculated as a function of doping and find an equation that can provide good fits to the data. The implications of these results for models of the SPE process is discussed

  10. Vapor-deposited non-crystalline phase vs ordinary glasses and supercooled liquids: Subtle thermodynamic and kinetic differences

    International Nuclear Information System (INIS)

    Bhattacharya, Deepanjan; Sadtchenko, Vlad

    2015-01-01

    Vapor deposition of molecules on a substrate often results in glassy materials of high kinetic stability and low enthalpy. The extraordinary properties of such glasses are attributed to high rates of surface diffusion during sample deposition, which makes it possible for constituents to find a configuration of much lower energy on a typical laboratory time scale. However, the exact nature of the resulting phase and the mechanism of its formation are not completely understood. Using fast scanning calorimetry technique, we show that out-of-equilibrium relaxation kinetics and possibly the enthalpy of vapor-deposited films of toluene and ethylbenzene, archetypical fragile glass formers, are distinct from those of ordinary supercooled phase even when the deposition takes place at temperatures above the ordinary glass softening transition temperatures. These observations along with the absolute enthalpy dependences on deposition temperatures support the conjecture that the vapor-deposition may result in formation of non-crystalline phase of unique structural, thermodynamic, and kinetic properties

  11. Epitaxial growth of mixed conducting layered Ruddlesden–Popper Lan+1NinO3n+1 (n = 1, 2 and 3) phases by pulsed laser deposition

    International Nuclear Information System (INIS)

    Wu, Kuan-Ting; Soh, Yeong-Ah; Skinner, Stephen J.

    2013-01-01

    Graphical abstract: - Highlights: • High quality epitaxial thin films of layered Ruddlesden–Popper nickelates were prepared. • For the first time this has been achieved by the PLD process. • n = 1, 2 and 3 films were successfully deposited on SrTiO 3 and NdGaO 3 substrates. • c-Axis oriented films were confirmed by XRD analysis. • In-plane and out-of-plane strain effects on lattice are discussed. - Abstract: Layered Ruddlesden–Popper phases of composition La n+1 Ni n O 3n+1 (n = 1, 2 and 3) have been epitaxially grown on SrTiO 3 (0 0 1) or NdGaO 3 (1 1 0) single crystal substrates using the pulsed laser deposition technique. X-ray diffraction analyses (θ/2θ, rocking curves, and φ-scans) and atomic force microscopy confirms the high-quality growth of the series of films with low surface roughness values (less than 1 nm). In particular, epitaxial growth of the higher order phases (n = 2 and 3) of lanthanum nickelate have been demonstrated for the first time

  12. Activation and discharge kinetics of metal hydride electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Johnsen, Stein Egil

    2003-07-01

    Potential step chronoamperometry and Electrochemical Impedance Spectroscopy (eis) measurements were performed on single metal hydride particles. For the {alpha}-phase, the bulk diffusion coefficient and the absorption/adsorption rate parameters were determined. Materials produced by atomisation, melt spinning and conventional casting were investigated. The melt spun and conventional cast materials were identical and the atomised material similar in composition. The particles from the cast and the melt spun material were shaped like parallelepipeds. A corresponding equation, for this geometry, for diffusion coupled to an absorption/adsorption reaction was developed. It was found that materials produced by melt spinning exhibited lower bulk diffusion (1.7E-14 m2/s) and absorption/adsorption reaction rate (1.0E-8 m/s), compared to materials produced by conventionally casting (1.1E-13 m2/s and 5.5E-8 m/s respectively). In addition, the influence of particle active surface and relative diffusion length were discussed. It was concluded that there are uncertainties connected to these properties, which may explain the large distribution in the kinetic parameters measured on metal hydride particles. Activation of metal hydride forming materials has been studied and an activation procedure, for porous electrodes, was investigated. Cathodic polarisation of the electrode during a hot alkaline surface treatment gave the maximum discharge capacity on the first discharge of the electrode. The studied materials were produced by gas atomisation and the spherical shape was retained during the activation. Both an AB{sub 5} and an AB{sub 2} alloy was successfully activated and discharge rate properties determined. The AB{sub 2} material showed a higher maximum discharge capacity, but poor rate properties, compared to the AB{sub 5} material. Reduction of surface oxides, and at the same time protection against corrosion of active metallic nickel, can explain the satisfying results of

  13. Properties of ZrN films as substrate masks in liquid phase epitaxial lateral overgrowth of compound semiconductors

    International Nuclear Information System (INIS)

    Dobosz, D.; Zytkiewicz, Z.R.; Jakiela, R.; Golaszewska, K.; Kaminska, E.; Piotrowska, A.; Piotrowski, T.T.; Barcz, A.

    2005-01-01

    The usefulness of ZrN films as masks for epitaxial lateral overgrowth of GaAs and GaSb by liquid phase epitaxy is studied. It was observed that during the growth process ZrN masks are mechanically stable, they adhere strongly to the substrate and do not show any signs of degradation even at the growth temperature as high as 750 C. Moreover, perfect selectivity of GaAs and GaSb epitaxy was obtained on ZrN masked substrates ensuring the growth wide and thin layers. To study the influence of growth conditions on electrical resistivity of the mask, ZrN films deposited on GaAs substrates were annealed in various atmospheres. It was found that at temperatures higher than about 580 C the ZrN masks become highly resistive when heat-treated in hydrogen flow employed during growth. Usually, LPE growth temperature for GaAs is higher. Thus, ELO growth of GaAs by LPE becomes more difficult, though still possible, if ZrN masks are to be applied as buried electrical contacts. For GaSb ELO layers however, typical LPE growth temperature is about 480 C. This allows us to grow high quality GaSb ELO layers by LPE still preserving high electrical conductivity of ZrN mask. (copyright 2005 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Predicting formation enthalpies of metal hydrides

    Energy Technology Data Exchange (ETDEWEB)

    Andreasen, A.

    2004-12-01

    In order for the hydrogen based society viz. a society in which hydrogen is the primary energy carrier to become realizable an efficient way of storing hydrogen is required. For this purpose metal hydrides are serious candidates. Metal hydrides are formed by chemical reaction between hydrogen and metal and for the stable hydrides this is associated with release of heat ({delta}H{sub f} ). The more thermodynamically stable the hydride, the larger {delta}H{sub f}, and the higher temperature is needed in order to desorp hydrogen (reverse reaction) and vice versa. For practical application the temperature needed for desorption should not be too high i.e. {delta}H{sub f} should not be too large. If hydrogen desorption is to be possible below 100 deg C (which is the ultimate goal if hydrogen storage in metal hydrides should be used in conjunction with a PEM fuel cell), {delta}H{sub f} should not exceed -48 kJ/mol. Until recently only intermetallic metal hydrides with a storage capacity less than 2 wt.% H{sub 2} have met this criterion. However, discovering reversible hydrogen storage in complex metal hydrides such as NaAlH{sub 4} (5.5 wt. % reversible hydrogen capacity) have revealed a new group of potential candiates. However, still many combination of elements from the periodic table are yet to be explored. Since experimental determination of thermodynamic properties of the vast combinations of elements is tedious it may be advantagous to have a predictive tool for this task. In this report different ways of predicting {delta}H{sub f} for binary and ternary metal hydrides are reviewed. Main focus will be on how well these methods perform numerically i.e. how well experimental results are resembled by the model. The theoretical background of the different methods is only briefly reviewed. (au)

  15. Misfit strain-film thickness phase diagrams and related electromechanical properties of epitaxial ultra-thin lead zirconate titanate films

    Energy Technology Data Exchange (ETDEWEB)

    Qiu, Q.Y.; Mahjoub, R. [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia); Alpay, S.P. [Materials Science and Engineering Program and Institute of Materials Science, University of Connecticut, Storrs, CT 06269 (United States); Nagarajan, V., E-mail: nagarajan@unsw.edu.au [School of Materials Science and Engineering, University of New South Wales, Sydney, NSW 2052 (Australia)

    2010-02-15

    The phase stability of ultra-thin (0 0 1) oriented ferroelectric PbZr{sub 1-x}Ti{sub x}O{sub 3} (PZT) epitaxial thin films as a function of the film composition, film thickness, and the misfit strain is analyzed using a non-linear Landau-Ginzburg-Devonshire thermodynamic model taking into account the electrical and mechanical boundary conditions. The theoretical formalism incorporates the role of the depolarization field as well as the possibility of the relaxation of in-plane strains via the formation of microstructural features such as misfit dislocations at the growth temperature and ferroelastic polydomain patterns below the paraelectric-ferroelectric phase transformation temperature. Film thickness-misfit strain phase diagrams are developed for PZT films with four different compositions (x = 1, 0.9, 0.8 and 0.7) as a function of the film thickness. The results show that the so-called rotational r-phase appears in a very narrow range of misfit strain and thickness of the film. Furthermore, the in-plane and out-of-plane dielectric permittivities {epsilon}{sub 11} and {epsilon}{sub 33}, as well as the out-of-plane piezoelectric coefficients d{sub 33} for the PZT thin films, are computed as a function of misfit strain, taking into account substrate-induced clamping. The model reveals that previously predicted ultrahigh piezoelectric coefficients due to misfit-strain-induced phase transitions are practically achievable only in an extremely narrow range of film thickness, composition and misfit strain parameter space. We also show that the dielectric and piezoelectric properties of epitaxial ferroelectric films can be tailored through strain engineering and microstructural optimization.

  16. Simultaneous determination of hydride and non-hydride forming elements by inductively coupled plasma optical emission spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Benzo, Z. [Instituto Venezolano de Investigaciones Cientificas, IVIC, Altos de Pipe, Caracas (Venezuela, Bolivarian Republic of); Matos-Reyes, M.N.; Cervera, M.L.; Guardia, M. de la, E-mail: m.luisa.cervera@uv.es [Department of Analytical Chemistry, University of Valencia, Valencia (Spain)

    2011-09-15

    The operating characteristics of a dual nebulization system were studied including instrumental and chemical conditions for the hydride generation and analytical figures of merit for both, hydride and non hydride forming elements. Analytical performance of the nebulization system was characterized by detection limits from 0.002 to 0.0026 {mu}g mL{sup -1} for the hydride forming elements and between 0.0034 and 0.0121 {mu}g mL{sup -1} for the non-hydride forming elements, relative standard deviation for 10 replicate measurements at 0.25 mg L{sup -1} level and recovery percentages between 97 and 103%. The feasibility of the system was demonstrated in the simultaneous determination of Ca, Cd, Co, Cr, Cu, Fe, Mg, Mn, Mo, Ni, Zn, As, Bi, Sb, Se, and Te in the NIST 1549 (non-fat milk powder), NIST 1570a (spinach leaves), DORM-2 (dogfish muscle) and TORT-2 (lobster hepatopancreas) certified samples for trace elements. Results found were in good agreement with the certified ones. (author)

  17. SiC epitaxy growth using chloride-based CVD

    International Nuclear Information System (INIS)

    Henry, Anne; Leone, Stefano; Beyer, Franziska C.; Pedersen, Henrik; Kordina, Olof; Andersson, Sven; Janzén, Erik

    2012-01-01

    The growth of thick epitaxial SiC layers needed for high-voltage, high-power devices is investigated with the chloride-based chemical vapor deposition. High growth rates exceeding 100 μm/h can be obtained, however to obtain device quality epilayers adjustments of the process parameters should be carried out appropriately for the chemistry used. Two different chemistry approaches are compared: addition of hydrogen chloride to the standard precursors or using methyltrichlorosilane, a molecule that contains silicon, carbon and chlorine. Optical and electrical techniques are used to characterize the layers.

  18. Organometallic Vapor-Phase Epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1999-01-01

    This book describes the operation of a particular technique for the production of compound semiconductor materials. It describes how the technique works, how it can be used for the growth of particular materials and structures, and the application of these materials for specific devices. It contains not only a fundamental description of the operation of the technique but also contains lists of data useful for the everyday operation of OMVPE reactors. It also offers specific recipes that can be used to produce a wide range of specific materials, structures, and devices.Key Features* Updated wit

  19. Amorphous-crystalline interface evolution during Solid Phase Epitaxy Regrowth of SiGe films amorphized by ion implantation

    International Nuclear Information System (INIS)

    D'Angelo, D.; Piro, A.M.; Mirabella, S.; Bongiorno, C.; Romano, L.; Terrasi, A.; Grimaldi, M.G.

    2007-01-01

    Transmission Electron Microscopy was combined with Time Resolved Reflectivity to study the amorphous-crystalline (a-c) interface evolution during Solid Phase Epitaxy Regrowth (SPER) of Si 0.83 Ge 0.17 films deposited on Si by Molecular Beam Epitaxy and amorphized with Ge + ion implantation. Starting from the Si/SiGe interface, a 20 nm thick layer regrows free of defects with the same SPER rate of pure Si. The remaining SiGe regrows with planar defects and dislocations, accompanied by a decrease of the SPER velocity. The sample was also studied after implantation with B or P. In these cases, the SPER rate raises following the doping concentration profile, but no difference in the defect-free layer thickness was observed compared to the un-implanted sample. On the other hand, B or P introduction reduces the a-c interface roughness, while B-P co-implantation produces roughness comparable to the un-implanted sample

  20. Disposal of tritium-exposed metal hydrides

    International Nuclear Information System (INIS)

    Nobile, A.; Motyka, T.

    1991-01-01

    A plan has been established for disposal of tritium-exposed metal hydrides used in Savannah River Site (SRS) tritium production or Materials Test Facility (MTF) R ampersand D operations. The recommended plan assumes that the first tritium-exposed metal hydrides will be disposed of after startup of the Solid Waste Disposal Facility (SWDF) Expansion Project in 1992, and thus the plan is consistent with the new disposal requiremkents that will be in effect for the SWDF Expansion Project. Process beds containing tritium-exposed metal hydride powder will be disposed of without removal of the powder from the bed; however, disposal of tritium-exposed metal hydride powder that has been removed from its process vessel is also addressed

  1. Growth of gallium nitride based devices on silicon(001) substrates by metalorganic vapor phase epitaxy; Wachstum von Galliumnitrid-basierten Bauelementen auf Silizium(001)-Substraten mittels metallorganischer Gasphasenepitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Reiher, Fabian

    2009-02-25

    The main topic of this thesis is to investigate GaN-based layer systems grown by metalorganic vapor phase epitaxy on Si(001) substrates. A temperature shift up to 45 K is measured for a complete device structure on a 2-inch silicon substrate. By using a 40 nm thin LT-AlN-seed layer (680 C), the GaN crystallites on Si(001) substrates are almost oriented with their GaN(10 anti 12)-planes parallel to the Si(001)-plane. A four-fold azimuthal symmetry occurs for these layers, with the GaN[10 anti 11]-direction is aligned parallel to one of the four equivalent left angle 110 right angle -directions, respectively. However, a mono-crystalline and fully coalesced GaN-layer with this crystallographic orientation could not yet been obtained. If a deposition temperature of more than 1100 C is used for the AlN-seed layer, solely the GaN[0001]- growth direction of crystallites occurs in the main GaN layer on Si(001) substrates. These c-axis oriented GaN columns feature two opposite azimuthal alignments that are rotated by 90 with respect to each other and with GaN[11 anti 20] parallel Si[110] and GaN[10 anti 10] parallel Si[110], respectively. By using 4 off-oriented substrates towards the Si[110]-direction, one certain azimuthal texture component can be selected. The critical value of the miscut angle corresponds to theoretical calculations predicting the occurrence of atomic double steps on the Si(001) surface. The achieved crystallographic quality of the GaN layers on Si(001) is characterized by having a tilt of FWHM=0.27 and a twist of FWHM=0.8 of the crystallites, determined by X-ray diffraction. A completely crack-free, up to 2.5 {mu}m thick, and mono-crystalline GaN-template can be realized on Si(001), integrating 4 or 5 LT-AlN-interlayers in the GaN buffer structure. Based on this structure, the first successful implementation of an (InGaN/GaN)-LED on Si(001) is achieved. Furthermore, the possible fabrication of GaN-based FET-structures is demonstrated with a fully

  2. Comparative study on the roles of anisotropic epitaxial strain and chemical doping in inducing the antiferromagnetic insulator phase in manganite films

    Science.gov (United States)

    Jin, Feng; Feng, Qiyuan; Guo, Zhuang; Lan, Da; Wang, Lingfei; Gao, Guanyin; Xu, Haoran; Chen, Binbin; Chen, Feng; Lu, Qingyou; Wu, Wenbin

    2017-11-01

    Epitaxial strain and chemical doping are two different methods that are commonly used to tune the physical properties of epitaxial perovskite oxide films, but their cooperative effects are less addressed. Here we try to tune the phase separation (PS) in (La1-xP rx) 2 /3C a1 /3Mn O3 (0 ≤x ≤0.4 , LPCMO) films via cooperatively controlling the anisotropic epitaxial strain (AES) and the Pr doping. These films are grown simultaneously on NdGa O3(110 ) ,(LaAlO3) 0.3(SrAl0.5Ta0.5O3 ) 0.7(001 ) , and NdGa O3(001 ) substrates with progressively increased in-plane AES, and probed by x-ray diffraction, magnetotransport, and magnetic force microscopy (MFM) measurements. Although it is known that for x =0 the AES can enhance the orthorhombicity of the films yielding a phase diagram with the antiferromagnetic charge-ordered insulator (AF-COI) state induced, which is quite different from the bulk one, we illustrate that the Pr doping can further drive the films towards a more robust COI state. This cooperative effect is reflected by the increasing magnetic fields needed to melt the COI phase as a function of AES and the doping level. More strikingly, by directly imaging the phase competition morphology of the LPCMO /NdGa O3(001 ) films via MFM, we find that during COI melting the PS domain structure is subject to both AES and the quenched disorder. However, in the reverse process, as the magnetic field is decreased, the COI phase reappears and the AES dominates leaving a crystalline-orientation determined self-organized microstructure. This finding suggests that the PS states and the domain configurations can be selectively controlled by the AES and/or the quenched disorder, which may shed some light on the engineering of PS domains for device fabrications.

  3. Anodematerials for Metal Hydride Batteries

    DEFF Research Database (Denmark)

    Jensen, Jens Oluf

    1997-01-01

    This report describes the work on development of hydride forming alloys for use as electrode materials in metal hydride batteries. The work has primarily been concentrated on calcium based alloys derived from the compound CaNi5. This compound has a higher capacity compared with alloys used in today......’s hydride batteries, but a much poorer stability towards repeated charge/discharge cycling. The aim was to see if the cycleability of CaNi5 could be enhanced enough by modifications to make the compound a suitable electrode material. An alloying method based on mechanical alloying in a planetary ball mill...

  4. Quantifying uncertainty in measurement of mercury in suspended particulate matter by cold vapor technique using atomic absorption spectrometry with hydride generator.

    Science.gov (United States)

    Singh, Nahar; Ahuja, Tarushee; Ojha, Vijay Narain; Soni, Daya; Tripathy, S Swarupa; Leito, Ivo

    2013-01-01

    As a result of rapid industrialization several chemical forms of organic and inorganic mercury are constantly introduced to the environment and affect humans and animals directly. All forms of mercury have toxic effects; therefore accurate measurement of mercury is of prime importance especially in suspended particulate matter (SPM) collected through high volume sampler (HVS). In the quantification of mercury in SPM samples several steps are involved from sampling to final result. The quality, reliability and confidence level of the analyzed data depends upon the measurement uncertainty of the whole process. Evaluation of measurement uncertainty of results is one of the requirements of the standard ISO/IEC 17025:2005 (European Standard EN IS/ISO/IEC 17025:2005, issue1:1-28, 2006). In the presented study the uncertainty estimation in mercury determination in suspended particulate matter (SPM) has been carried out using cold vapor Atomic Absorption Spectrometer-Hydride Generator (AAS-HG) technique followed by wet chemical digestion process. For the calculation of uncertainty, we have considered many general potential sources of uncertainty. After the analysis of data of seven diverse sites of Delhi, it has been concluded that the mercury concentration varies from 1.59 ± 0.37 to 14.5 ± 2.9 ng/m(3) with 95% confidence level (k = 2).

  5. Formation of palladium hydrides in low temperature Ar/H_2-plasma

    International Nuclear Information System (INIS)

    Wulff, H.; Quaas, M.; Deutsch, H.; Ahrens, H.; Fröhlich, M.; Helm, C.A.

    2015-01-01

    20 nm thick Pd coatings deposited on Si substrates with 800 nm SiO_2 and 1 nm Cr buffer layers were treated in a 2.45 GHz microwave plasma source at 700 W plasma power and 40 Pa working pressure without substrate heating. For obtaining information on the effect of energy influx due to ion energy on the palladium films the substrate potential was varied from U_s_u_b = 0 V to − 150 V at constant gas flow corresponding to mean ion energies E_i from 0.22 eV ∙ cm"−"2 ∙ s"−"1 to 1.28 eV ∙ cm"−"2 ∙ s"−"1. In contrast to high pressure reactions with metallic Pd, under plasma exposure we do not observe solid solutions over a wide range of hydrogen concentration. The hydrogen incorporation in Pd films takes place discontinuously. At 0 V substrate voltage palladium hydride is formed in two steps to PdH_0_._1_4 and PdH_0_._5_7. At − 50 V substrate voltage PdH_0_._5_7 is formed directly. However, substrate voltages of − 100 V and − 150 V cause shrinking of the unit cell. We postulate the formation of two fcc vacancy palladium hydride clusters PdH_V_a_c(I) and PdH_V_a_c(II). Under longtime plasma exposure the fcc PdH_V_a_c(II) phase forms cubic PdH_1_._3_3. The fcc PdH_0_._5_7 phase decomposes at temperatures > 300 °C to form metallic fcc Pd. The hydrogen removal causes a decrease of lattice defects. In situ high temperature diffractometry measurements also confirm the existence of PdH_V_a_c(II) as a palladium hydride phase. Stoichiometric relationship between cubic PdH_1_._3_3 and fcc PdH_V_a_c(II) becomes evident from XR measurements and structure considerations. We assume both phases have the chemical composition Pd_3H_4. Up to 700 °C we observe phase transformation between both the fcc PdH_V_a_c(II) and cubic PdH_1_._3_3 phases. These phase transformations could be explained analog to a Bain distortion by displacive solid state structural changes. - Highlights: • Thin Pd films were treated under low pressure conditions by an Ar/H_2-plasma. • The

  6. Pseudomorphic growth of organic semiconductor thin films driven by incommensurate epitaxy

    International Nuclear Information System (INIS)

    Sassella, A.; Campione, M.; Raimondo, L.; Borghesi, A.; Bussetti, G.; Cirilli, S.; Violante, A.; Goletti, C.; Chiaradia, P.

    2009-01-01

    A stable pseudomorphic phase of α-quaterthiophene, a well known organic semiconductor, is obtained by growing films with organic molecular beam epitaxy (OMBE) on a single crystal of another organic semiconductor, namely, tetracene. The structural characteristics of the new phase are investigated by monitoring in situ the OMBE process by reflectance anisotropy spectroscopy; thus assessing that incommensurate epitaxy is in this case, the driving force for tuning the molecular packing in organic molecular films and in turn, their solid state properties

  7. For progress in natural science: Materials international investigations of structural phase transformation and THz properties across metal–insulator transition in VO2/Al2O3 epitaxial films

    Directory of Open Access Journals (Sweden)

    Mengmeng Yang

    2015-10-01

    Full Text Available Vanadium dioxide (VO2 epitaxial thin films on (0001-oriented Al2O3 substrates were prepared using radio frequency (RF magnetron sputtering techniques. To study the metal-insulator-transition (MIT mechanism and extend the applications of VO2 epitaxial films at terahertz (THz band, temperature-dependent X-ray diffraction (XRD and THz time domain spectroscopy of the VO2 epitaxial films were performed. Both the lattice constants and THz transmission exhibited a similar and sharp transition that was similar to that observed for the electrical resistance. Consequently, the MIT of the VO2/Al2O3 epitaxial films should be co-triggered by the structural phase transition and electronic transition. Moreover, the very large resistance change (on the order of ~103 and THz response (with a transmission modulation ratio of ~87% in the VO2/Al2O3 epitaxial heterostructures are promising for electrical switch and electro-optical device applications.

  8. Growth mechanisms for Si epitaxy on O atomic layers: Impact of O-content and surface structure

    Energy Technology Data Exchange (ETDEWEB)

    Jayachandran, Suseendran, E-mail: suseendran.jayachandran@imec.be [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Billen, Arne [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium); Douhard, Bastien; Conard, Thierry; Meersschaut, Johan; Moussa, Alain; Caymax, Matty; Bender, Hugo [Imec, Kapeldreef 75, 3001 Leuven (Belgium); Vandervorst, Wilfried [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Physics and Astronomy, Celestijnenlaan 200D, B-3001 Leuven (Belgium); Heyns, Marc [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Metallurgy and Materials, Castle Arenberg 44, B-3001 Leuven (Belgium); Delabie, Annelies [Imec, Kapeldreef 75, 3001 Leuven (Belgium); KU Leuven (University of Leuven), Department of Chemistry, Celestijnenlaan 200F, B-3001 Leuven (Belgium)

    2016-10-30

    Highlights: • O{sub 3} or O{sub 2} exposures on H-Si(100) result in O ALs with different surface structures. • Si-EPI on O AL using O{sub 3} process is by direct epitaxial growth mechanism. • Si-EPI on O AL using O{sub 2} process is by epitaxial lateral overgrowth mechanism. • Distortions by O AL, SiH{sub 4} flux rate and Si thickness has an impact on Si-EPI quality. - Abstract: The epitaxial growth of Si layers on Si substrates in the presence of O atoms is generally considered a challenge, as O atoms degrade the epitaxial quality by generating defects. Here, we investigate the growth mechanisms for Si epitaxy on O atomic layers (ALs) with different O-contents and structures. O ALs are deposited by ozone (O{sub 3}) or oxygen (O{sub 2}) exposure on H-terminated Si at 50 °C and 300 °C respectively. Epitaxial Si is deposited by chemical vapor deposition using silane (SiH{sub 4}) at 500 °C. After O{sub 3} exposure, the O atoms are uniformly distributed in Si-Si dimer/back bonds. This O layer still allows epitaxial seeding of Si. The epitaxial quality is enhanced by lowering the surface distortions due to O atoms and by decreasing the arrival rate of SiH{sub 4} reactants, allowing more time for surface diffusion. After O{sub 2} exposure, the O atoms are present in the form of SiO{sub x} clusters. Regions of hydrogen-terminated Si remain present between the SiO{sub x} clusters. The epitaxial seeding of Si in these structures is realized on H-Si regions, and an epitaxial layer grows by a lateral overgrowth mechanism. A breakdown in the epitaxial ordering occurs at a critical Si thickness, presumably by accumulation of surface roughness.

  9. Lattice-Symmetry-Driven Epitaxy of Hierarchical GaN Nanotripods

    KAUST Repository

    Wang, Ping

    2017-01-18

    Lattice-symmetry-driven epitaxy of hierarchical GaN nanotripods is demonstrated. The nanotripods emerge on the top of hexagonal GaN nanowires, which are selectively grown on pillar-patterned GaN templates using molecular beam epitaxy. High-resolution transmission electron microscopy confirms that two kinds of lattice-symmetry, wurtzite (wz) and zinc-blende (zb), coexist in the GaN nanotripods. Periodical transformation between wz and zb drives the epitaxy of the hierarchical nanotripods with N-polarity. The zb-GaN is formed by the poor diffusion of adatoms, and it can be suppressed by improving the ability of the Ga adatoms to migrate as the growth temperature increased. This controllable epitaxy of hierarchical GaN nanotripods allows quantum dots to be located at the phase junctions of the nanotripods and nanowires, suggesting a new recipe for multichannel quantum devices.

  10. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    Energy Technology Data Exchange (ETDEWEB)

    Ryu, Sung Ryong [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Kang, Tae Won, E-mail: twkang@dongguk.edu [Quantum-functional Semiconductor Research Center, Dongguk University-Seoul, 100-715 (Korea, Republic of); Clean Energy and Nano Convergence Centre, Hindustan University, Chennai 600 016 (India); Kwon, Sangwoo; Yang, Woochul [Department of physics, Dongguk University, Seoul, 100-715 (Korea, Republic of); Shin, Sunhye [Soft-Epi Inc., 240 Opo-ro, Opo-eup, Gwangju-si, Gyeonggi-do (Korea, Republic of); Woo, Yongdeuk [Department of Mechanical and Automotive Engineering, Woosuk University, Chonbuk 565-701 (Korea, Republic of)

    2015-08-30

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  11. Vertical current-flow enhancement via fabrication of GaN nanorod p–n junction diode on graphene

    International Nuclear Information System (INIS)

    Ryu, Sung Ryong; Ram, S.D. Gopal; Lee, Seung Joo; Cho, Hak-dong; Lee, Sejoon; Kang, Tae Won; Kwon, Sangwoo; Yang, Woochul; Shin, Sunhye; Woo, Yongdeuk

    2015-01-01

    Highlights: • Uniaxial p–n junction diode in GaN nanorod is made by Hydride vapor phase epitaxy method. • The p–n junction diode property is clearly observed from the fabricated uniaxial p–n junction nanorod GaN nanorod. • Graphene is used as a current spreading layer to reduce the lateral resistance up to 700 times when compared with the commercial sapphire substrate, which is clearly explained with the aid of an equivalent circuit. • Kelvin Force Probe microscopy method is employed to visualize the p- and n- regions in a single GaN nanorod. - Abstract: Mg doped GaN nanorods were grown on undoped n-type GaN nanorods uniaxial on monolayer graphene by hydride vapor phase epitaxy (HVPE) method. The monolayer graphene used as the bottom electrode and a substrate as well provides good electrical contact, acts as a current spreading layer, well suitable for the growth of hexagonal GaN nanorod. In addition it has a work function suitable to that of n-GaN. The formed p–n nanorods show a Schottky behavior with a turn on voltage of 3 V. Using graphene as the substrate, the resistance of the nanorod is reduced by 700 times when compared with the case without using graphene as the current spreading layer. The low resistance of graphene acts in parallel with the resistance of the GaN buffer layer, and reduces the resistance drastically. The formed p–n junction in a single GaN nanorod is visualized by Kelvin Force Probe Microscopy (KPFM) to have distinctively contrast p and n regions. The measured contact potential difference of p-and n-region has a difference of 103 mV which well confirms the formed regions are electronically different. Low temperature photoluminescence (PL) spectra give evidence of dopant related acceptor bound emission at 3.2 eV different from 3.4 eV of undoped GaN. The crystalline structure, compositional purity is confirmed by X-ray diffraction (XRD), Transmission and Scanning electron microcopies (SEM), (TEM), Energy dispersive analysis

  12. The liquid phase epitaxy method for the construction of oriented ZIF-8 thin films with controlled growth on functionalized surfaces

    KAUST Repository

    Shekhah, Osama; Eddaoudi, Mohamed

    2013-01-01

    Highly-oriented ZIF-8 thin films with controllable thickness were grown on an -OH-functionalized Au substrate using the liquid phase epitaxy method at room temperature, as evidenced by SEM and PXRD. The adsorption-desorption properties of the resulting ZIF-8 thin film were investigated for various VOCs using the QCM technique. © The Royal Society of Chemistry 2013.

  13. Preparation and Characterization of Epitaxial VO2 Films on Sapphire Using Postepitaxial Topotaxy Route via Epitaxial V2O3 Films

    Science.gov (United States)

    Yamaguchi, Iwao; Manabe, Takaaki; Tsuchiya, Tetsuo; Nakajima, Tomohiko; Sohma, Mitsugu; Kumagai, Toshiya

    2008-02-01

    Epitaxial VO2 films were prepared on the C-planes of α-Al2O3 substrates by a metal organic deposition (MOD) process. It was difficult to obtain the single phase of (010)M-oriented VO2 films, in which the subscript M refers to the monoclinic indices, by the heat treatment of amorphous precursor films in the VO2-stable region after the pyrolysis of the coating solution. The product films consisted of discontinuous circular grains of 1-2 µm size on the substrate surface. Therefore, we prepared the (010)M-oriented epitaxial VO2 films using postepitaxial topotaxy (PET), that is, topotactic oxidation of (0001)-oriented epitaxial V2O3 films. First, epitaxial V2O3(0001) films were obtained by MOD starting with a vanadium naphthenate solution. Second, the epitaxial V2O3(0001) films were topotactically oxidized at 500 °C in an Ar-O2 gas mixture with pO2 = 10-4 atm to obtain (010)M-oriented epitaxial VO2 films. The epitaxial relationships were VO2(010)M ∥ α-Al2O3(0001) and VO2[100]M ∥ α-Al2O3[0110], [1010], [1100]. The VO2(010)M films exhibited metal-semiconductor transitions with hysteresis loops at 60-80 °C. The resistivity change before and after the transition of the VO2(010)M film oxidized for 6 h was three orders of magnitude.

  14. Characterisation of hydrides in a zirconium alloy, by EBSD

    International Nuclear Information System (INIS)

    Ubhi, H.S.; Larsen, K.

    2012-01-01

    Zirconium alloys are used in nuclear reactors owing to their low capture cross-section for thermal neutrons and good mechanical and corrosion properties. However, they do suffer from delayed hydrogen cracking (DHC) due to formation of hydride particles. This study shows how the electron back-scatter diffraction (EBSD) technique can be used to characterise hydrides and their orientation relationship with the matrix. Hydrided EB weld specimens were prepared by electro-polishing, characterised using Oxford instruments AZtecHKL EBSD apparatus and software attached to a FEG SEM. Hydrides were found to exist as fine intra granular plates and having the Blackburn orientation relationship, i.e. (0002)Zr//(111)hydride and (1120)Zr//(1-10)hydride. The hydrides were also found to contain sigma 3 boundaries as well as local misorientations. (author)

  15. Composition-induced structural, electrical, and magnetic phase transitions in AX-type mixed-valence cobalt oxynitride epitaxial thin films

    Energy Technology Data Exchange (ETDEWEB)

    Takahashi, Jumpei; Oka, Daichi [Department of Chemistry, School of Science, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu, Kawasaki 213-0012 (Japan); Hirose, Yasushi, E-mail: hirose@chem.s.u-tokyo.ac.jp; Yang, Chang; Fukumura, Tomoteru; Hasegawa, Tetsuya [Department of Chemistry, School of Science, The University of Tokyo, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Nakao, Shoichiro [Kanagawa Academy of Science and Technology (KAST), 3-2-1 Sakado, Takatsu, Kawasaki 213-0012 (Japan); CREST, Japan Science and Technology Agency, 7-3-1 Hongo, Bunkyo, Tokyo 113-0033 (Japan); Harayama, Isao; Sekiba, Daiichiro [University of Tsukuba Tandem Accelerator Complex (UTTAC), 1-1-1 Tennoudai, Tsukuba, Ibaraki 305-8577 (Japan)

    2015-12-07

    Synthesis of mid- to late-transition metal oxynitrides is generally difficult by conventional thermal ammonolysis because of thermal instability. In this letter, we synthesized epitaxial thin films of AX-type phase-pure cobalt oxynitrides (CoO{sub x}N{sub y}) by using nitrogen-plasma-assisted pulsed laser deposition and investigated their structural, electrical, and magnetic properties. The CoO{sub x}N{sub y} thin films with 0 ≤ y/(x + y) ≤ 0.63 grown on MgO (100) substrates showed a structural phase transition from rock salt (RS) to zinc blend at the nitrogen content y/(x + y) ∼ 0.5. As the nitrogen content increased, the room-temperature electrical resistivity of the CoO{sub x}N{sub y} thin films monotonically decreased from the order of 10{sup 5} Ω cm to 10{sup −4} Ω cm. Furthermore, we observed an insulator-to-metal transition at y/(x + y) ∼ 0.34 in the RS-CoO{sub x}N{sub y} phase, which has not yet been reported in Co{sup 2+}/Co{sup 3+} mixed-valence cobalt oxides with octahedral coordination. The low resistivity in the RS-CoO{sub x}N{sub y} phase, on the 10{sup −3} Ω cm order, may have originated from the intermediate spin state of Co{sup 3+} stabilized by the lowered crystal field symmetry of the CoO{sub 6−n}N{sub n} octahedra (n = 1, 2,…5). Magnetization measurements suggested that a magnetic phase transition occurred in the RS-CoO{sub x}N{sub y} films during the insulator-to-metal transition. These results demonstrate that low-temperature epitaxial growth is a promising approach for exploring novel electronic functionalities in oxynitrides.

  16. Composition-induced structural, electrical, and magnetic phase transitions in AX-type mixed-valence cobalt oxynitride epitaxial thin films

    International Nuclear Information System (INIS)

    Takahashi, Jumpei; Oka, Daichi; Hirose, Yasushi; Yang, Chang; Fukumura, Tomoteru; Hasegawa, Tetsuya; Nakao, Shoichiro; Harayama, Isao; Sekiba, Daiichiro

    2015-01-01

    Synthesis of mid- to late-transition metal oxynitrides is generally difficult by conventional thermal ammonolysis because of thermal instability. In this letter, we synthesized epitaxial thin films of AX-type phase-pure cobalt oxynitrides (CoO x N y ) by using nitrogen-plasma-assisted pulsed laser deposition and investigated their structural, electrical, and magnetic properties. The CoO x N y thin films with 0 ≤ y/(x + y) ≤ 0.63 grown on MgO (100) substrates showed a structural phase transition from rock salt (RS) to zinc blend at the nitrogen content y/(x + y) ∼ 0.5. As the nitrogen content increased, the room-temperature electrical resistivity of the CoO x N y thin films monotonically decreased from the order of 10 5  Ω cm to 10 −4  Ω cm. Furthermore, we observed an insulator-to-metal transition at y/(x + y) ∼ 0.34 in the RS-CoO x N y phase, which has not yet been reported in Co 2+ /Co 3+ mixed-valence cobalt oxides with octahedral coordination. The low resistivity in the RS-CoO x N y phase, on the 10 −3  Ω cm order, may have originated from the intermediate spin state of Co 3+ stabilized by the lowered crystal field symmetry of the CoO 6−n N n octahedra (n = 1, 2,…5). Magnetization measurements suggested that a magnetic phase transition occurred in the RS-CoO x N y films during the insulator-to-metal transition. These results demonstrate that low-temperature epitaxial growth is a promising approach for exploring novel electronic functionalities in oxynitrides

  17. Layered growth model and epitaxial growth structures for SiCAlN alloys

    International Nuclear Information System (INIS)

    Liu Zhaoqing; Ni Jun; Su Xiaoao; Dai Zhenhong

    2009-01-01

    Epitaxial growth structures for (SiC) 1-x (AlN) x alloys are studied using a layered growth model. First-principle calculations are used to determine the parameters in the layered growth model. The phase diagrams of epitaxial growth are given. There is a rich variety of the new metastable polytype structures at x=1/6 ,1/5 ,1/4 ,1/3 , and 1/2 in the layered growth phase diagrams. We have also calculated the electronic properties of the short periodical SiCAlN alloys predicted by our layered growth model. The results show that various ordered structures of (SiC) 1-x (AlN) x alloys with the band gaps over a wide range are possible to be synthesized by epitaxial growth.

  18. Blistering and hydride embrittlement

    International Nuclear Information System (INIS)

    Louthan, M.R. Jr.

    1975-01-01

    The effects of hydrogen on the mechanical properties of metals have been categorized into several groups. Two of the groups, hydrogen blistering and hydride embrittlement, are reasonably well understood, and problems relating to their occurrence may be avoided if that understanding is used as a basis for selecting alloys for hydrogen service. Blistering and hydride embrittlement are described along with several techniques of materials selection and used to minimize their adverse effects. (U.S.)

  19. Optical and Dielectric Properties of CuAl2O4 Films Synthesized by Solid-Phase Epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Leu, L. C. [University of Florida, Gainesville; Norton, David P. [University of Florida; Jellison Jr, Gerald Earle [ORNL; Selvamanickam, V. [SuperPower Incorporated, Schenectady, New York; Xiong, X. [SuperPower Incorporated, Schenectady, New York

    2007-01-01

    The synthesis and properties of CuAl{sub 2}O{sub 4} thin films have been examined. The CuAl{sub 2}O{sub 4} films were deposited via reactive direct current magnetron sputter using a CuAl{sub 2} target. As-deposited films were amorphous. Post-deposition annealing at high temperature in oxygen yielded solid-phase epitaxy on MgO. The film orientation was cube-on-cube epitaxy on (001) MgO single-crystal substrates. The films were transparent to visible light. The band gap of crystalline CuAl{sub 2}O{sub 4} was determined to be {approx} 4 eV using a Tauc plot from the optical transmission spectrum. The dielectric constant of the amorphous films was determined to be {approx} 20-23 at 1-100 kHz.

  20. SYNTHESIS AND STRUCTURE OF BIS(PHENYLTETRAMETHYLCYCLOPENTADIENYL)TITANIUM(III) HYDRIDE - THE FIRST MONOMERIC BIS(CYCLOPENTADIENYL)TITANIUM(III) HYDRIDE : The First Monomeric Bis(cyclopentadienyl)titanium(III) Hydride

    NARCIS (Netherlands)

    de Wolf, J.M.; Meetsma, A.; Teuben, J.H

    1995-01-01

    The first structurally characterized monomeric bis(cyclopentadienyl)titanium(III) hydride, (C(5)PhMe(4))(2)TiH (4), was synthesized by hydrogenolysis of (C(5)PhMe(4))(2)TiMe (5). Hydride 4 was found to be a monomeric bent sandwich by X-ray diffraction methods, and the pentamethylcyclopentadienyl

  1. Flows of a Vapor due to Phase Change Processes at the Condensed Phases with Temperature Fields as their Internal Structures

    National Research Council Canada - National Science Library

    Onishi, Yoshimoto; Ooshida, Takeshi

    2005-01-01

    Transient to steady motions of a vapor caused by the evaporation and condensation processes occurring at the condensed phases placed in parallel have been studied based on the Boltzmann equation of BGK type...

  2. Metal hydrides based high energy density thermal battery

    International Nuclear Information System (INIS)

    Fang, Zhigang Zak; Zhou, Chengshang; Fan, Peng; Udell, Kent S.; Bowman, Robert C.; Vajo, John J.; Purewal, Justin J.; Kekelia, Bidzina

    2015-01-01

    Highlights: • The principle of the thermal battery using advanced metal hydrides was demonstrated. • The thermal battery used MgH 2 and TiMnV as a working pair. • High energy density can be achieved by the use of MgH 2 to store thermal energy. - Abstract: A concept of thermal battery based on advanced metal hydrides was studied for heating and cooling of cabins in electric vehicles. The system utilized a pair of thermodynamically matched metal hydrides as energy storage media. The pair of hydrides that was identified and developed was: (1) catalyzed MgH 2 as the high temperature hydride material, due to its high energy density and enhanced kinetics; and (2) TiV 0.62 Mn 1.5 alloy as the matching low temperature hydride. Further, a proof-of-concept prototype was built and tested, demonstrating the potential of the system as HVAC for transportation vehicles

  3. Epitaxial Growth and Cracking Mechanisms of Thermally Sprayed Ceramic Splats

    Science.gov (United States)

    Chen, Lin; Yang, Guan-jun

    2018-02-01

    In the present study, the epitaxial growth and cracking mechanisms of thermally sprayed ceramic splats were explored. We report, for the first time, the epitaxial growth of various splat/substrate combinations at low substrate temperatures (100 °C) and large lattice mismatch (- 11.26%). Our results suggest that thermal spray deposition was essentially a liquid-phase epitaxy, readily forming chemical bonding. The interface temperature was also estimated. The results convincingly demonstrated that atoms only need to diffuse and rearrange over a sufficiently short range during extremely rapid solidification. Concurrently, severe cracking occurred in the epitaxial splat/substrate systems, which indicated high tensile stress was produced during splat deposition. The origin of the tensile stress was attributed to the strong constraint of the locally heated substrate by its cold surroundings.

  4. Waste retrieval sluicing system vapor sampling and analysis plan for evaluation of organic emissions, process test phase III

    International Nuclear Information System (INIS)

    SASAKI, L.M.

    1999-01-01

    This sampling and analysis plan identifies characterization objectives pertaining to sample collection, laboratory analytical evaluation, and reporting requirements for vapor samples obtained to address vapor issues related to the sluicing of tank 241-C-106. Sampling will be performed in accordance with Waste Retrieval Sluicing System Emissions Collection Phase III (Jones 1999) and Process Test Plan Phase III, Waste Retrieval Sluicing System Emissions Collection (Powers 1999). Analytical requirements include those specified in Request for Ecology Concurrence on Draft Strategy/Path Forward to Address Concerns Regarding Organic Emissions from C-106 Sluicing Activities (Peterson 1998). The Waste Retrieval Sluicing System was installed to retrieve and transfer high-heat sludge from tank 241-C-106 to tank 241-AY-102, which is designed for high-heat waste storage. During initial sluicing of tank 241-C-106 in November 1998, operations were halted due to detection of unexpected high volatile organic compounds in emissions that exceeded regulatory permit limits. Several workers also reported smelling sharp odors and throat irritation. Vapor grab samples from the 296-C-006 ventilation system were taken as soon as possible after detection; the analyses indicated that volatile and semi-volatile organic compounds were present. In December 1998, a process test (phase I) was conducted in which the pumps in tanks 241-C-106 and 241-AY-102 were operated and vapor samples obtained to determine constituents that may be present during active sluicing of tank 241-C-106. The process test was suspended when a jumper leak was detected. On March 7, 1999, phase I1 of the process test was performed; the sluicing system was operated for approximately 7 hours and was ended using the controlled shutdown method when the allowable amount of solids were transferred to 241-AY-102. The phase II test was successful, however, further testing is required to obtain vapor samples at higher emission levels

  5. Sodium-based hydrides for thermal energy applications

    Science.gov (United States)

    Sheppard, D. A.; Humphries, T. D.; Buckley, C. E.

    2016-04-01

    Concentrating solar-thermal power (CSP) with thermal energy storage (TES) represents an attractive alternative to conventional fossil fuels for base-load power generation. Sodium alanate (NaAlH4) is a well-known sodium-based complex metal hydride but, more recently, high-temperature sodium-based complex metal hydrides have been considered for TES. This review considers the current state of the art for NaH, NaMgH3- x F x , Na-based transition metal hydrides, NaBH4 and Na3AlH6 for TES and heat pumping applications. These metal hydrides have a number of advantages over other classes of heat storage materials such as high thermal energy storage capacity, low volume, relatively low cost and a wide range of operating temperatures (100 °C to more than 650 °C). Potential safety issues associated with the use of high-temperature sodium-based hydrides are also addressed.

  6. Azimuthally anisotropic hydride lens structures in Zircaloy 4 nuclear fuel cladding: High-resolution neutron radiography imaging and BISON finite element analysis

    Science.gov (United States)

    Lin, Jun-Li; Zhong, Weicheng; Bilheux, Hassina Z.; Heuser, Brent J.

    2017-12-01

    High-resolution neutron radiography has been used to image bulk circumferential hydride lens particles in unirradiated Zircaloy 4 tubing cross section specimens. Zircaloy 4 is a common light water nuclear reactor (LWR) fuel cladding; hydrogen pickup, hydride formation, and the concomitant effect on the mechanical response are important for LWR applications. Ring cross section specimens with three hydrogen concentrations (460, 950, and 2830 parts per million by weight) and an as-received reference specimen were imaged. Azimuthally anisotropic hydride lens particles were observed at 950 and 2830 wppm. The BISON finite element analysis nuclear fuel performance code was used to model the system elastic response induced by hydride volumetric dilatation. The compressive hoop stress within the lens structure becomes azimuthally anisotropic at high hydrogen concentrations or high hydride phase fraction. This compressive stress anisotropy matches the observed lens anisotropy, implicating the effect of stress on hydride formation as the cause of the observed lens azimuthal asymmetry. The cause and effect relation between compressive stress and hydride lens anisotropy represents an indirect validation of a key BISON output, the evolved hoop stress associated with hydride formation.

  7. Thermomechanics of hydrogen storage in metallic hydrides: modeling and analysis

    Czech Academy of Sciences Publication Activity Database

    Roubíček, Tomáš; Tomassetti, G.

    2014-01-01

    Roč. 19, č. 7 (2014), s. 2313-2333 ISSN 1531-3492 R&D Projects: GA ČR GA201/09/0917 Institutional support: RVO:61388998 Keywords : metal-hydrid phase transformation * hydrogen diffusion * swelling Subject RIV: BA - General Mathematics Impact factor: 0.768, year: 2014 http://aimsciences.org/journals/pdfs.jsp?paperID=10195&mode=full

  8. Preparation, characterization, and use of metal hydrides for fuel systems. Progress report, September 1, 1976--May 31, 1977

    International Nuclear Information System (INIS)

    Herley, P.J.

    1977-05-01

    The isothermal decomposition kinetics of unirradiated and irradiated powdered lithium aluminum hydride have been determined in the temperature range 125 to 155 0 C. The resulting activation energies for unirradiated material for the induction, acceleratory, decay and slow final rate were, respectively, 116.8, 94.3, 87.1 and 12.9 +- 4.6 KJ/mole. For preirradiated powders (1.25 x 10 5 rad) activation energies for the same periods were 119.0, 99.5, 80.5 and 10.0 +- 4.6 KJ/mole, respectively. Admixture with powdered aluminum, nickel and final reaction product did not affect the subsequent thermal decomposition. Exposure to dry air and carbon dioxide do not affect the decomposition, but 2 minute exposure to saturated water vapor reduces the percentage decomposition by almost 50%. An extensive differential scanning calorimeter study has been made of LiAlH 4 (irradiation and water vapor effects), AlH 3 and NaAlH 3 (irradiation effects). The results indicate that irradiation tends to move the existing peaks to lower temperatures and at higher doses may even introduce additional peaks. The data above were analyzed using a cubic acceleratory period equation and a monomolecular decay law. In addition the analysis shows that irradiation increased the concentration of decomposition nuclei and the rate that potential decomposition sites are converted to active sites. These observations suggest that the same process is occurring in both irradiated and unirradiated lithium aluminum hydride, but that the rate constants are increased by prior irradiation. The photolytic decomposition of powdered LiAlH 4 and AlH 3 is markedly reproducible with no dark rate occurring in both instances. Magnesium hydride is also readily photolyzed with the BH 6 lamp and the actinic wavelength and intensity-rate relationships are being determined

  9. Adsorption-controlled growth of BiMnO3 films by molecular-beam epitaxy

    International Nuclear Information System (INIS)

    Lee, J. H.; Ke, X.; Misra, R.; Schiffer, P.; Ihlefeld, J. F.; Mei, Z. G.; Liu, Z. K.; Xu, X. S.; Musfeldt, J. L.; Heeg, T.; Schlom, D. G.; Roeckerath, M.; Schubert, J.

    2010-01-01

    We have developed the means to grow BiMnO 3 thin films with unparalleled structural perfection by reactive molecular-beam epitaxy and determined its band gap. Film growth occurs in an adsorption-controlled growth regime. Within this growth window bounded by oxygen pressure and substrate temperature at a fixed bismuth overpressure, single-phase films of the metastable perovskite BiMnO 3 may be grown by epitaxial stabilization. X-ray diffraction reveals phase-pure and epitaxial films with ω rocking curve full width at half maximum values as narrow as 11 arc sec (0.003 deg. ). Optical absorption measurements reveal that BiMnO 3 has a direct band gap of 1.1±0.1 eV.

  10. Preferred hydride growth orientations on oxide-coated gadolinium surfaces

    International Nuclear Information System (INIS)

    Benamar, G.M.; Schweke, D.; Kimmel, G.; Mintz, M.H.

    2012-01-01

    Highlights: ► The preferred hydride growth orientations on gadolinium metal coated by a thin oxide layer are presented. ► A preferred growth of the (1 0 0) h plane of the face centered cubic (FCC) GdH 2 is observed for the hydride spots forming below the oxidation layer. ► A change to the (1 1 1) h plane of the cubic hydride dominates for the hydride's Growth Centers. ► The texture change is attributed to the surface normal compressive stress component exerted by the oxidation layer on the developing hydride. - Abstract: The initial development of hydrides on polycrystalline gadolinium (Gd), as on some other hydride forming metals, is characterized by two sequential steps. The first step involves the rapid formation of a dense pattern of small hydride spots (referred to as the “small family” of hydrides) below the native oxidation layer. The second stage takes place when some of the “small family” nucleants (referred to as “growth centers”, GCs) break the oxide layer, leading to their rapid growth and finally to the massive hydriding of the sample. In the present study, the texture of the two hydride families was studied, by combining X-ray diffraction (XRD) analysis with a microscopic analysis of the hydride, using scanning electron microscopy (SEM) and atomic force microscopy (AFM). It has been observed that for the “small family”, a preferred growth of the (1 0 0) h plane of the cubic GdH 2 takes place, whereas for the GCs, a change to the (1 1 1) h plane of the cubic hydride dominates. These preferred growth orientations were analyzed by their structure relation with the (0 0 .1) m basal plane of the Gd metal. It has been concluded that the above texture change is due to the surface normal compressive stress component exerted by the oxidation overlayer on the developing hydride, preventing the (0 0 .1) m ||(1 1 1) h growth orientation. This stress is relieved upon the rupture of that overlayer and the development of the GCs, leading to

  11. Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics

    Energy Technology Data Exchange (ETDEWEB)

    Boettcher, Shannon [Univ. of Oregon, Eugene, OR (United States); Greenaway, Ann [Univ. of Oregon, Eugene, OR (United States); Boucher, Jason [Univ. of Oregon, Eugene, OR (United States); Aloni, Shaul [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2016-02-10

    Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substrates conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial

  12. A comparison of the smeared-dislocation and super-dislocation description of a hydrided region in the context of modelling delayed hydride cracking initiation

    International Nuclear Information System (INIS)

    Smith, E.

    1994-01-01

    In quantifying the stress distribution within a hydrided region in the context of modelling delayed hydride cracking (DHC) initiation in zirconium alloys, this paper highlights the desirability of accounting for image effects, i.e. the interaction between the hydrided region and any free surface, for example a sharp crack, blunt notch or planar surface. The super-dislocation representation of a finite thickness hydrided region is ideal for accounting for image effects. It also adequately accounts for the finite thickness, t, of a hydrided region provided, as is the case in practice, we are concerned with the stress value within the hydride at distances ≥ 0.25 t from an end of the region. (Author)

  13. Formation of palladium hydrides in low temperature Ar/H{sub 2}-plasma

    Energy Technology Data Exchange (ETDEWEB)

    Wulff, H., E-mail: wulff@uni-greifswald.de [University of Greifswald, Institute of Physics, Felix-Hausdorff-Straße 6, 17487 Greifswald (Germany); Quaas, M. [LITEC-LP, Brandteichstraße 20, 17489 Greifswald (Germany); Deutsch, H.; Ahrens, H. [University of Greifswald, Institute of Physics, Felix-Hausdorff-Straße 6, 17487 Greifswald (Germany); Fröhlich, M. [Leibniz Institute for Plasma Science and Technology e.V., Felix-Hausdorff-Straße 2 (Germany); Helm, C.A. [University of Greifswald, Institute of Physics, Felix-Hausdorff-Straße 6, 17487 Greifswald (Germany)

    2015-12-01

    20 nm thick Pd coatings deposited on Si substrates with 800 nm SiO{sub 2} and 1 nm Cr buffer layers were treated in a 2.45 GHz microwave plasma source at 700 W plasma power and 40 Pa working pressure without substrate heating. For obtaining information on the effect of energy influx due to ion energy on the palladium films the substrate potential was varied from U{sub sub} = 0 V to − 150 V at constant gas flow corresponding to mean ion energies E{sub i} from 0.22 eV ∙ cm{sup −2} ∙ s{sup −1} to 1.28 eV ∙ cm{sup −2} ∙ s{sup −1}. In contrast to high pressure reactions with metallic Pd, under plasma exposure we do not observe solid solutions over a wide range of hydrogen concentration. The hydrogen incorporation in Pd films takes place discontinuously. At 0 V substrate voltage palladium hydride is formed in two steps to PdH{sub 0.14} and PdH{sub 0.57}. At − 50 V substrate voltage PdH{sub 0.57} is formed directly. However, substrate voltages of − 100 V and − 150 V cause shrinking of the unit cell. We postulate the formation of two fcc vacancy palladium hydride clusters PdH{sub Vac}(I) and PdH{sub Vac}(II). Under longtime plasma exposure the fcc PdH{sub Vac}(II) phase forms cubic PdH{sub 1.33}. The fcc PdH{sub 0.57} phase decomposes at temperatures > 300 °C to form metallic fcc Pd. The hydrogen removal causes a decrease of lattice defects. In situ high temperature diffractometry measurements also confirm the existence of PdH{sub Vac}(II) as a palladium hydride phase. Stoichiometric relationship between cubic PdH{sub 1.33} and fcc PdH{sub Vac}(II) becomes evident from XR measurements and structure considerations. We assume both phases have the chemical composition Pd{sub 3}H{sub 4}. Up to 700 °C we observe phase transformation between both the fcc PdH{sub Vac}(II) and cubic PdH{sub 1.33} phases. These phase transformations could be explained analog to a Bain distortion by displacive solid state structural changes. - Highlights: • Thin Pd films

  14. Metal hydrides based high energy density thermal battery

    Energy Technology Data Exchange (ETDEWEB)

    Fang, Zhigang Zak, E-mail: zak.fang@utah.edu [Department of Metallurgical Engineering, The University of Utah, 135 South 1460 East, Room 412, Salt Lake City, UT 84112-0114 (United States); Zhou, Chengshang; Fan, Peng [Department of Metallurgical Engineering, The University of Utah, 135 South 1460 East, Room 412, Salt Lake City, UT 84112-0114 (United States); Udell, Kent S. [Department of Metallurgical Engineering, The University of Utah, 50 S. Central Campus Dr., Room 2110, Salt Lake City, UT 84112-0114 (United States); Bowman, Robert C. [Department of Metallurgical Engineering, The University of Utah, 135 South 1460 East, Room 412, Salt Lake City, UT 84112-0114 (United States); Vajo, John J.; Purewal, Justin J. [HRL Laboratories, LLC, 3011 Malibu Canyon Road, Malibu, CA 90265 (United States); Kekelia, Bidzina [Department of Metallurgical Engineering, The University of Utah, 50 S. Central Campus Dr., Room 2110, Salt Lake City, UT 84112-0114 (United States)

    2015-10-05

    Highlights: • The principle of the thermal battery using advanced metal hydrides was demonstrated. • The thermal battery used MgH{sub 2} and TiMnV as a working pair. • High energy density can be achieved by the use of MgH{sub 2} to store thermal energy. - Abstract: A concept of thermal battery based on advanced metal hydrides was studied for heating and cooling of cabins in electric vehicles. The system utilized a pair of thermodynamically matched metal hydrides as energy storage media. The pair of hydrides that was identified and developed was: (1) catalyzed MgH{sub 2} as the high temperature hydride material, due to its high energy density and enhanced kinetics; and (2) TiV{sub 0.62}Mn{sub 1.5} alloy as the matching low temperature hydride. Further, a proof-of-concept prototype was built and tested, demonstrating the potential of the system as HVAC for transportation vehicles.

  15. Pressure-induced transformations of molecular boron hydride

    CERN Document Server

    Nakano, S; Gregoryanz, E A; Goncharov, A F; Mao Ho Kwang

    2002-01-01

    Decaborane, a molecular boron hydride, was compressed to 131 GPa at room temperature to explore possible non-molecular phases in this system and their physical properties. Decaborane changed its colour from transparent yellow to orange/red above 50 GPa and then to black above 100 GPa, suggesting some transformations. Raman scattering and infrared (IR) absorption spectroscopy reveal significant structural changes. Above 100 GPa, B-B skeletal, B-H and B-H-B Raman/IR peaks gradually disappeared, which implies a transformation into a non-molecular phase in which conventional borane-type bonding is lost. The optical band gap of the material at 100 GPa was estimated to be about 1.0 eV.

  16. Pressure-induced transformations of molecular boron hydride

    International Nuclear Information System (INIS)

    Nakano, Satoshi; Hemley, Russell J; Gregoryanz, Eugene A; Goncharov, Alexander F; Mao, Ho-kwang

    2002-01-01

    Decaborane, a molecular boron hydride, was compressed to 131 GPa at room temperature to explore possible non-molecular phases in this system and their physical properties. Decaborane changed its colour from transparent yellow to orange/red above 50 GPa and then to black above 100 GPa, suggesting some transformations. Raman scattering and infrared (IR) absorption spectroscopy reveal significant structural changes. Above 100 GPa, B-B skeletal, B-H and B-H-B Raman/IR peaks gradually disappeared, which implies a transformation into a non-molecular phase in which conventional borane-type bonding is lost. The optical band gap of the material at 100 GPa was estimated to be about 1.0 eV

  17. Syntheses and properties of several metastable and stable hydrides derived from intermetallic compounds under high hydrogen pressure

    Energy Technology Data Exchange (ETDEWEB)

    Filipek, S.M., E-mail: sfilipek@unipress.waw.pl [Institute of High Pressure Physics PAS, ul. Sokolowska 29, 01-142 Warsaw (Poland); Paul-Boncour, V. [ICMPE-CMTR, CNRS-UPEC, 2-8 rue Henri Dunant, 94320 Thiais (France); Liu, R.S. [Department of Chemistry, National Taiwan University, Taipei 106, Taiwan (China); Jacob, I. [Unit Nuclear Eng., Ben Gurion University of the Negev, Beer-Sheva (Israel); Tsutaoka, T. [Dept. of Sci. Educ., Grad. School of Educ., Hiroshima University, Hiroshima (Japan); Budziak, A. [Institute of Nuclear Physics PAS, 31-342 Kraków (Poland); Morawski, A. [Institute of High Pressure Physics PAS, ul. Sokolowska 29, 01-142 Warsaw (Poland); Sugiura, H. [Yokohama City University, 22-2 Seto, Kanazawa-ku, Yokohama 236-0027 (Japan); Zachariasz, P. [Institute of Electron Technology Cracow Division, ul. Zablocie 39, 30-701 Krakow (Poland); Dybko, K. [Institute of Physics, PAS, 02-668 Warsaw (Poland); Diduszko, R. [Tele and Radio Research Institute, ul. Ratuszowa 11, Warsaw (Poland)

    2016-12-01

    Brief summary of our former work on high hydrogen pressure syntheses of novel hydrides and studies of their properties is supplemented with new results. Syntheses and properties of a number of hydrides (unstable, metastable or stable in ambient conditions) derived under high hydrogen pressure from intermetallic compounds, like MeT{sub 2}, MeNi{sub 5}, Me{sub 7}T{sub 3}, Y{sub 6}Mn{sub 23} and YMn{sub 12} (where Me = zirconium, yttrium or rare earth; T = transition metal) are presented. Stabilization of ZrFe{sub 2}H{sub 4} due to surface phenomena was revealed. Unusual role of manganese in hydride forming processes is pointed out. Hydrogen induced phase transitions, suppression of magnetism, antiferromagnetic-ferromagnetic and metal-insulator or semimetal-metal transitions are described. Equations of state (EOS) of hydrides submitted to hydrostatic pressures up to 30 GPa are presented and discussed.

  18. Characteristics of hydride precipitation and reorientation in spent-fuel cladding

    International Nuclear Information System (INIS)

    Chung, H.M.; Daum, R.S.; Hiller, J.M.; Billone, M.C.

    2002-01-01

    Transmission electron microscopy (TEM) was used to examine Zircaloy fuel cladding, either discharged from several PWRs and a BWR after irradiation to fluence levels of 3.3 to 8.6 X 10 21 n cm -2 (E > 1 MeV) or hydrogen-charged and heat-treated under stress to produce radial hydrides; the goal was to determine the microstructural and crystallographic characteristics of hydride precipitation. Morphologies, distributions, and habit planes of various types of hydrides were determined by stereo-TEM. In addition to the normal macroscopic hydrides commonly observed by optical microscopy, small 'microscopic' hydrides are present in spent-fuel cladding in number densities at least a few orders of magnitude greater than that of macroscopic hydrides. The microscopic hydrides, observed to be stable at least up to 333 deg C, precipitate in association with -type dislocations. While the habit plane of macroscopic tangential hydrides in the spent-fuel cladding is essentially the same as that of unirradiated unstressed Zircaloys, i.e., the [107] Zr plane, the habit plane of tangential hydrides that precipitate under high tangential stress is the [104] Zr plane. The habit plane of radial hydrides that precipitate under tangential stress is the [011] Zr pyramidal plane, a naturally preferred plane for a cladding that has 30 basal-pole texture. Effects of texture on the habit plane and the threshold stress for hydride reorientation are also discussed. (authors)

  19. Initiation of delayed hydride cracking in zirconium-2.5 wt% niobium

    International Nuclear Information System (INIS)

    Shalabi, A.F.; Meneley, D.A.

    1990-01-01

    Delayed hydride cracking in zirconium alloys is caused by the repeated precipitation and cracking of brittle hydrides. The growth kinetic of the hydrides have been measured to evaluate the critical hydride length for crack initiation. Hydride growth leading to crack initiation follows an approximate (time) 1/3 law on the average; crack propagation proceeds in a stepwise fashion. The critical length of hydride for crack initiation increases with stress and temperature. The fracture criterion for crack initiation predicts the critical hydride length at a give stress level and temperature. The fracture initiation mechanism of the hydride confirms the temperature effects for heating and cooling cycles under services loads. (orig.)

  20. Ductile zirconium powder by hydride-dehydride process

    Energy Technology Data Exchange (ETDEWEB)

    Krishnan, T S [BHABHA ATOMIC RESEARCH CENTRE, BOMBAY (INDIA); CHAUDHARY, S [NUCLEAR FUEL COMPLEX, HYDERABAD (INDIA)

    1976-09-01

    The preparation of ductile zirconium powder by the hydride-dehydride process has been described. In this process massive zirconium obtained from Kroll reduction of ZrCl/sub 4/ is first rendered brittle by hydrogenation and the hydride crushed and ground in a ball mill to the required particle size. Hydrogen is then hot vacuum extracted to yield the metal powder. The process has been successfully employed for the production of zirconium powders with low oxygen content and having hardness values in the range of 115-130 BHN, starting from a zirconium sponge of 100-120 BHN hardness. Influence of surface characteristics of the starting metal on its hydriding behaviour has been studied and the optimum hydriding-dehydriding conditions established.

  1. A NOVEL METHOD OF THE HYDRIDE SEPARATION FOR THE DETERMINATION OF ARSENIC AND ANTIMONY BY AAS

    Directory of Open Access Journals (Sweden)

    Ganden Supriyanto

    2010-06-01

    Full Text Available A novel method is proposed for the hydride separation when determinining of arsenic and antimony by AAS. A chromatomembrane cell was used as preconcentration-, extraction- and separation-manifold instead of the U-tube phase separator, which is normally fitted in continuous flow vapour systems generating conventionaly the hydrides. The absorbances of the hydrides produced were measured by an atomic absorption spectrophotometer at 193.7 nm and 217.6 nm. Under optimized analytical conditions, the calibration plot for arsenic was linear from 50 to 500 ng.mL-1 (r2 = 0.9982. The precision for three subsequent measurements of 500 ng.mL-1 arsenic gave rise to a relative standard deviation of 0.4%. The detection limit was 15 ng.mL-1, which is much lower compared with that of the conventional hydride system (2000 ng.mL-1. A similar result was observed in case of antimony: the detection limit was 8 ng.mL-1 when the proposed method was applied. Consequently, the sensitivity of the novel method surpasses systems with conventional hydride generation, i.e. the precision and the acuracy increase whereas the standard deviation and the detection limit decrease. The proposed method was applied in pharmacheutial analysis and the certified As-content of a commercial product was very sufficiently confirmed.   Keywords: Chromatomembrane Cell, Hydride separation, Arsenic detection, Antimony detection, AAS

  2. Alkali metal hydride formation

    International Nuclear Information System (INIS)

    1976-01-01

    The present invention relates to a method of producing alkali metal hydrides by absorbing hydrogen gas under pressure into a mixture of lower alkyl mono amines and alkali metal alkyl amides selected from sodium and potassium amides formed from said amines. The present invention also includes purification of a mixture of the amines and amides which contain impurities, such as is used as a catalytic exchange liquid in the enrichment of deuterium, involving the formation of the alkali metal hydride

  3. Activated aluminum hydride hydrogen storage compositions and uses thereof

    Science.gov (United States)

    Sandrock, Gary; Reilly, James; Graetz, Jason; Wegrzyn, James E.

    2010-11-23

    In one aspect, the invention relates to activated aluminum hydride hydrogen storage compositions containing aluminum hydride in the presence of, or absence of, hydrogen desorption stimulants. The invention particularly relates to such compositions having one or more hydrogen desorption stimulants selected from metal hydrides and metal aluminum hydrides. In another aspect, the invention relates to methods for generating hydrogen from such hydrogen storage compositions.

  4. External fuel vaporization study, phase 2

    Science.gov (United States)

    Szetela, E. J.; Chiappetta, L.

    1981-01-01

    An analytical study was conducted to evaluate the effect of variations in fuel properties on the design of an external fuel vaporizaton system. The fuel properties that were considered included thermal stability, critical temperature, enthalpy a critical conditions, volatility, and viscosity. The design parameters that were evaluated included vaporizer weight and the impact on engine requirement such as maintenance, transient response, performance, and altitude relight. The baseline fuel properties were those of Jet A. The variation in thermal stability was taken as the thermal stability variation for Experimental Referee Broad Specification (ERBS) fuel. The results of the analysis indicate that a change in thermal stability equivalent to that of ERBS would increase the vaporization system weight by 20 percent, decrease oprating time between cleaning by 40 percent and make altitude relight more difficult. An increase in fuel critical temperature of 39 K would require a 40 percent increase in vaporization system weight. The assumed increase in enthalpy and volatility would also increase vaporizer weight by 40 percent and make altitude relight extremely difficult. The variation in fuel viscosity would have a negligible effect on the design parameters.

  5. Use of reversible hydrides for hydrogen storage

    Science.gov (United States)

    Darriet, B.; Pezat, M.; Hagenmuller, P.

    1980-01-01

    The addition of metals or alloys whose hydrides have a high dissociation pressure allows a considerable increase in the hydrogenation rate of magnesium. The influence of temperature and hydrogen pressure on the reaction rate were studied. Results concerning the hydriding of magnesium rich alloys such as Mg2Ca, La2Mg17 and CeMg12 are presented. The hydriding mechanism of La2Mg17 and CeMg12 alloys is given.

  6. Metal Hydride Compression

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, Terry A. [Sandia National Lab. (SNL-CA), Livermore, CA (United States); Bowman, Robert [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Smith, Barton [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Anovitz, Lawrence [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States); Jensen, Craig [Hawaii Hydrogen Carriers LLC, Honolulu, HI (United States)

    2017-07-01

    Conventional hydrogen compressors often contribute over half of the cost of hydrogen stations, have poor reliability, and have insufficient flow rates for a mature FCEV market. Fatigue associated with their moving parts including cracking of diaphragms and failure of seal leads to failure in conventional compressors, which is exacerbated by the repeated starts and stops expected at fueling stations. Furthermore, the conventional lubrication of these compressors with oil is generally unacceptable at fueling stations due to potential fuel contamination. Metal hydride (MH) technology offers a very good alternative to both conventional (mechanical) and newly developed (electrochemical, ionic liquid pistons) methods of hydrogen compression. Advantages of MH compression include simplicity in design and operation, absence of moving parts, compactness, safety and reliability, and the possibility to utilize waste industrial heat to power the compressor. Beyond conventional H2 supplies of pipelines or tanker trucks, another attractive scenario is the on-site generating, pressuring and delivering pure H2 at pressure (≥ 875 bar) for refueling vehicles at electrolysis, wind, or solar generating production facilities in distributed locations that are too remote or widely distributed for cost effective bulk transport. MH hydrogen compression utilizes a reversible heat-driven interaction of a hydride-forming metal alloy with hydrogen gas to form the MH phase and is a promising process for hydrogen energy applications [1,2]. To deliver hydrogen continuously, each stage of the compressor must consist of multiple MH beds with synchronized hydrogenation & dehydrogenation cycles. Multistage pressurization allows achievement of greater compression ratios using reduced temperature swings compared to single stage compressors. The objectives of this project are to investigate and demonstrate on a laboratory scale a two-stage MH hydrogen (H2) gas compressor with a

  7. R-22 vapor explosions

    International Nuclear Information System (INIS)

    Anderson, R.P.; Armstrong, D.R.

    1977-01-01

    Previous experimental and theoretical studies of R-22 vapor explosions are reviewed. Results from two experimental investigations of vapor explosions in a medium scale R-22/water system are reported. Measurements following the drop of an unrestrained mass of R-22 into a water tank demonstrated the existence of two types of interaction behavior. Release of a constrained mass of R-22 beneath the surface of a water tank improved the visual resolution of the system thus allowing identification of two interaction mechansims: at low water temperatures, R-22/water contact would produce immediate violent boiling; at high water temperatures a vapor film formed around its R-22 as it was released, explosions were generated by a surface wave which initiated at a single location and propagated along the vapor film as a shock wave. A new vapor explosion model is proposed, it suggests explosions are the result of a sequence of three independent steps: an initial mixing phase, a trigger and growth phase, and a mature phase where a propagating shock wave accelerates the two liquids into a collapsing vapor layer causing a high velocity impact which finely fragments and intermixes the two liquids

  8. Kinetics of the isothermal decomposition of zirconium hydride: terminal solid solubility for precipitation and dissolution

    Science.gov (United States)

    Denisov, E. A.; Kompaniets, T. N.; Voyt, A. P.

    2018-05-01

    The hydrogen permeation technique in the surface-limited regime (SLR) was first used to study the isothermal decomposition of zirconium hydride. It is shown that under isothermal conditions, the hydrogen terminal solid solubility in the α-phase for hydride precipitation (TSSp) and dissolution (TSSd) differ only by 6%, in contrast to the 20-30% indicated in the available literature. It is demonstrated that even the minimum heating/cooling rate (1 C/min) used in the traditional methods of studying TSSp and TSSd is too high to exclude the effect of kinetics on the results obtained.

  9. The mechanism of vapor phase hydration of calcium oxide: implications for CO2 capture.

    Science.gov (United States)

    Kudłacz, Krzysztof; Rodriguez-Navarro, Carlos

    2014-10-21

    Lime-based sorbents are used for fuel- and flue-gas capture, thereby representing an economic and effective way to reduce CO2 emissions. Their use involves cyclic carbonation/calcination which results in a significant conversion reduction with increasing number of cycles. To reactivate spent CaO, vapor phase hydration is typically performed. However, little is known about the ultimate mechanism of such a hydration process. Here, we show that the vapor phase hydration of CaO formed after calcination of calcite (CaCO3) single crystals is a pseudomorphic, topotactic process, which progresses via an intermediate disordered phase prior to the final formation of oriented Ca(OH)2 nanocrystals. The strong structural control during this solid-state phase transition implies that the microstructural features of the CaO parent phase predetermine the final structural and physicochemical (reactivity and attrition) features of the product hydroxide. The higher molar volume of the product can create an impervious shell around unreacted CaO, thereby limiting the efficiency of the reactivation process. However, in the case of compact, sintered CaO structures, volume expansion cannot be accommodated in the reduced pore volume, and stress generation leads to pervasive cracking. This favors complete hydration but also detrimental attrition. Implications of these results in carbon capture and storage (CCS) are discussed.

  10. Reactions of zinc hydride and magnesium hydride with pyridine; synthesis and characterization of 1,4-dihydro-1-pyridylzinc and -magnesium complexes

    NARCIS (Netherlands)

    Koning, A.J. de; Boersma, J.; Kerk, G.J.M. van der

    1980-01-01

    The synthesis and characterization of 1,4-dihydro-1-pyridylzinc and -magnesium complexes are described. Zinc hydride and magnesium hydride dissolve in and react with pyridine, and the reaction has been studied in detail in the case of zinc hydride. Evaporation of the solvent after 1–2 hours at 0°C

  11. Epitaxial solution deposition of YBa2Cu3O7-6 coated conductors.

    Energy Technology Data Exchange (ETDEWEB)

    Overmyer, Donald L.; Clem, Paul Gilbert; Siegal, Michael P.; Holesinger, Terry A. (Los Alamos National Laboratory, Los Alamos, NM); Voigt, James A.; Richardson, Jacob J.; Dawley, Jeffrey Todd

    2004-11-01

    A variety of solution deposition routes have been reported for processing complex perovskite-based materials such as ferroelectric oxides and conductive electrode oxides, due to ease of incorporating multiple elements, control of chemical stoichiometry, and feasibility for large area deposition. Here, we report an extension of these methods toward long length, epitaxial film solution deposition routes to enable biaxially oriented YBa{sub 2}Cu{sub 3}O{sub 7-{delta}} (YBCO)-coated conductors for superconducting transmission wires. Recent results are presented detailing an all-solution deposition approach to YBCO-coated conductors with critical current densities J{sub c} (77 K) > 1 MA/cm{sup 2} on rolling-assisted, biaxially textured, (200)-oriented Ni-W alloy tapes. Solution-deposition methods such as this approach and those of other research groups appear to have promise to compete with vapor phase methods for superconductor electrical properties, with potential advantages for large area deposition and low cost/kA {center_dot} m of wire.

  12. Hydride Olefin complexes of tantalum and niobium

    NARCIS (Netherlands)

    Klazinga, Aan Hendrik

    1979-01-01

    This thesis describes investigations on low-valent tantalum and niobium hydride and alkyl complexes, particularly the dicyclopentadienyl tantalum hydride olefin complexes Cp2Ta(H)L (L=olefin). ... Zie: Summary

  13. Recent Advances in Atmospheric Vapor-Phase Deposition of Transparent and Conductive Zinc Oxide

    NARCIS (Netherlands)

    Illiberi, A.; Poodt, P.; Roozeboom, F.

    2014-01-01

    The industrial need for high-throughput and low-cost ZnO deposition processes has triggered the development of atmospheric vapor-phase deposition techniques which can be easily applied to continuous, in-line manufacturing. While atmospheric CVD is a mature technology, new processes for the growth of

  14. Availability of MCNP and MATLAB for reconstructing the water-vapor two-phase flow pattern in neutron radiography

    International Nuclear Information System (INIS)

    Feng Qixi; Feng Quanke; Takeshi, K.

    2008-01-01

    The China Advanced Research Reactor (CARR) is scheduled to be operated in the autumn of 2008. In this paper, we report preparations for installing the neutron radiography instrument (NRI) and for utilizing it efficiently. The 2-D relative neutron intensity profiles for the water-vapor two-phase flow inside the tube were obtained using the MCNP code without influence of γ-ray and electronic-noise. The MCNP simulation of the 2-D neutron intensity profile for the water-vapor two-phase flow was demonstrated. The simulated 2-D neutron intensity profiles could be used as the benchmark data base by calibrating part of the data measured by the CARR-NRI. The 3-D objective images allow us to understand the flow pattern more clearly and it is reconstructed using the MATLAB through the threshold transformation techniques. And thus it is concluded that the MCNP code and the MATLAB are very useful for constructing the benchmark data base for the investigation of the water-vapor two-phase flow using the CARR-NRI. (authors)

  15. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    International Nuclear Information System (INIS)

    Uedono, Akira; Tanigawa, Shoichiro; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa.

    1994-01-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author)

  16. Defects in heavily phosphorus-doped Si epitaxial films probed by monoenergetic positron beams

    Energy Technology Data Exchange (ETDEWEB)

    Uedono, Akira; Tanigawa, Shoichiro [Tsukuba Univ., Ibaraki (Japan). Inst. of Materials Science; Suzuki, Ryoichi; Ohgaki, Hideaki; Mikado, Tomohisa

    1994-11-01

    Vacancy-type defects in heavily phosphorus-doped Si epitaxial films were probed by monoenergetic positron beams. Doppler broadening profiles of the annihilation radiation and lifetime spectra of positrons were measured for the epitaxial films grown on the Si substrates by plasma chemical vapor deposition. For the as-deposited film, divacancy-phosphorus complexes were found with high concentration. After 600degC annealing, vacancy clusters were formed near the Si/Si interface, while no drastic change in the depth distribution of the divacancy-phosphorus complexes was observed. By 900degC annealing, the vacancy clusters were annealed out; however, the average number of phosphorus atoms coupled with divacancies increased. The relationship between the vacancy-type defects probed by the positron annihilation technique and the carrier concentration was confirmed. (author).

  17. Metal-organic-vapor-phase-epitaxy and characterization of homoepitaxial ZnO-layers; Metallorganische Gasphasenepitaxie und Charakteriesierung homoepitaktischer ZnO-Schichten

    Energy Technology Data Exchange (ETDEWEB)

    Heinze, Soeren

    2009-03-30

    ZnO is a direct semiconductor with a band gap of 3.37 eV and an exciton binding energy of about 60 meV. By alloying with cadmium or magnesium the band gap can be varied between 2.9 eV and 4 eV, which makes the realization of for instance quantum pot structures. Therefore ZnO is a promising material for optoelectronic applications in the blue and near-ultraviolet spectral range. In spite of world-wide numerous research activities over the last years the realization of p-type ZnO could indeed not sufficiently (i.e. reproduceable and long-time stably) be solved. The ZnO layers of this thesis were fabricated by means of metalorganic gas-phase epitaxy. By means of the studies on heteroepitactically deposed, undoped layers I show the limits of the heteroepitaxy. Although in doping attempts no p-type ZnO could be fabricated. By introduction of a three-stage growth procedure physical properties (morphology, luminescence, crystallographic and electric properties) of the upper ZnO layer could be distinctly improved. On the other hand it was proved that during the fabrication process an electrically high-conductive intermediate layer in the neighbourhood of the substrate/ZnO interface is formed, the formation of which cannot be avoided in the heteroepitaxy. Since about three years ZnO substrates with very good quality are commercially available. Therefore the essential part of this thesis tracts my works on the homoepitaxy of ZnO. For a successful homoepitactical growth a thermal pre-treatment (annealing) of the substrate is necessary. Thereby the substrate is located in a surrounding of ZnO powder and an oxygen atmosphere. The optimal tempering conditions were determined and the influence of these pre-treatment on the physical properties of the substrated were detailedly studied. After the annealing the substrates are suited for the epitaxy. The experiences from the heteroepitaxy could not without more ado be transferred to the homoepitaxy. The quality of the homoepitactical

  18. Phase change thermal energy storage methods for combat vehicles, phase 1

    Science.gov (United States)

    Lynch, F. E.

    1986-06-01

    Three alternative cooling methods, based on latent heat absorption during phase changes, were studied for potential use in combat vehicle microclimate temperature control. Metal hydrides absorb heat as they release hydrogen gas. Plastic crystals change from one solid phase to another, absorbing heat in the process. Liquid air boils at cryogenic temperature and absorbs additional sensible heat as the cold gas mixes with the microclimate air flow. System designs were prepared for each of the three microclimate cooling concepts. These designs provide details about the three phase change materials, their containers and the auxiliary equipment needed to implement each option onboard a combat vehicle. The three concepts were compared on the basis of system mass, system volume and the energy required to regenerate them after use. Metal hydrides were found to be the lightest and smallest option by a large margin. The energy needed to regenerate a hydride thermal storage system can be extracted from the vehicle's exhaust gases.

  19. Characterization of low Al content Al{sub x}Ga{sub 1-x}N epitaxial films grown by atmospheric-pressure MOVPE

    Energy Technology Data Exchange (ETDEWEB)

    Toure, A.; Halidou, I.; Benzarti, Z.; Bchetnia, A.; El Jani, B. [Faculte des Sciences, Unite de Recherche sur les Hetero-Epitaxies et Applications, 5019 Monastir (Tunisia); Fouzri, A. [Laboratoire Physico-Chimie des Materiaux, Faculte des Sciences de Monastir, Unite de Service Commun de Recherche ' ' High Resolution X-ray Diffractometer' ' , 5019 Monastir (Tunisia)

    2012-05-15

    Al{sub x}Ga{sub 1-x}N epitaxial films grown on GaN/sapphire by atmospheric-pressure metalorganic vapor phase epitaxy (AP-MOVPE) using trimethylgallium (TMG) and trimethylaluminum (TMA) as group III precursors have been studied. Two groups of samples were grown. The aluminum (Al) solid composition of Al{sub x}Ga{sub 1-x}N was varied in the range from 0.03 to 0.20 by changing the molar flow ratio [TMA/(TMA + TMG)]. The effect of TMA flow rate, respectively, TMG flow rate, on the growth rate, and Al solid composition is discussed. The structural properties of the alloys have been investigated by high-resolution X-ray diffraction (HRXRD). The optical properties of these samples were investigated by photoluminescence (PL). It is found that on increasing Al solid composition, via an increase of the TMA flow rate, the structural quality is deteriorated and the growth efficiency decreases. On the other hand, when the TMG flow rate is reduced, a decrease of the full width at half-maximum (FWHM) is observed with Al content. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Calorimetric determination of the δ hydride dissolution enthalpy in Zircaloy-4

    International Nuclear Information System (INIS)

    Vizcaino, Pablo; Banchik, Abraham D.

    2003-01-01

    In this work, the dissolution enthalpy, ΔH δ→α , of the δ hydride phase in the αZr matrix in Zircaloy-4 has been determined with a differential scanning calorimeter (DSC) in two different ways: by means of a vant Hoff equation, measuring the terminal solubility temperature in dissolution, TSSd, and by direct measurement of the dissolution heat, Q δ→α , as the area between the base line and the calorimetric curve. The application of the DSC technique to the hydride dissolution heat measurements, a transformation which covers an extended temperature range, is completely original and requires a special treatment of the calorimetric curve. These measurements were done on samples, which practically cover the whole solubility range of hydrogen in αZr phase (80-640 ppm). The values obtained, 36.9 kJ/mol H and 39.3 kJ/mol H respectively, are self-consistent and in good agreement with the values of the more recent revisions, but reduces considerably the scatter of the literature data. (author)

  1. Isotope exchange between gaseous hydrogen and uranium hydride powder

    International Nuclear Information System (INIS)

    Shugard, Andrew D.; Buffleben, George M.; Johnson, Terry A.; Robinson, David B.

    2014-01-01

    Highlights: • Isotope exchange between hydrogen gas and uranium hydride powder can be rapid and reversible. • Gas–solid exchange rate is controlled by transport within ∼0.7 μm hydride particles. • Gas chromatographic separation of hydrogen isotopes using uranium hydride is feasible. - Abstract: Isotope exchange between gaseous hydrogen and solid uranium hydride has been studied by flowing hydrogen (deuterium) gas through packed powder beds of uranium deuteride (hydride). We used a residual gas analyzer system to perform real-time analysis of the effluent gas composition. We also developed an exchange and transport model and, by fitting it to the experimental data, extracted kinetic parameters for the isotope exchange reaction. Our results suggest that, from approximately 70 to 700 kPa and 25 to 400 °C, the gas-to-solid exchange rate is controlled by hydrogen and deuterium transport within the ∼0.7 μm diameter uranium hydride particles. We use our kinetic parameters to show that gas chromatographic separation of hydrogen and deuterium using uranium hydride could be feasible

  2. Chemical Hydride Slurry for Hydrogen Production and Storage

    Energy Technology Data Exchange (ETDEWEB)

    McClaine, Andrew W

    2008-09-30

    The purpose of this project was to investigate and evaluate the attractiveness of using a magnesium chemical hydride slurry as a hydrogen storage, delivery, and production medium for automobiles. To fully evaluate the potential for magnesium hydride slurry to act as a carrier of hydrogen, potential slurry compositions, potential hydrogen release techniques, and the processes (and their costs) that will be used to recycle the byproducts back to a high hydrogen content slurry were evaluated. A 75% MgH2 slurry was demonstrated, which was just short of the 76% goal. This slurry is pumpable and storable for months at a time at room temperature and pressure conditions and it has the consistency of paint. Two techniques were demonstrated for reacting the slurry with water to release hydrogen. The first technique was a continuous mixing process that was tested for several hours at a time and demonstrated operation without external heat addition. Further work will be required to reduce this design to a reliable, robust system. The second technique was a semi-continuous process. It was demonstrated on a 2 kWh scale. This system operated continuously and reliably for hours at a time, including starts and stops. This process could be readily reduced to practice for commercial applications. The processes and costs associated with recycling the byproducts of the water/slurry reaction were also evaluated. This included recovering and recycling the oils of the slurry, reforming the magnesium hydroxide and magnesium oxide byproduct to magnesium metal, hydriding the magnesium metal with hydrogen to form magnesium hydride, and preparing the slurry. We found that the SOM process, under development by Boston University, offers the lowest cost alternative for producing and recycling the slurry. Using the H2A framework, a total cost of production, delivery, and distribution of $4.50/kg of hydrogen delivered or $4.50/gge was determined. Experiments performed at Boston

  3. Effect of growth conditions on the biodegradation kinetics of toluene by P. putida 54G in a vapor phase bioreactor

    International Nuclear Information System (INIS)

    Mirpuri, R.; Jones, W.; Krieger, E.; McFeters, G.

    1994-01-01

    Biodegradation of volatile organic compounds such as petroleum hydrocarbons and xenobiotic agents in the vapor phase is a promising new concept in well-head and end-of-pipe treatment which may have wide application where in-situ approaches are not feasible. The microbial degradation of the volatile organics can be carried out in vapor phase bioreactors which contain inert packing materials. Scale-up of these reactors from a bench scale to a pilot plant can best be achieved by the use of a predictive model, the success of which depends on accurate estimates of parameters defined in the model such as biodegradation kinetic and stoichiometric coefficients. The phenomena of hydrocarbon stress and injury may also affect performance of a vapor phase bioreactor. Batch kinetic studies on the biodegradation of toluene by P. Putida 54G will be compared to those obtained from continuous culture studies for both suspended and biofilm cultures of the same microorganism. These results will be compared to the activity of the P. putida 54G biofilm in a vapor phase bioreactor to evaluate the impact of hydrocarbon stress and injury on biodegradative processes

  4. Interface Enthalpy-Entropy Competition in Nanoscale Metal Hydrides

    Directory of Open Access Journals (Sweden)

    Nicola Patelli

    2018-01-01

    Full Text Available We analyzed the effect of the interfacial free energy on the thermodynamics of hydrogen sorption in nano-scaled materials. When the enthalpy and entropy terms are the same for all interfaces, as in an isotropic bi-phasic system, one obtains a compensation temperature, which does not depend on the system size nor on the relative phase abundance. The situation is different and more complex in a system with three or more phases, where the interfaces have different enthalpy and entropy. We also consider the possible effect of elastic strains on the stability of the hydride phase and on hysteresis. We compare a simple model with experimental data obtained on two different systems: (1 bi-phasic nanocomposites where ultrafine TiH2 crystallite are dispersed within a Mg nanoparticle and (2 Mg nanodots encapsulated by different phases.

  5. Manufacture of titanium and zirconium hydrides

    International Nuclear Information System (INIS)

    Mares, F.; Hanslik, T.

    1973-01-01

    A method is described of manufacturing titanium and zirconium hydrides by hydrogenation of said metals characterized by the reaction temperature ranging between 250 to 500 degC, hydrogen pressure of 20 to 300 atm and possibly by the presence of a hydride of the respective metal. (V.V.)

  6. Li vaporization property of two-phase material of Li{sub 2}TiO{sub 3} and Li{sub 2}SiO{sub 3} for tritium breeder

    Energy Technology Data Exchange (ETDEWEB)

    Ogawa, Seiya [Course of Mechanical Engineering, Graduate School of Engineering, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan); Masuko, Yuki; Kato, Hirokazu; Yuyama, Hayato; Sakai, Yutaro [Department of Prime Mover Engineering, School of Engineering, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan); Niwa, Eiki; Hashimoto, Takuya [Department of Physics, College of Humanities and Sciences, Nihon University, 3-8-1 Sakurajousui, Setagaya-ku, Tokyo 156-8550 (Japan); Mukai, Keisuke [Department of Nuclear Engineering and Management, School of Engineering, The University of Tokyo, 7-3-1 Bunkyo-ku, Tokyo 113-8656 (Japan); Hosino, Tsuyoshi [Breeding Functional Materials Development Group, Department of Blanket Systems Research, Rokkasho Fusion Institute, Sector of Fusion Research and Development, Japan Atomic Energy Agency, 2-166 Obuch, Omotedate, Rokkasho-mura, Kamikita-gun, Aomori 039-3212 (Japan); Sasaki, Kazuya, E-mail: k_sasaki@tokai-u.jp [Course of Mechanical Engineering, Graduate School of Engineering, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan); Department of Prime Mover Engineering, School of Engineering, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan); Course of Mechanical Engineering and Aeronautics and Astronautics, Graduate School of Science and Technology, Tokai University, 4-1-1 Kitakaname, Hiratsuka, Kanagawa 259-1292 (Japan)

    2015-10-15

    Highlights: • We synthesized two phase materials based on Li{sub 2}SiO{sub 3} and Li{sub 2}TiO{sub 3}. • We investigated the Li vaporization property of the two-phase materials. • Li vaporization occurs significantly from only Li{sub 2}SiO{sub 3} grains in the vicinity of the surface of the pellets. • The Li vaporization is remarkable only for an early short time for the vaporization from Li{sub 2}SiO{sub 3} grains at the vicinity of the surface. • The second stable phase added functions effectively for inhibition of the Li vaporization. - Abstract: Li vaporization property of two-phase materials of Li{sub 2}TiO{sub 3} and Li{sub 2}SiO{sub 3} in a working condition for the solid tritium breeder used in the demonstration power plant of fusion reactor was investigated, and the suppression mechanism of the vaporization was considered. The Li vaporization rate from the specimen pellet was measured by gravimetric method, and the change of Li concentration distribution in the pellet was analyzed by time-of-flight secondary ion mass spectrometer. Li was vaporized only from the Li{sub 2}SiO{sub 3} at the vicinity of the surface of the pellet. The remarkable vaporization of Li arose only in an early short time. The inhibition of the vaporization from the Li{sub 2}SiO{sub 3} was successful by adding the small amount of the stable secondary phase of Li{sub 2}TiO{sub 3}.

  7. High flux diode packaging using passive microscale liquid-vapor phase change

    Science.gov (United States)

    Bandhauer, Todd; Deri, Robert J.; Elmer, John W.; Kotovsky, Jack; Patra, Susant

    2017-09-19

    A laser diode package includes a heat pipe having a fluid chamber enclosed in part by a heat exchange wall for containing a fluid. Wicking channels in the fluid chamber is adapted to wick a liquid phase of the fluid from a condensing section of the heat pipe to an evaporating section of the heat exchanger, and a laser diode is connected to the heat exchange wall at the evaporating section of the heat exchanger so that heat produced by the laser diode is removed isothermally from the evaporating section to the condensing section by a liquid-to-vapor phase change of the fluid.

  8. Preparation of beryllium hydride

    International Nuclear Information System (INIS)

    Lowrance, B.R.

    1975-01-01

    A process is described for the preparation of beryllium hydride which comprises pyrolyzing, while in solution in a solvent inert under the reaction conditions, with respect to reactants and products and at a temperature in the range of about 100 0 to about 200 0 C, sufficient to result in the formation of beryllium hydride, a di-t-alkyl beryllium etherate wherein each tertiary alkyl radical contains from 4 to 20 carbon atoms. The pyrolysis is carried out under an atmosphere inert under the reaction conditions, with respect to reactants and products. (U.S.)

  9. Hydrogen adsorption on palladium and palladium hydride at 1 bar

    DEFF Research Database (Denmark)

    Johansson, Martin; Skulason, Egill; Nielsen, Gunver

    2010-01-01

    strongly to Pd hydride than to Pd. The activation barrier for desorption at a H coverage of one mono layer is slightly lower on Pd hydride, whereas the activation energy for adsorption is similar on Pd and Pd hydride. It is concluded that the higher sticking probability on Pd hydride is most likely caused...

  10. Hydride observations using the neutrography technique

    International Nuclear Information System (INIS)

    Meyer, G.; Baruj, A.; Borzone, E.M.; Cardenas, R.; Szames, E.; Somoza, J.; Rivas, S.; Sanchez, F.A.; Marin, J.

    2012-01-01

    Neutron radiography observations were performed at the RA-6 experimental nuclear facility in Bariloche. Images from a prototype of a hydride-based hydrogen storage device have been obtained. The technique allows visualizing the inner hydride space distribution. The hydride appeared compacted at the lower part of the prototype after several cycles of hydrogen charge and discharge. The technique has also been applied to the study of Zr/ZrH 2 samples. There is a linear relation between the sample width/hydrogen concentration and the photograph grey scale. This information could be useful for the study of nuclear engineering materials and to determine their possible degradation by hydrogen pick up (author)

  11. Hydride heat pump with heat regenerator

    Science.gov (United States)

    Jones, Jack A. (Inventor)

    1991-01-01

    A regenerative hydride heat pump process and system is provided which can regenerate a high percentage of the sensible heat of the system. A series of at least four canisters containing a lower temperature performing hydride and a series of at least four canisters containing a higher temperature performing hydride is provided. Each canister contains a heat conductive passageway through which a heat transfer fluid is circulated so that sensible heat is regenerated. The process and system are useful for air conditioning rooms, providing room heat in the winter or for hot water heating throughout the year, and, in general, for pumping heat from a lower temperature to a higher temperature.

  12. Substrate-induced phase of a [1]benzothieno[3,2-b]benzothiophene derivative and phase evolution by aging and solvent vapor annealing.

    Science.gov (United States)

    Jones, Andrew O F; Geerts, Yves H; Karpinska, Jolanta; Kennedy, Alan R; Resel, Roland; Röthel, Christian; Ruzié, Christian; Werzer, Oliver; Sferrazza, Michele

    2015-01-28

    Substrate-induced phases (SIPs) are polymorphic phases that are found in thin films of a material and are different from the single crystal or "bulk" structure of a material. In this work, we investigate the presence of a SIP in the family of [1]benzothieno[3,2-b]benzothiophene (BTBT) organic semiconductors and the effect of aging and solvent vapor annealing on the film structure. Through extensive X-ray structural investigations of spin coated films, we find a SIP with a significantly different structure to that found in single crystals of the same material forms; the SIP has a herringbone motif while single crystals display layered π-π stacking. Over time, the structure of the film is found to slowly convert to the single crystal structure. Solvent vapor annealing initiates the same structural evolution process but at a greatly increased rate, and near complete conversion can be achieved in a short period of time. As properties such as charge transport capability are determined by the molecular structure, this work highlights the importance of understanding and controlling the structure of organic semiconductor films and presents a simple method to control the film structure by solvent vapor annealing.

  13. Development of Epitaxial GaN Films for RF Communications, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — The primary objective of this SBIR is to develop epitaxial GaN films with threading dislocation density less than 10^6 cm^-2. We propose an innovative approach...

  14. Disorder in silicon films grown epitaxially at low temperature

    International Nuclear Information System (INIS)

    Schwarzkopf, J.; Selle, B.; Bohne, W.; Roehrich, J.; Sieber, I.; Fuhs, W.

    2003-01-01

    Homoepitaxial Si films were prepared by electron cyclotron resonance plasma enhanced chemical vapor deposition on Si(100) substrates at temperatures of 325-500 deg. C using H 2 , Ar, and SiH 4 as process gases. The gas composition, substrate temperature, and substrate bias voltage were systematically varied to study the breakdown of epitaxial growth. Information from ion beam techniques, like Rutherford backscattering and heavy-ion elastic recoil detection analysis, was combined with transmission and scanning electron micrographs to examine the transition from ordered to amorphous growth. The results suggest that the breakdown proceeds in two stages: (i) highly defective but still ordered growth with a defect density increasing with increasing film thickness and (ii) formation of conically shaped amorphous precipitates. The hydrogen content is found to be directly related to the degree of disorder which acts as sink for excessive hydrogen. Only in almost perfect epitaxially grown films is the hydrogen level low, and an exponential tail of the H concentration into the crystalline substrate is observed as a result of the diffusive transport of hydrogen

  15. New Promising Hydride Based on the Cu-Li-Mg System

    Energy Technology Data Exchange (ETDEWEB)

    Braga, M H; Acatrinei, A; Hartl, M; Vogel, S; Proffen, Th; Daemen, L, E-mail: mbraga@lanl.gov

    2010-11-01

    We investigated the ternary Cu-Li-Mg system, in particular the CuLi{sub x}Mg{sub 2-x} (x = 0.08) for hydrogen storage. Instead of crystallizing in an orthorhombic phase, as CuMg2, this phase presents a hexagonal structure very similar to that of NiMg{sub 2} and NiMg{sub 2}H{sub 0.3}. In this work we will discuss the structure of CuLi{sub x}Mg{sub 2-x} by the analysis of the neutron scattering data and first principles calculations. The first results for a hydride (deuteride) phase will also mentioned since preliminary studies at LANSCE showed that CuLi{sub x}Mg{sub 2-x} might absorb approximately 5.3 to 6 wt% of H at an equilibrium pressure of approximately 27 bar at 200 deg. C. If these results are confirmed in future work, this will mean that, not only CuLi{sub x}Mg{sub 2-x} absorbs a considerable amount of hydrogen (close to DOE's expectations for hydrogen storage materials), but also will probably release it at a temperature in the range of 50 to 150 deg. C, where applications are easier to develop. Hence it should be possible to use this alloy with fuel cells or in batteries. Another important observation is that cycling has a strong effect on the structure of the hydride.

  16. Break Differed Induced by Hydrides (BDIH) in Zr-2,5Nb: Microstructure effect

    International Nuclear Information System (INIS)

    Mieza, J. Ignacio; Domizzi, Gladys; Vigna, Gustavo L

    2006-01-01

    The alloys of Zr-2,5%Nb are susceptible to be degraded for the incorporation of hydrogen in their matrix. One of the mechanisms of the damage by hydrogen known as Break Differed Induced by Hydrides (BDIH) consists of the evolution, in discreet steps, of a crack inside the matrix by the fragile break of the hydride phase. The parameter utilized to characterize the severity of the process of BDIH is the velocity of advance of the crack. The variables that affect to the velocity are the solicitations of external load, the thermal cycles, the content of hydrogen and the microstructure of the material. The Zr-2, 5% Nb of nuclear use is a two-phase alloy (α-β) constituted by the phase alpha (rich in Zr) and β-Zr (rich in Nb) retained since high temperature. In service, the phase metastable evolves toward the stable phase depending on the time and the temperature of operation. In this work the effects of the evolution of the phase β-Zr on the velocity of BDIH are studied, measure with emission acoustics. The microstructural characterization was done by means of obtained dust X-rays diffraction by anodic dissolution of the material. The results obtained show the decrease of the velocity of propagation of the crack with the degree of advance of the transformation toward the phase β-Nb, consistent effect with the differences observed in the coefficients of diffusion of each phase (AG)

  17. Pumping requirements and options for molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

    International Nuclear Information System (INIS)

    McCollum, M.J.; Plano, M.A.; Haase, M.A.; Robbins, V.M.; Jackson, S.L.; Cheng, K.Y.; Stillman, G.E.

    1989-01-01

    This paper discusses the use of gas sources in growth by MBE as a result of current interest in growth of InP/InGaAsP/InGaAs lattice matched to InP. For gas flows greater than a few sccm, pumping speed requirements dictate the use of turbomolecular or diffusion pumps. GaAs samples with high p-type mobilities have been grown with diffusion pumped molecular beam epitaxial system. According to the authors, this demonstration of the inherent cleanliness of a properly designed diffusion pumping system indicates that a diffusion pump is an excellent inexpensive and reliable choice for growth by molecular beam epitaxy and gas source molecular beam epitaxy/chemical beam epitaxy

  18. Electronic structure, bonding and chemisorption in metallic hydrides

    International Nuclear Information System (INIS)

    Ward, J.W.

    1980-01-01

    Problems that can arise during the cycling steps for a hydride storage system usually involve events at surfaces. Chemisorption and reaction processes can be affected by small amounts of contaminants that may act as catalytic poisons. The nature of the poisoning process can vary greatly for the different metals and alloys that form hydrides. A unifying concept is offered, which satisfactorily correlates many of the properties of transition-metal, rare-earth and actinide hydrides. The metallic hydrides can be differentiated on the basis of electronegativity, metallic radius (valence) and electronic structure. For those systems where there are d (transition metals) or f (early actinides) electrons near the Fermi level a broad range of chemical and catalytic behaviors are found, depending on bandwidth and energy. The more electropositive metals (rare-earths, actinides, transition metals with d > 5) dissolve hydrogen and form hydrides by an electronically somewhat different process, and as a class tend to adsorb electrophobic molecules. The net charge-transfer in either situation is subtle; however, the small differences are responsible for many of the observed structural, chemical, and catalytic properties in these hydride systems

  19. High pressure hydriding of sponge-Zr in steam-hydrogen mixtures

    International Nuclear Information System (INIS)

    Kim, Y.S.

    1997-01-01

    Hydriding kinetics of thin sponge-Zr layers metallurgically bonded to a Zircaloy disk has been studied by thermogravimetry in the temperature range 350-400 C in 7 MPa hydrogen-steam mixtures. Some specimens were prefilmed with a thin oxide layer prior to exposure to the reactant gas; all were coated with a thin layer of gold to avoid premature reaction at edges. Two types of hydriding were observed in prefilmed specimens, viz., a slow hydrogen absorption process that precedes an accelerated (massive) hydriding. At 7 MPa total pressure, the critical ratio of H 2 /H 2 O above which massive hydriding occurs at 400 C is ∝200. The critical H 2 /H 2 O ratio is shifted to ∝2.5 x 10 3 at 350 C. The slow hydriding process occurs only when conditions for hydriding and oxidation are approximately equally favorable. Based on maximum weight gain, the specimen is completely converted to δ-ZrH 2 by massive hydriding in ∝5 h at a hydriding rate of ∝10 -6 mol H/cm 2 s. Incubation times of 10-20 h prior to the onset of massive hydriding increases with prefilm oxide thickness in the range of 0-10 μm. By changing to a steam-enriched gas, massive hydriding that initially started in a steam-starved condition was arrested by re-formation of a protective oxide scale. (orig.)

  20. Comminution by hydriding-dehydriding process of the U-Zr-Nb alloys stabilized at different phases by aging heat treatment

    International Nuclear Information System (INIS)

    Cantagalli, Natalia Mattar; Pais, Rafael Witter Dias; Braga, Daniel Martins; Santos, Ana Maria Matildes dos; Ferraz, Wilmar Barbosa

    2011-01-01

    Powders of the U-Zr-Nb alloys are raw materials for obtaining plate-type dispersion fuel of high density and medium enrichment for research and test reactors as well as small power reactors. U-2.5Zr-7.5Nb and U-3Zr-9Nb (wt%) alloys, initially homogenized at high temperatures, were transformed at different phases by means aging heat treatments, and then comminuted by hydriding-dehydriding process to powder production. The phases transformations were obtained by the homogenization of the U-2.5Zr-7.5Nb and U-3Zr-9Nb alloys at high temperatures (1000 deg C for 1 and 16 h), followed by aging heat treatment at 600 deg C, in times of 0.5 h, 3.0 h and 24h, and subsequently quenched in water to stabilize the desired phase. The comminution process was performed at 200 deg C for different times ranging from 20 minutes to 4 hours. The powders were then characterized by scanning electron microscopy, X-ray diffraction and determination of particle size distribution by means of laser equipment CILAS. One of the main objectives of this study was to verify the influence of the different phases in the characteristics of the obtained powders. It was found that alloys stabilized in gamma phase produced powders with smaller particles sizes than those with cellular structure of the α and γ phases. Regardless of retained phases, the produced powders consist of agglomerates with irregular morphology. (author)

  1. Comminution by hydriding-dehydriding process of the U-Zr-Nb alloys stabilized at different phases by aging heat treatment

    Energy Technology Data Exchange (ETDEWEB)

    Cantagalli, Natalia Mattar; Pais, Rafael Witter Dias; Braga, Daniel Martins; Santos, Ana Maria Matildes dos; Ferraz, Wilmar Barbosa, E-mail: ferrazw@cdtn.b [Centro de Desenvolvimento da Tecnologia Nuclear (CDTN/CNEN-MG) Belo Horizonte, MG (Brazil)

    2011-07-01

    Powders of the U-Zr-Nb alloys are raw materials for obtaining plate-type dispersion fuel of high density and medium enrichment for research and test reactors as well as small power reactors. U-2.5Zr-7.5Nb and U-3Zr-9Nb (wt%) alloys, initially homogenized at high temperatures, were transformed at different phases by means aging heat treatments, and then comminuted by hydriding-dehydriding process to powder production. The phases transformations were obtained by the homogenization of the U-2.5Zr-7.5Nb and U-3Zr-9Nb alloys at high temperatures (1000 deg C for 1 and 16 h), followed by aging heat treatment at 600 deg C, in times of 0.5 h, 3.0 h and 24h, and subsequently quenched in water to stabilize the desired phase. The comminution process was performed at 200 deg C for different times ranging from 20 minutes to 4 hours. The powders were then characterized by scanning electron microscopy, X-ray diffraction and determination of particle size distribution by means of laser equipment CILAS. One of the main objectives of this study was to verify the influence of the different phases in the characteristics of the obtained powders. It was found that alloys stabilized in gamma phase produced powders with smaller particles sizes than those with cellular structure of the {alpha} and {gamma} phases. Regardless of retained phases, the produced powders consist of agglomerates with irregular morphology. (author)

  2. A study of stress reorientation of hydrides in zircaloy

    Energy Technology Data Exchange (ETDEWEB)

    Yourong, Jiang; Bangxin, Zhou [Nuclear Power Inst. of China, Chengdu, SC (China)

    1994-10-01

    Under the conditions of circumferential tensile stress from 70 to 180 MPa for Zircaloy tubes or the tensile stress from 55 to 180 MPa for Zircaloy-4 plates and temperature cycling between 150 and 400 degree C, the effects of stress and the number of temperature cycling on hydride reorientation in Zircaloy-4 tubes and plates and Zircaloy-2 tubes containing about 220 {mu}g/g hydrogen have been investigated. With the increase of stress and/or the number of temperature cycling, the level of hydride reorientation increases. When hydride reorientation takes place, there is a threshold stress concerned with the number of temperature cycling. Below the threshold stress, hydride reorientation is not obvious. When applied stress is higher than the threshold stress, the level of hydride reorientation increases with the increase of stress and the number of temperature cycling. Hydride reorientation in Zircaloy-4 tubes develops gradually from the outer surface to inner surface. It might be related to the difference of texture between outer surface and inner surface. The threshold stress is affected by both the texture and the value of B. So controlling texture could still restrict hydride reorientation under tensile stress.

  3. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Balzer, F., E-mail: fbalzer@mci.sdu.dk [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Sun, R. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Parisi, J. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany); Rubahn, H.-G. [University of Southern Denmark, Mads Clausen Institute, Alsion 2, DK-6400 Sønderborg (Denmark); Lützen, A. [University of Bonn, Kekulé Institute of Organic Chemistry and Biochemistry, Gerhard-Domagk-Str. 1, D-53121 Bonn (Germany); Schiek, M. [University of Oldenburg, Energy and Semiconductor Research Laboratory, Institute of Physics, Carl-von-Ossietzky-Str. 9-11, D-26111 Oldenburg (Germany)

    2015-12-31

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  4. Epitaxial growth of a methoxy-functionalized quaterphenylene on alkali halide surfaces

    International Nuclear Information System (INIS)

    Balzer, F.; Sun, R.; Parisi, J.; Rubahn, H.-G.; Lützen, A.; Schiek, M.

    2015-01-01

    The epitaxial growth of the methoxy functionalized para-quaterphenylene (MOP4) on the (001) faces of the alkali halides NaCl and KCl and on glass is investigated by a combination of low energy electron diffraction (LEED), polarized light microscopy (PLM), atomic force microscopy (AFM), and X-ray diffraction (XRD). Both domains from upright molecules as well as fiber-like crystallites from lying molecules form. Neither a wetting layer from lying molecules nor widespread epitaxial fiber growth on the substrates is detected. Our results focus on the upright standing molecules, which condense into a thin film phase with an enlarged layer spacing compared to the bulk phase. - Highlights: • Growth of a methoxy-functionalized para-phenylene on dielectric surfaces is investigated. • Low-energy electron diffraction and X-ray diffraction techniques are employed for structural characterization. • Epitaxial growth of upright molecules only is documented. • Polarized optical microscopy together with atomic force microscopy complements the findings.

  5. Application of acoustic emission to hydride cracking

    International Nuclear Information System (INIS)

    Sagat, S.; Ambler, J.F.R.; Coleman, C.E.

    1986-07-01

    Acoustic emission has been used for over a decade to study delayed hydride cracking (DHC) in zirconium alloys. At first acoustic emission was used primarily to detect the onset of DHC. This was possible because DHC was accompanied by very little plastic deformation of the material and furthermore the amplitudes of the acoustic pulses produced during cracking of the brittle hydride phase were much larger than those from dislocation motion and twinning. Acoustic emission was also used for measuring crack growth when it was found that for a suitable amplitude threshold, the total number of acoustic emission counts was linearly related to the cracked area. Once the proportionality constant was established, the acoustic counts could be converted to the crack length. Now the proportionality between the count rate and the crack growth rate is used to provide feedback between the crack length and the applied load, using computer technology. In such a system, the stress at the crack tip can be maintained constant during the test by adjusting the applied load as the crack progresses, or it can be changed in a predetermined manner, for example, to measure the threshold stress for cracking

  6. Dehydriding and re-hydriding properties of high-energy ball milled LiBH{sub 4}+MgH{sub 2} mixtures

    Energy Technology Data Exchange (ETDEWEB)

    Crosby, Kyle; Shaw, Leon L. [Department of Chemical, Materials and Biomolecular Engineering, University of Connecticut, 97 North Eagleville Road, U-3136, Storrs, CT 06269 (United States)

    2010-07-15

    Here we report the first investigation of the dehydriding and re-hydriding properties of 2LiBH{sub 4} + MgH{sub 2} mixtures in the solid state. Such a study is made possible by high-energy ball milling of 2LiBH{sub 4}+MgH{sub 2} mixtures at liquid nitrogen temperature with the addition of graphite. The 2LiBH{sub 4}+MgH{sub 2} mixture ball milled under this condition exhibits a 5-fold increase in the released hydrogen at 265 C when compared with ineffectively ball milled counterparts. Furthermore, both LiBH{sub 4} and MgH{sub 2} contribute to hydrogen release in the solid state. The isothermal dehydriding/re-hydriding cycles at 265 C reveal that re-hydriding is dominated by re-hydriding of Mg. These unusual phenomena are explained based on the formation of nanocrystalline and amorphous phases, the increased defect concentration in crystalline compounds, and possible catalytic effects of Mg,MgH{sub 2} and LiBH{sub 4} on their dehydriding and re-hydriding properties. (author)

  7. High throughput semiconductor deposition system

    Science.gov (United States)

    Young, David L.; Ptak, Aaron Joseph; Kuech, Thomas F.; Schulte, Kevin; Simon, John D.

    2017-11-21

    A reactor for growing or depositing semiconductor films or devices. The reactor may be designed for inline production of III-V materials grown by hydride vapor phase epitaxy (HVPE). The operating principles of the HVPE reactor can be used to provide a completely or partially inline reactor for many different materials. An exemplary design of the reactor is shown in the attached drawings. In some instances, all or many of the pieces of the reactor formed of quartz, such as welded quartz tubing, while other reactors are made from metal with appropriate corrosion resistant coatings such as quartz or other materials, e.g., corrosion resistant material, or stainless steel tubing or pipes may be used with a corrosion resistant material useful with HVPE-type reactants and gases. Using HVPE in the reactor allows use of lower-cost precursors at higher deposition rates such as in the range of 1 to 5 .mu.m/minute.

  8. Viability and proliferation of endothelial cells upon exposure to GaN nanoparticles.

    Science.gov (United States)

    Braniste, Tudor; Tiginyanu, Ion; Horvath, Tibor; Raevschi, Simion; Cebotari, Serghei; Lux, Marco; Haverich, Axel; Hilfiker, Andres

    2016-01-01

    Nanotechnology is a rapidly growing and promising field of interest in medicine; however, nanoparticle-cell interactions are not yet fully understood. The goal of this work was to examine the interaction between endothelial cells and gallium nitride (GaN) semiconductor nanoparticles. Cellular viability, adhesion, proliferation, and uptake of nanoparticles by endothelial cells were investigated. The effect of free GaN nanoparticles versus the effect of growing endothelial cells on GaN functionalized surfaces was examined. To functionalize surfaces with GaN, GaN nanoparticles were synthesized on a sacrificial layer of zinc oxide (ZnO) nanoparticles using hydride vapor phase epitaxy. The uptake of GaN nanoparticles by porcine endothelial cells was strongly dependent upon whether they were fixed to the substrate surface or free floating in the medium. The endothelial cells grown on surfaces functionalized with GaN nanoparticles demonstrated excellent adhesion and proliferation, suggesting good biocompatibility of the nanostructured GaN.

  9. Point defect induced degradation of electrical properties of Ga2O3 by 10 MeV proton damage

    Science.gov (United States)

    Polyakov, A. Y.; Smirnov, N. B.; Shchemerov, I. V.; Yakimov, E. B.; Yang, Jiancheng; Ren, F.; Yang, Gwangseok; Kim, Jihyun; Kuramata, A.; Pearton, S. J.

    2018-01-01

    Deep electron and hole traps in 10 MeV proton irradiated high-quality β-Ga2O3 films grown by Hydride Vapor Phase Epitaxy (HVPE) on bulk β-Ga2O3 substrates were measured by deep level transient spectroscopy with electrical and optical injection, capacitance-voltage profiling in the dark and under monochromatic irradiation, and also electron beam induced current. Proton irradiation caused the diffusion length of charge carriers to decrease from 350-380 μm in unirradiated samples to 190 μm for a fluence of 1014 cm-2, and this was correlated with an increase in density of hole traps with optical ionization threshold energy near 2.3 eV. These defects most likely determine the recombination lifetime in HVPE β-Ga2O3 epilayers. Electron traps at Ec-0.75 eV and Ec-1.2 eV present in as-grown samples increase in the concentration after irradiation and suggest that these centers involve native point defects.

  10. Electron beam and optical depth profiling of quasibulk GaN

    International Nuclear Information System (INIS)

    Chernyak, L.; Osinsky, A.; Nootz, G.; Schulte, A.; Jasinski, J.; Benamara, M.; Liliental-Weber, Z.; Look, D. C.; Molnar, R. J.

    2000-01-01

    Electron beam and optical depth profiling of thick (5.5--64 μm) quasibulk n-type GaN samples, grown by hydride vapor-phase epitaxy, were carried out using electron beam induced current (EBIC), microphotoluminescence (PL), and transmission electron microscopy (TEM). The minority carrier diffusion length, L, was found to increase linearly from 0.25 μm, at a distance of about 5 μm from the GaN/sapphire interface, to 0.63 μm at the GaN surface, for a 36-μm-thick sample. The increase in L was accompanied by a corresponding increase in PL band-to-band radiative transition intensity as a function of distance from the GaN/sapphire interface. We attribute the latter changes in PL intensity and minority carrier diffusion length to a reduced carrier mobility and lifetime at the interface, due to scattering at threading dislocations. The results of EBIC and PL measurements are in good agreement with the values for dislocation density obtained using TEM

  11. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  12. A procedure for preparing alkali metal hydrides

    International Nuclear Information System (INIS)

    Lemieux, R.U.; Sanford, C.E.; Prescott, J.F.

    1976-01-01

    A plain low cost, procedure for the continuous, low temperature preparation of sodium or potassium hydrides using cheap reagents is presented. Said invention is especially concerned with a process of purifying of a catalytic exchange liquid used for deuterium enrichment, in which an alkali metal hydride is produced as intermediate product. The procedure for producing the sodium and potassium hydrides consists in causing high pressure hydrogen to be absorbed by a mixture of at least a lower monoalkylamine and an alkylamide of an alkali metal from at least one of said amines [fr

  13. Vapor-solid-solid growth mechanism driven by an epitaxial match between solid Au Zn alloy catalyst particle and Zn O nano wire at low temperature

    International Nuclear Information System (INIS)

    Campos, Leonardo C.; Tonezzer, Matteo; Ferlauto, Andre S.; Magalhaes-Paniago, Rogerio; Oliveira, Sergio; Ladeira, Luiz O.; Lacerda, Rodrigo G.

    2008-01-01

    Nowadays, the growth of nano materials, like nano wires and nano tubes, is one of the key research areas of nano technology. However, a full picture of the growth mechanism of these quasi-one dimensional systems still needs to be achieved if these materials are to be applied electronics, biology and medicinal fields. Nevertheless, in spite of considerable advances on the growth of numerous nano wires, a clear understanding of the growth mechanism is still controversial and highly discussed. The present work provides a comprehensive picture of the precise mechanism of Zn O vapor-solid-solid (VSS) nano wire growth at low temperatures and gives the fundamental reasons responsible. We demonstrate by using a combination of synchrotron XRD and high resolution TEM that the growth dynamics at low temperatures is not governed by the well-known vapor-liquid solid (VLS) mechanisms. A critical new insight on the driving factor of VSS growth is proposed in which the VSS process occurs by a solid diffusion mechanism that is driven by a preferential oxidation process of the Zn inside the alloy catalyst induced by an epitaxial match between the Zn O(10-10) plane and the γ-Au Zn(222) plane. We believe that these results are not only important for the understanding of Zn O nano wire growth but could also have significant impact on the understanding of growth mechanisms of other nano wire systems. (author)

  14. YNi and its hydrides: Phase stabilities, electronic structures and chemical bonding properties from first principles

    International Nuclear Information System (INIS)

    Matar, S.F.; Nakhl, M.; Al Alam, A.F.; Ouaini, N.; Chevalier, B.

    2010-01-01

    Graphical abstract: Base centered orthorhombic YNiH X structure. For x = 3, only H1 and H2 are present. Highest hydrogen content YNiH 4 is obtained when H3 are added. - Abstract: Within density functional theory, establishing the equations of states of YNi in two different controversial structures in the literature, leads to determine the orthorhombic FeB-type as the ground state one with small energy difference. For YNiH 3 and YNiH 4 hydrides crystallizing in the orthorhombic CrB-type structure the geometry optimization and the ab initio determination of the H atomic positions show that the stability of hydrogen decreases from the tri- to the tetra- hydride. New states brought by hydrogen within the valence band lead to its broadening and to enhanced localization of metal density of states. The chemical bonding analysis shows a preferential Ni-H bonding versus Y-H.

  15. Synthesis of TiO2 Nanoparticles from Ilmenite Through the Mechanism of Vapor-Phase Reaction Process by Thermal Plasma Technology

    Science.gov (United States)

    Samal, Sneha

    2017-11-01

    Synthesis of nanoparticles of TiO2 was carried out by non-transferred arc thermal plasma reactor using ilmenite as the precursor material. The powder ilmenite was vaporized at high temperature in plasma flame and converted to a gaseous state of ions in the metastable phase. On cooling, chamber condensation process takes place on recombination of ions for the formation of nanoparticles. The top-to-bottom approach induces the disintegration of complex ilmenite phases into simpler compounds of iron oxide and titanium dioxide phases. The vapor-phase reaction mechanism was carried out in thermal plasma zone for the synthesis of nanoparticles from ilmenite compound in a plasma reactor. The easy separation of iron particles from TiO2 was taken place in the plasma chamber with deposition of light TiO2 particles at the top of the cooling chamber and iron particles at the bottom. The dissociation and combination process of mechanism and synthesis are studied briefly in this article. The product TiO2 nanoparticle shows the purity with a major phase of rutile content. TiO2 nanoparticles produced in vapor-phase reaction process shows more photo-induced capacity.

  16. Epitaxial phase diagrams of SrTiO3, CaTiO3, and SrHfO3: Computational investigation including the role of antiferrodistortive and A -site displacement modes

    Science.gov (United States)

    Angsten, Thomas; Asta, Mark

    2018-04-01

    Ground-state epitaxial phase diagrams are calculated by density functional theory (DFT) for SrTiO3, CaTiO3, and SrHfO3 perovskite-based compounds, accounting for the effects of antiferrodistortive and A -site displacement modes. Biaxial strain states corresponding to epitaxial growth of (001)-oriented films are considered, with misfit strains ranging between -4 % and 4%. Ground-state structures are determined using a computational procedure in which input structures for DFT optimizations are identified as local minima in expansions of the total energy with respect to strain and soft-mode degrees of freedom. Comparison to results of previous DFT studies demonstrates the effectiveness of the computational approach in predicting ground-state phases. The calculated results show that antiferrodistortive octahedral rotations and associated A -site displacement modes act to suppress polarization and reduce the epitaxial strain energy. A projection of calculated atomic displacements in the ground-state epitaxial structures onto soft-mode eigenvectors shows that three ferroelectric and six antiferrodistortive displacement modes are dominant at all misfit strains considered, with the relative contributions from each varying systematically with the strain. Additional A -site displacement modes contribute to the atomic displacements in CaTiO3 and SrHfO3, which serve to optimize the coordination of the undersized A -site cation.

  17. Electrocatalytic hydride-forming compounds for rechageable batteries

    NARCIS (Netherlands)

    Notten, P.H.L.; Einerhand, R.E.F.

    1991-01-01

    Non-toxic intermetallic hydride-forming compounds are attractive alternatives to cadmium as the negative electrode materials in the new generation of Ni/metal hydride rechargeable batteries. High exchange currents and discharge efficiencies even at low temperatures can be achieved using highly

  18. In situ hydride formation in titanium during focused ion milling.

    Science.gov (United States)

    Ding, Rengen; Jones, Ian P

    2011-01-01

    It is well known that titanium and its alloys are sensitive to electrolytes and thus hydrides are commonly observed in electropolished foils. In this study, focused ion beam (FIB) milling was used to prepare thin foils of titanium and its alloys for transmission electron microscopy. The results show the following: (i) titanium hydrides were observed in pure titanium, (ii) the preparation of a bulk sample in water or acid solution resulted in the formation of more hydrides and (iii) FIB milling aids the precipitation of hydrides, but there were never any hydrides in Ti64 and Ti5553.

  19. Compact Raman Lidar Measurement of Liquid and Vapor Phase Water Under the Influence of Ionizing Radiation

    Directory of Open Access Journals (Sweden)

    Shiina Tatsuo

    2016-01-01

    Full Text Available A compact Raman lidar has been developed for studying phase changes of water in the atmosphere under the influence of ionization radiation. The Raman lidar is operated at the wavelength of 349 nm and backscattered Raman signals of liquid and vapor phase water are detected at 396 and 400 nm, respectively. Alpha particles emitted from 241Am of 9 MBq ionize air molecules in a scattering chamber, and the resulting ions lead to the formation of liquid water droplets. From the analysis of Raman signal intensities, it has been found that the increase in the liquid water Raman channel is approximately 3 times as much as the decrease in the vapor phase water Raman channel, which is consistent with the theoretical prediction based on the Raman cross-sections. In addition, the radius of the water droplet is estimated to be 0.2 μm.

  20. Economic analysis of hydride fueled BWR

    International Nuclear Information System (INIS)

    Ganda, F.; Shuffler, C.; Greenspan, E.; Todreas, N.

    2009-01-01

    The economic implications of designing BWR cores with hydride fuels instead of conventional oxide fuels are analyzed. The economic analysis methodology adopted is based on the lifetime levelized cost of electricity (COE). Bracketing values (1970 and 3010 $/kWe) are used for the overnight construction costs and for the power scaling factors (0.4 and 0.8) that correlate between a change in the capital cost to a change in the power level. It is concluded that a newly constructed BWR reactor could substantially benefit from the use of 10 x 10 hydride fuel bundles instead of 10 x 10 oxide fuel bundles design presently in use. The cost saving would depend on the core pressure drop constraint that can be implemented in newly constructed BWRs - it is between 2% and 3% for a core pressure drop constraint as of the reference BWR, between 9% and 15% for a 50% higher core pressure drop, and between 12% and 21% higher for close to 100% core pressure. The attainable cost reduction was found insensitive to the specific construction cost but strongly dependent on the power scaling factor. The cost advantage of hydride fuelled cores as compared to that of the oxide reference core depends only weakly on the uranium and SWU prices, on the 'per volume base' fabrication cost of hydride fuels, and on the discount rate used. To be economically competitive, the uranium enrichment required for the hydride fuelled core needs to be around 10%.