WorldWideScience

Sample records for hybrid nano-imprinting lithography

  1. 3D Simulation of Nano-Imprint Lithography

    DEFF Research Database (Denmark)

    Román Marín, José Manuel; Rasmussen, Henrik K.; Hassager, Ole

    2010-01-01

    A proof of concept study of the feasibility of fully three-dimensional (3D) time-dependent simulation of nano-imprint lithography of polymer melt, where the polymer is treated as a structured liquid, has been presented. Considering the flow physics of the polymer as a structured liquid, we have...

  2. Multi-level single mode 2D polymer waveguide optical interconnects using nano-imprint lithography

    NARCIS (Netherlands)

    Khan, M.U.; Justice, J.; Petäjä, J.; Korhonen, T.; Boersma, A.; Wiegersma, S.; Karppinen, M.; Corbett, B.

    2015-01-01

    Single and multi-layer passive optical interconnects using single mode polymer waveguides are demonstrated using UV nano-imprint lithography. The fabrication tolerances associated with imprint lithography are investigated and we show a way to experimentally quantify a small variation in index

  3. Investigation of GaN-based light emitting diodes with nano-hole patterned sapphire substrate (NHPSS) by nano-imprint lithography

    International Nuclear Information System (INIS)

    Huang, H.W.; Lin, C.H.; Huang, J.K.; Lee, K.Y.; Lin, C.F.; Yu, C.C.; Tsai, J.Y.; Hsueh, R.; Kuo, H.C.; Wang, S.C.

    2009-01-01

    In this paper, gallium-nitride (GaN)-based light-emitting diodes (LEDs) with nano-hole patterned sapphire (NHPSS) by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with NHPSS increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.33, and the wall-plug efficiency is 30% higher at 20 mA indicating that the LED with NHPSS had larger light extraction efficiency. In addition, by examining the radiation patterns, the LED with NHPSS shows stronger light extraction with a wider view angle. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography.

  4. Solvent influence upon structure & throughput of poly vinyledene fluoride thin film nano-patterns by imprint lithography

    Science.gov (United States)

    Sankar, M. S. Ravi; Gangineni, R. B.

    2018-04-01

    This work aims at understanding the solvent influence upon the throughput and structure of poly vinyledene fluoride (PVDF)nano-patterned films. The PVDF thin films are deposited by spin coating method using Dimethylsulfoxide (DMSO), Tetrahydrofuran (THF) and 2-butanone solvents. The nano-patterns are realized by imprinting SONY 700 MB CD aluminum constructions on PVDF thin filmsusing imprint lithography technique under ambient annealing temperature and pressure. Surface morphology &imprint pattern transfer quality is evaluated with Atomic force microscopy (AFM). Raman spectroscopy is used for evaluating the structural evolutions with respect to solvent & patterning.

  5. Fabrication of 3D nano-structures using reverse imprint lithography

    Science.gov (United States)

    Han, Kang-Soo; Hong, Sung-Hoon; Kim, Kang-In; Cho, Joong-Yeon; Choi, Kyung-woo; Lee, Heon

    2013-02-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED.

  6. Fabrication of 3D nano-structures using reverse imprint lithography

    International Nuclear Information System (INIS)

    Han, Kang-Soo; Cho, Joong-Yeon; Lee, Heon; Hong, Sung-Hoon; Kim, Kang-In; Choi, Kyung-woo

    2013-01-01

    In spite of the fact that the fabrication process of three-dimensional nano-structures is complicated and expensive, it can be applied to a range of devices to increase their efficiency and sensitivity. Simple and inexpensive fabrication of three-dimensional nano-structures is necessary. In this study, reverse imprint lithography (RIL) with UV-curable benzylmethacrylate, methacryloxypropyl terminated poly-dimethylsiloxane (M-PDMS) resin and ZnO-nano-particle-dispersed resin was used to fabricate three-dimensional nano-structures. UV-curable resins were placed between a silicon stamp and a PVA transfer template, followed by a UV curing process. Then, the silicon stamp was detached and a 2D pattern layer was transferred to the substrate using diluted UV-curable glue. Consequently, three-dimensional nano-structures were formed by stacking the two-dimensional nano-patterned layers. RIL was applied to a light-emitting diode (LED) to evaluate the optical effects of a nano-patterned layer. As a result, the light extraction of the patterned LED was increased by about 12% compared to an unpatterned LED. (paper)

  7. Large area nanoimprint by substrate conformal imprint lithography (SCIL)

    Science.gov (United States)

    Verschuuren, Marc A.; Megens, Mischa; Ni, Yongfeng; van Sprang, Hans; Polman, Albert

    2017-06-01

    Releasing the potential of advanced material properties by controlled structuring materials on sub-100-nm length scales for applications such as integrated circuits, nano-photonics, (bio-)sensors, lasers, optical security, etc. requires new technology to fabricate nano-patterns on large areas (from cm2 to 200 mm up to display sizes) in a cost-effective manner. Conventional high-end optical lithography such as stepper/scanners is highly capital intensive and not flexible towards substrate types. Nanoimprint has had the potential for over 20 years to bring a cost-effective, flexible method for large area nano-patterning. Over the last 3-4 years, nanoimprint has made great progress towards volume production. The main accelerator has been the switch from rigid- to wafer-scale soft stamps and tool improvements for step and repeat patterning. In this paper, we discuss substrate conformal imprint lithography (SCIL), which combines nanometer resolution, low patterns distortion, and overlay alignment, traditionally reserved for rigid stamps, with the flexibility and robustness of soft stamps. This was made possible by a combination of a new soft stamp material, an inorganic resist, combined with an innovative imprint method. Finally, a volume production solution will be presented, which can pattern up to 60 wafers per hour.

  8. Superior light trapping in thin film silicon solar cells through nano imprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Soppe, W.J.; Dorenkamper, M.S.; Schropp, R.E.I.; Pex, P.P.A.C.

    2013-10-15

    ECN and partners have developed a fabrication process based on nanoimprint lithography (NIL) of textures for light trapping in thin film solar cells such as thin-film silicon, OPV, CIGS and CdTe. The process can be applied in roll-to-roll mode when using a foil substrate or in roll-to-plate mode when using a glass substrate. The lacquer also serves as an electrically insulating layer for cells if steel foil is used as substrate, to enable monolithic series interconnection. In this paper we will show the superior light trapping in thin film silicon solar cells made on steel foil with nanotextured back contacts. We have made single junction a-Si and {mu}c-Si and a-Si/{mu}c-Si tandem cells, where we applied several types of nano-imprints with random and periodic structures. We will show that the nano-imprinted back contact enables more than 30% increase of current in comparison with non-textured back contacts and that optimized periodic textures outperform state-of-the-art random textures. For a-Si cells we obtained Jsc of 18 mA/cm{sup 2} and for {mu}c-Si cells more than 24 mA/cm{sup 2}. Tandem cells with a total Si absorber layer thickness of only 1350 nm have an initial efficiency of 11%.

  9. Inspection of imprint lithography patterns for semiconductor and patterned media

    Science.gov (United States)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  10. Nano imprint lithography of textures for light trapping in thin film silicon solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Soppe, W.J.; Dorenkamper, M.S.; Notta, J.B.; Pex, P.P.A.C. [ECN-Solliance, High Tech Campus 5, 5656 AE Eindhoven (Netherlands); Schipper, W.; Wilde, R. [Nanoptics GmbH, Innungsstrasse 5, 21244 Buchholz (Germany)

    2012-09-15

    Nano Imprint Lithography (NIL) is a versatile and commercially viable technology for fabrication of structures for light trapping in solar cells. We demonstrate the applicability of NIL in thin film silicon solar cells in substrate configuration, where NIL is used to fabricate a textured rear contact of the solar cells. We applied random structures, based on the natural texture of SnO:F grown by APCVD, and designed 2D periodic structures and show that for single junction {mu}c-Si cells these textured rear contacts lead to an increase of Jsc of more than 40 % in comparison to cells with flat rear contacts. Cells on optimized periodic textures showed higher fill factors which can be attributed to reduced microcrack formation, leading to less shunting in comparison to cells on random textures.

  11. Reflectance spectra characteristics from an SPR grating fabricated by nano-imprint lithography technique for biochemical nanosensor applications

    Science.gov (United States)

    Setiya Pradana, Jalu; Hidayat, Rahmat

    2018-04-01

    In this paper, we report our research work on developing a Surface Plasmon Resonance (SPR) element with sub-micron (hundreds of nanometers) periodicity grating structure. This grating structure was fabricated by using a simple nano-imprint lithography technique from an organically siloxane polymers, which was then covered by nanometer thin gold layer. The formed grating structure was a very well defined square-shaped periodic structure. The measured reflectance spectra indicate the SPR wave excitation on this grating structure. For comparison, the simulations of reflectance spectra have been also carried out by using Rigorous Coupled-Wave Analysis (RCWA) method. The experimental results are in very good agreement with the simulation results.

  12. Generic nano-imprint process for fabrication of nanowire arrays

    NARCIS (Netherlands)

    Pierret, A.; Hocevar, M.; Diedenhofen, S.L.; Algra, R.E.; Vlieg, E.; Timmering, E.C.; Verschuuren, M.A.; Immink, W.G.G.; Verheijen, M.A.; Bakkers, E.P.A.M.

    2010-01-01

    A generic process has been developed to grow nearly defect-free arrays of (heterostructured) InP and GaP nanowires. Soft nano-imprint lithography has been used to pattern gold particle arrays on full 2inch substrates. After lift-off organic residues remain on the surface, which induce the growth of

  13. Design and fabrication of spectrally selective emitter for thermophotovoltaic system by using nano-imprint lithography

    Science.gov (United States)

    Kim, Jong-Moo; Park, Keum-Hwan; Kim, Da-Som; Hwang, Bo-yeon; Kim, Sun-Kyung; Chae, Hee-Man; Ju, Byeong-Kwon; Kim, Young-Seok

    2018-01-01

    Thermophotovoltaic (TPV) systems have attracted attention as promising power generation systems that can directly convert the radiant energy produced by the combustion of fuel into electrical energy. However, there is a fundamental limit of their conversion efficiency due to the broadband distribution of the radiant spectrum. To overcome this problem, several spectrally selective thermal emitter technologies have been investigated, including the fabrication of photonic crystal (PhC) structures. In this paper, we present some design rules based on finite-a difference time-domain (FDTD) simulation results for tungsten (W) PhC emitter. The W 2D PhC was fabricated by a simple nano-imprint lithography (NIL) process, and inductive coupled plasma reactive ion etching (ICP-RIE) with an isotropic etching process, the benefits and parameters of which are presented. The fabricated W PhC emitter showed spectrally selective emission near the infrared wavelength range, and the optical properties varied depending on the size of the nano-patterns. The measured results of the fabricated prototype structure correspond well to the simulated values. Finally, compared with the performance of a flat W emitter, the total thermal emitter efficiency was almost 3.25 times better with the 2D W PhC structure.

  14. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei

    2014-03-01

    Nanoimprint lithography (NIL) is a cost-efficient nanopatterning technology because of its promising advantages of high throughput and high resolution. However, accurate multilevel overlay capability of NIL required for integrated circuit manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage in the manufacture of the coplanar structures, such as integrated plasmonic devices. In this paper, we develop a new process of planar self-alignment imprint lithography (P-SAIL) to fabricate the metallic and dielectric structures on the same plane. P-SAIL transfers the multilevel imprint processes to a single-imprint process which offers higher efficiency and less cost than existing manufacturing methods. Such concept is demonstrated in an example of fabricating planar plasmonic structures consisting of different materials. © 2014 Springer-Verlag Berlin Heidelberg.

  15. Imprint lithography: lab curiosity or the real NGL

    Science.gov (United States)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  16. Generic nano-imprint process for fabrication of nanowire arrays

    Energy Technology Data Exchange (ETDEWEB)

    Pierret, Aurelie; Hocevar, Moira; Algra, Rienk E; Timmering, Eugene C; Verschuuren, Marc A; Immink, George W G; Verheijen, Marcel A; Bakkers, Erik P A M [Philips Research Laboratories Eindhoven, High Tech Campus 11, 5656 AE Eindhoven (Netherlands); Diedenhofen, Silke L [FOM Institute for Atomic and Molecular Physics c/o Philips Research Laboratories, High Tech Campus 4, 5656 AE Eindhoven (Netherlands); Vlieg, E, E-mail: e.p.a.m.bakkers@tue.nl [IMM, Solid State Chemistry, Radboud University Nijmegen, Heyendaalseweg 135, 6525 AJ Nijmegen (Netherlands)

    2010-02-10

    A generic process has been developed to grow nearly defect-free arrays of (heterostructured) InP and GaP nanowires. Soft nano-imprint lithography has been used to pattern gold particle arrays on full 2 inch substrates. After lift-off organic residues remain on the surface, which induce the growth of additional undesired nanowires. We show that cleaning of the samples before growth with piranha solution in combination with a thermal anneal at 550 deg. C for InP and 700 deg. C for GaP results in uniform nanowire arrays with 1% variation in nanowire length, and without undesired extra nanowires. Our chemical cleaning procedure is applicable to other lithographic techniques such as e-beam lithography, and therefore represents a generic process.

  17. Roll-to-roll UV imprint lithography for flexible electronics

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Barbu, I.; Meinders, E.R.; Bremen, A. van; Iosad, N.; Werf, R. van der; Onvlee, H.

    2011-01-01

    We propose a roll-to-roll UV imprint lithography tool as a way to pattern flexible PET foil with µm-resolution. As a way to overcome dimensional instability of the foil and its effect on overlay, a self-align approach was investigated, that permits to make several layers in a single lithography

  18. Nano lithography

    CERN Document Server

    Landis, Stefan

    2013-01-01

    Lithography is an extremely complex tool - based on the concept of "imprinting" an original template version onto mass output - originally using relatively simple optical exposure, masking, and etching techniques, and now extended to include exposure to X-rays, high energy UV light, and electron beams - in processes developed to manufacture everyday products including those in the realms of consumer electronics, telecommunications, entertainment, and transportation, to name but a few. In the last few years, researchers and engineers have pushed the envelope of fields including optics, physics,

  19. Nano devices and sensors

    CERN Document Server

    Liaw, Shien-Kuei; Chung, Yung-Hui

    2016-01-01

    This volume on semiconductor devices focuses on such topics as nano-imprinting, lithography, nanowire charge-trapping, thermo-stability in nanowires, nano-electrodes, and voltage and materials used for fabricating and improving electrical characteristics of nano-materials.

  20. UV curing imprint lithography for micro-structure in MEMS manufacturing

    International Nuclear Information System (INIS)

    Ding Yucheng; Liu Hongzhong; Lu Bingheng; Qiu Zhihui

    2006-01-01

    Imprint lithography has been gaining popularity as a new method to fabricate microelectro mechanical systems. The main advantages of the IL are its extremely low set-up cost, high replicating accuracy and extended fabricating critical dimension. Compare to traditional optical lithography, IL has the advantages of being able to fabricate complex pattern structure with high-aspect ratio. However, the thermal and loading errors can reduce pattern transferring fidelity. In this paper, UV curing method is used in IL process which can avoid the heat distortion of tools. Additionally, a six-step loading process for template pressing into resist film is developed. The performance of this process include: the loading locus is continuous with very high accuracy (10nm), the press releasing control (accuracy up to 1 psi) can reduce and avoid the distortion of template structure and stage supports. This process can achieve a residual layer with thickness of 20nm and avoid the elastic stamp distorted (under 20nm) at the same time. The press force can reach up to 300 psi for 6 cm 2 pattern size but the friction force during demould process can be reduced to 30 psi. Experimental results reveal that it is a novel and robust process with high fidelity in micro/nano structures manufacturing

  1. Nano-imprint gold grating as refractive index sensor

    International Nuclear Information System (INIS)

    Kumari, Sudha; Mohapatra, Saswat; Moirangthem, Rakesh S.

    2016-01-01

    Large scale of fabrication of plasmonic nanostructures has been a challenging task due to time consuming process and requirement of expensive nanofabrication tools such as electron beam lithography system, focused ion beam system, and extreme UV photolithography system. Here, we present a cost-effective fabrication technique so called soft nanoimprinting to fabricate nanostructures on the larger sample area. In our fabrication process, a commercially available optical DVD disc was used as a template which was imprinted on a polymer glass substrate to prepare 1D polymer nano-grating. A homemade nanoimprinting setup was used in this fabrication process. Further, a label-free refractive index sensor was developed by utilizing the properties of surface plasmon resonance (SPR) of a gold coated 1D polymer nano-grating. Refractive index sensing was tested by exposing different solutions of glycerol-water mixture on the surface of gold nano-grating. The calculated bulk refractive index sensitivity was found to be 751nm/RIU. We believed that our proposed SPR sensor could be a promising candidate for developing low-cost refractive index sensor with high sensitivity on a large scale.

  2. Resistless Fabrication of Nanoimprint Lithography (NIL Stamps Using Nano-Stencil Lithography

    Directory of Open Access Journals (Sweden)

    Juergen Brugger

    2013-10-01

    Full Text Available In order to keep up with the advances in nano-fabrication, alternative, cost-efficient lithography techniques need to be implemented. Two of the most promising are nanoimprint lithography (NIL and stencil lithography. We explore here the possibility of fabricating the stamp using stencil lithography, which has the potential for a cost reduction in some fabrication facilities. We show that the stamps reproduce the membrane aperture patterns within ±10 nm and we validate such stamps by using them to fabricate metallic nanowires down to 100 nm in size.

  3. Planar self-aligned imprint lithography for coplanar plasmonic nanostructures fabrication

    KAUST Repository

    Wan, Weiwei; Lin, Liang; Xu, Yelong; Guo, Xu; Liu, Xiaoping; Ge, Haixiong; Lu, Minghui; Cui, Bo; Chen, Yanfeng

    2014-01-01

    manufacturing remains a challenge due to the high cost of achieving mechanical alignment precision. Although self-aligned imprint lithography was developed to avoid the need of alignment for the vertical layered structures, it has limited usage

  4. Programmable imprint lithography template

    Science.gov (United States)

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  5. Fabrication of nano-electrode arrays of free-standing carbon nanotubes on nano-patterned substrate by imprint method

    Energy Technology Data Exchange (ETDEWEB)

    Chang, W.S., E-mail: paul@kimm.re.kr [Department of Nano Mechanics, Korea Institute of Machinery and Materials, 104 Sinseongno, Yuseong-gu Daejeon 305-343 (Korea, Republic of); Kim, J.W. [Gyeongbuk Hybrid Technology Institute, 36 Goeyeon-dong, Yeongcheon, Gyeongbuk 770-170 (Korea, Republic of); Choi, D.G. [Department of Nano Mechanics, Korea Institute of Machinery and Materials, 104 Sinseongno, Yuseong-gu Daejeon 305-343 (Korea, Republic of); Han, C.S. [Gyeongbuk Hybrid Technology Institute, 36 Goeyeon-dong, Yeongcheon, Gyeongbuk 770-170 (Korea, Republic of)

    2011-01-15

    The synthesis of isolated carbon nanotubes with uniform outer diameters and ordered spacing over wafer-scale areas was investigated for fabrication of nano-electrode arrays on silicon wafers for field emission and sensor devices. Multi-walled carbon nanotubes (MWCNTs) were grown on TiN electrode layer with iron catalyst patterned by nano-imprint lithography (NIL), which allows the precise placement of individual CNTs on a substrate. The proposed techniques, including plasma-enhanced chemical vapor deposition (PECVD) and NIL, are simple, inexpensive, and reproducible methods for fabrication of nano-scale devices in large areas. The catalyst patterns were defined by an array of circles with 200 nm in diameter, and variable lengths of pitch. The nano-patterned master and Fe catalyst were observed with good pattern fidelity over a large area by atomic force microscope (AFM) and scanning electron microscopy (SEM). Nano-electrodes of MWCNTs had diameters ranging from 50 nm to 100 nm and lengths of about 300 nm. Field emission tests showed the reducing ignition voltage as the geometry of nanotube arrays was controlled by catalyst patterning. These results showed a wafer-scale approach to the control of the size, pitch, and position of nano-electrodes of nanotubes for various applications including electron field-emission sources, electrochemical probes, functionalized sensor elements, and so on.

  6. Double-layer imprint lithography on wafers and foils from the submicrometer to the millimeter scale

    NARCIS (Netherlands)

    Moonen, P.F.; Yakimets, I.; Peter, M.; Meinders, E.R.; Huskens, J.

    2011-01-01

    In this paper, a thermal imprint technique, double-layer nanoimprint lithography (dlNIL), is introduced, allowing complete filling of features in the dimensional range of submicrometer to millimeter. The imprinting and filling quality of dlNIL was studied on Si substrates as a model system and

  7. 450mm wafer patterning with jet and flash imprint lithography

    Science.gov (United States)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  8. Fabrication of nano-sized metal patterns on flexible polyethylene-terephthalate substrate using bi-layer nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Hwang, Seon Yong; Jung, Ho Yong [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of); Jeong, Jun-Ho [Nano-Mechanical Systems Research Center, Korea Institute of Machinery and Materials, Yuseong-gu Daejeon, 305-343 (Korea, Republic of); Lee, Heon, E-mail: heonlee@korea.ac.k [Department of Materials Science and Engineering, Korea University, Seoul, 136-701 (Korea, Republic of)

    2009-05-29

    Polymer films are widely used as a substrate for displays and for solar cells since they are cheap, transparent and flexible, and their material properties are easy to design. Polyethylene-terephthalate (PET) is especially useful for various applications requiring transparency, flexibility and good thermal and chemical resistance. In this study, nano-sized metal patterns were fabricated on flexible PET film by using nanoimprint lithography (NIL). Water-soluble poly-vinyl alcohol (PVA) resin was used as a planarization and sacrificial layer for the lift-off process, as it does not damage the PET films and can easily be etched off by using oxygen plasma. NIL was used to fabricate the nano-sized patterns on the non-planar or flexible substrate. Finally, a nano-sized metal pattern was successfully formed by depositing the metal layer over the imprinted resist patterns and applying the lift-off process, which is economic and environmentally friendly, to the PET films.

  9. One-step sol-gel imprint lithography for guided-mode resonance structures.

    Science.gov (United States)

    Huang, Yin; Liu, Longju; Johnson, Michael; C Hillier, Andrew; Lu, Meng

    2016-03-04

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol-gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol-gel thin film in a single step. An organic-inorganic hybrid sol-gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol-gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol-gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol-gel thin film.

  10. One-step sol–gel imprint lithography for guided-mode resonance structures

    International Nuclear Information System (INIS)

    Huang, Yin; Liu, Longju; Lu, Meng; Johnson, Michael; C Hillier, Andrew

    2016-01-01

    Guided-mode resonance (GMR) structures consisting of sub-wavelength periodic gratings are capable of producing narrow-linewidth optical resonances. This paper describes a sol–gel-based imprint lithography method for the fabrication of submicron 1D and 2D GMR structures. This method utilizes a patterned polydimethylsiloxane (PDMS) mold to fabricate the grating coupler and waveguide for a GMR device using a sol–gel thin film in a single step. An organic–inorganic hybrid sol–gel film was selected as the imprint material because of its relatively high refractive index. The optical responses of several sol–gel GMR devices were characterized, and the experimental results were in good agreement with the results of electromagnetic simulations. The influence of processing parameters was investigated in order to determine how finely the spectral response and resonant wavelength of the GMR devices could be tuned. As an example potential application, refractometric sensing experiments were performed using a 1D sol–gel device. The results demonstrated a refractive index sensitivity of 50 nm/refractive index unit. This one-step fabrication process offers a simple, rapid, and low-cost means of fabricating GMR structures. We anticipate that this method can be valuable in the development of various GMR-based devices as it can readily enable the fabrication of complex shapes and allow the doping of optically active materials into sol–gel thin film. (paper)

  11. Lithography alternatives meet design style reality: How do they "line" up?

    Science.gov (United States)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  12. Reactive Imprint Lithography: Combined Topographical Patterning and Chemical Surface Functionalization of Polystyrene-block-poly(tert-butyl acrylate) Films

    NARCIS (Netherlands)

    Duvigneau, Joost; Cornelissen, Stijn; Bardajı´Valls, Nuria; Schönherr, Holger; Vancso, Gyula J.

    2009-01-01

    Here, reactive imprint lithography (RIL) is introduced as a new, one-step lithographic tool for the fabrication of large-area topographically patterned, chemically activated polymer platforms. Films of polystyrene-block-poly(tert-butyl acrylate) (PS-b-PtBA) are imprinted with PDMS master stamps at

  13. Integrated lithography to prepare periodic arrays of nano-objects

    International Nuclear Information System (INIS)

    Sipos, Áron; Szalai, Anikó; Csete, Mária

    2013-01-01

    We present an integrated lithography method to prepare versatile nano-objects with variable shape and nano-scaled substructure, in wavelength-scaled periodic arrays with arbitrary symmetry. The idea is to illuminate colloid sphere monolayers by polarized beams possessing periodic lateral intensity modulations. Finite element method was applied to determine the effects of the wavelength, polarization and angle of incidence of the incoming beam, and to predict the characteristics of nano-objects, which can be fabricated on thin metal layer covered substrates due to the near-field enhancement under silica colloid spheres. The inter-object distance is controlled by varying the relative orientation of the periodic intensity modulation with respect to the silica colloid sphere monolayer. It is shown that illuminating silica colloid sphere monolayers by two interfering beams, linear patterns made of elliptical holes appear in case of linear polarization, while circularly polarized beams result in co-existent rounded objects, as more circular nano-holes and nano-crescents. The size of the nano-objects and their sub-structure is determined by the spheres diameter and by the wavelength. We present various complex plasmonic patterns made of versatile nano-objects that can be uniquely fabricated applying the inherent symmetry breaking possibilities in the integrated lithography method.

  14. Electron Beam Lithography for nano-patterning

    DEFF Research Database (Denmark)

    Greibe, Tine; Anhøj, Thomas Aarøe; Khomtchenko, Elena

    2014-01-01

    in a polymer. Electron beam lithography is a suitable method for nano-sized production, research, or development of semiconductor components on a low-volume level. Here, we present electron beam lithography available at DTU Danchip. We expertize a JEOL 9500FZ with electrons accelerated to an energy of 100ke......, the room temperature is controlled to an accuracy of 0.1 degrees in order to minimize the thermally induced drift of the beam during pattern writing. We present process results in a standard positive tone resist and pattern transfer through etch to a Silicon substrate. Even though the electron beam...... of electrons in the substrate will influence the patterning. We present solutions to overcome these obstacles....

  15. Imprint lithography provides topographical nanocues to guide cell growth in primary cortical cell culture

    NARCIS (Netherlands)

    Xie, S.; Luttge, R.

    2014-01-01

    In this paper, we describe a technology platform to study the effect of nanocues on the cell growth direction in primary cortical cell culture. Topographical cues to cells are provided using nanoscale features created by Jet and Flash Imprint Lithography, coated with polyethylenimine. We

  16. Nano-LED array fabrication suitable for future single photon lithography

    International Nuclear Information System (INIS)

    Mikulics, M; Hardtdegen, H

    2015-01-01

    We report on an alternative illumination concept for a future lithography based on single-photon emitters and important technological steps towards its implementation. Nano light-emitting diodes (LEDs) are chosen as the photon emitters. First, the development of their fabrication and their integration technology is presented, then their optical characteristics assessed. Last, size-controlled nano-LEDs, well positioned in an array, are electrically driven and utilized for illumination. Nanostructures are lithographically formed, demonstrating the feasibility of the approach. The potential of single-photon lithography to reach the ultimate scale limits in mass production is discussed. (paper)

  17. Hybrid Energy Cell with Hierarchical Nano/Micro-Architectured Polymer Film to Harvest Mechanical, Solar, and Wind Energies Individually/Simultaneously.

    Science.gov (United States)

    Dudem, Bhaskar; Ko, Yeong Hwan; Leem, Jung Woo; Lim, Joo Ho; Yu, Jae Su

    2016-11-09

    We report the creation of hybrid energy cells based on hierarchical nano/micro-architectured polydimethylsiloxane (HNMA-PDMS) films with multifunctionality to simultaneously harvest mechanical, solar, and wind energies. These films consist of nano/micro dual-scale architectures (i.e., nanonipples on inverted micropyramidal arrays) on the PDMS surface. The HNMA-PDMS is replicable by facile and cost-effective soft imprint lithography using a nanoporous anodic alumina oxide film formed on the micropyramidal-structured silicon substrate. The HNMA-PDMS film plays multifunctional roles as a triboelectric layer in nanogenerators and an antireflection layer for dye-sensitized solar cells (DSSCs), as well as a self-cleaning surface. This film is employed in triboelectric nanogenerator (TENG) devices, fabricated by laminating it on indium-tin oxide-coated polyethylene terephthalate (ITO/PET) as a bottom electrode. The large effective contact area that emerged from the densely packed hierarchical nano/micro-architectures of the PDMS film leads to the enhancement of TENG device performance. Moreover, the HNMA-PDMS/ITO/PET, with a high transmittance of >90%, also results in highly transparent TENG devices. By placing the HNMA-PDMS/ITO/PET, where the ITO/PET is coated with zinc oxide nanowires, as the top glass substrate of DSSCs, the device is able to add the functionality of TENG devices, thus creating a hybrid energy cell. The hybrid energy cell can successfully convert mechanical, solar, and wind energies into electricity, simultaneously or independently. To specify the device performance, the effects of external pushing frequency and load resistance on the output of TENG devices are also analyzed, including the photovoltaic performance of the hybrid energy cells.

  18. Fabrication of high-aspect-ratio microstructures using dielectrophoresis-electrocapillary force-driven UV-imprinting

    International Nuclear Information System (INIS)

    Li, Xiangming; Shao, Jinyou; Tian, Hongmiao; Ding, Yucheng; Li, Xiangmeng

    2011-01-01

    We propose a novel method for fabricating high-aspect-ratio micro-/nano-structures by dielectrophoresis-electrocapillary force (DEP-ECF)-driven UV-imprinting. The force of DEP-ECF, acting on an air–liquid interface and an air–liquid–solid three-phase contact line, is generated by applying voltage between an electrically conductive mold and a substrate, and tends to pull the dielectric liquid (a UV-curable pre-polymer) into the mold micro-cavities. The existence of DEP-ECF is explained theoretically and demonstrated experimentally by the electrically induced reduction of the contact angle. Furthermore, DEP-ECF is proven to play a critical role in forcing the polymer to fill into the mold cavities by the real-time observation of the dynamic filling process. Using the DEP-ECF-driven UV-imprinting process, high-aspect-ratio polymer micro-/nano-structures (more than 10:1) are fabricated with high consistency. This patterning method can overcome the drawbacks of the mechanically induced mold deformation and position shift in conventional imprinting lithography and maximize the pattern uniformity which is usually poor in capillary force lithography

  19. Nanostructured Polymer Brushes by UV-Assisted Imprint Lithography and Surface-Initiated Polymerization for Biological Functions

    NARCIS (Netherlands)

    Benetti, Edmondo Maria; Acikgoz, C.; Sui, Xiaofeng; Vratzov, Boris; Hempenius, Mark A.; Huskens, Jurriaan; Vancso, Gyula J.

    2011-01-01

    Functional polymer brush nanostructures are obtained by combining step-and-flash imprint lithography (SFIL) with controlled, surface-initiated polymerization (CSIP). Patterning is achieved at length scales such that the smallest elements have dimensions in the sub-100 nm range. The patterns exhibit

  20. Fabrication of tunable diffraction grating by imprint lithography with photoresist mold

    Science.gov (United States)

    Yamada, Itsunari; Ikeda, Yusuke; Higuchi, Tetsuya

    2018-05-01

    We fabricated a deformable transmission silicone [poly(dimethylsiloxane)] grating using a two-beam interference method and imprint lithography and evaluated its optical characteristics during a compression process. The grating pattern with 0.43 μm depth and 1.0 μm pitch was created on a silicone surface by an imprinting process with a photoresist mold to realize a simple, low-cost fabrication process. The first-order diffraction transmittance of this grating reached 10.3% at 632.8 nm wavelength. We also measured the relationship between the grating period and compressive stress to the fabricated elements. The grating period changed from 1.0 μm to 0.84 μm by 16.6% compression of the fabricated element in one direction, perpendicular to the grooves, and the first-order diffraction transmittance was 8.6%.

  1. Fabrication and nano-imprintabilities of Zr-, Pd- and Cu-based glassy alloy thin films

    International Nuclear Information System (INIS)

    Takenaka, Kana; Saidoh, Noriko; Nishiyama, Nobuyuki; Inoue, Akihisa

    2011-01-01

    With the aim of investigating nano-imprintability of glassy alloys in a film form, Zr 49 Al 11 Ni 8 Cu 32 , Pd 39 Cu 29 Ni 13 P 19 and Cu 38 Zr 47 Al 9 Ag 6 glassy alloy thin films were fabricated on Si substrate by a magnetron sputtering method. These films exhibit a very smooth surface, a distinct glass transition phenomenon and a large supercooled liquid region of about 80 K, which are suitable for imprinting materials. Moreover, thermal nano-imprintability of these obtained films is demonstrated by using a dot array mold with a dot diameter of 90 nm. Surface observations revealed that periodic nano-hole arrays with a hole diameter of 90 nm were successfully imprinted on the surface of these films. Among them, Pd-based glassy alloy thin film indicated more precise pattern imprintability, namely, flatter residual surface plane and sharper hole edge. It is said that these glassy alloy thin films, especially Pd-based glassy alloy thin film, are one of the promising materials for fabricating micro-machines and nano-devices by thermal imprinting.

  2. A Fabrication Technique for Nano-gap Electrodes by Atomic Force Microscopy Nano lithography

    International Nuclear Information System (INIS)

    Jalal Rouhi; Shahrom Mahmud; Hutagalung, S.D.; Kakooei, S.

    2011-01-01

    A simple technique is introduced for fabrication of nano-gap electrodes by using nano-oxidation atomic force microscopy (AFM) lithography with a Cr/ Pt coated silicon tip. AFM local anodic oxidation was performed on silicon-on-insulator (SOI) surfaces by optimization of desired conditions to control process in contact mode. Silicon electrodes with gaps of sub 31 nm were fabricated by nano-oxidation method. This technique which is simple, controllable, inexpensive and fast is capable of fabricating nano-gap structures. The current-voltage measurements (I-V) of the electrodes demonstrated very good insulating characteristics. The results show that silicon electrodes have a great potential for fabrication of single molecule transistors (SMT), single electron transistors (SET) and the other nano electronic devices. (author)

  3. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia

    2012-11-26

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  4. Fabrication of three-dimensional MIS nano-capacitor based on nano-imprinted single crystal silicon nanowire arrays

    KAUST Repository

    Zhai, Yujia; Palard, Marylene; Mathew, Leo; Hussain, Muhammad Mustafa; Willson, Grant Grant; Tutuc, Emanuel; Banerjee, Sanjay Kumar

    2012-01-01

    We report fabrication of single crystalline silicon nanowire based-three-dimensional MIS nano-capacitors for potential analog and mixed signal applications. The array of nanowires is patterned by Step and Flash Imprint Lithography (S-FIL). Deep silicon etching (DSE) is used to form the nanowires with high aspect ratio, increase the electrode area and thus significantly enhance the capacitance. High-! dielectric is deposited by highly conformal atomic layer deposition (ALD) Al2O3 over the Si nanowires, and sputtered metal TaN serves as the electrode. Electrical measurements of fabricated capacitors show the expected increase of capacitance with greater nanowire height and decreasing dielectric thickness, consistent with calculations. Leakage current and time-dependent dielectric breakdown (TDDB) are also measured and compared with planar MIS capacitors. In view of greater interest in 3D transistor architectures, such as FinFETs, 3D high density MIS capacitors offer an attractive device technology for analog and mixed signal applications. - See more at: http://www.eurekaselect.com/105099/article#sthash.EzeJxk6j.dpuf

  5. Selective and lithography-independent fabrication of 20 nm nano-gap electrodes and nano-channels for nanoelectrofluidics applications

    International Nuclear Information System (INIS)

    Zhang, J Y; Wang, X F; Wang, X D; Fan, Z C; Li, Y; Ji, An; Yang, F H

    2010-01-01

    A new method has been developed to selectively fabricate nano-gap electrodes and nano-channels by conventional lithography. Based on a sacrificial spacer process, we have successfully obtained sub-100-nm nano-gap electrodes and nano-channels and further reduced the dimensions to 20 nm by shrinking the sacrificial spacer size. Our method shows good selectivity between nano-gap electrodes and nano-channels due to different sacrificial spacer etch conditions. There is no length limit for the nano-gap electrode and the nano-channel. The method reported in this paper also allows for wafer scale fabrication, high throughput, low cost, and good compatibility with modern semiconductor technology.

  6. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    Energy Technology Data Exchange (ETDEWEB)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee [Inha Univ, Incheon (Korea, Republic of)

    2016-08-15

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed.

  7. Hybrid UV Lithography for 3D High-Aspect-Ratio Microstructures

    International Nuclear Information System (INIS)

    Park, Sungmin; Nam, Gyungmok; Kim, Jonghun; Yoon, Sang-Hee

    2016-01-01

    Three-dimensional (3D) high-aspect-ratio (HAR) microstructures for biomedical applications (e.g., microneedle, microadhesive, etc.) are microfabricated using the hybrid ultraviolet (UV) lithography in which inclined, rotational, and reverse-side UV exposure processes are combined together. The inclined and rotational UV exposure processes are intended to fabricate tapered axisymmetric HAR microstructures; the reverse-side UV exposure process is designed to sharpen the end tip of the microstructures by suppressing the UV reflection on a bottom substrate which is inevitable in conventional UV lithography. Hybrid UV lithography involves fabricating 3D HAR microstructures with an epoxy-based negative photoresist, SU-8, using our customized UV exposure system. The effects of hybrid UV lithography parameters on the geometry of the 3D HAR microstructures (aspect ratio, radius of curvature of the end tip, etc.) are measured. The dependence of the end-tip shape on SU-8 soft-baking condition is also discussed

  8. Alternative nano-structured thin-film materials used as durable thermal nanoimprint lithography templates

    Science.gov (United States)

    Bossard, M.; Boussey, J.; Le Drogoff, B.; Chaker, M.

    2016-02-01

    Nanoimprint templates made of diamond-like carbon (DLC) and amorphous silicon carbide (SiC) thin films and fluorine-doped associated materials, i.e. F-DLC and F-SiC were investigated in the context of thermal nanoimprint lithography (NIL) with respect to their release properties. Their performances in terms of durability and stability were evaluated and compared to those of conventional silicon or silica molds coated with antisticking molecules applied as a self-assembled monolayer. Plasma-enhanced chemical vapor deposition parameters were firstly tuned to optimize mechanical and structural properties of the DLC and SiC thin films. The impact of the amount of fluorine dopant on the deposited thin films properties was then analyzed. A comparative analysis of DLC, F-DLC as well as SiC and F-SiC molds was then carried out over multiple imprints, performed into poly (methyl methacrylate) (PMMA) thermo-plastic resist. The release properties of un-patterned films were evaluated by the measurement of demolding energies and surface energies, associated with a systematic analysis of the mold surface contamination. These analyses showed that the developed materials behave as intrinsically easy-demolding and contamination-free molds over series of up to 40 imprints. To our knowledge, it is the first time that such a large number of imprints has been considered within an exhaustive comparative study of materials for NIL. Finally, the developed materials went through standard e-beam lithography and plasma etching processes to obtain nanoscale-patterned templates. The replicas of those patterned molds, imprinted into PMMA, were shown to be of high fidelity and good stability after several imprints.

  9. Window-assisted nanosphere lithography for vacuum micro-nano-electronics

    International Nuclear Information System (INIS)

    Li, Nannan; Pang, Shucai; Yan, Fei; Chen, Lei; Jin, Dazhi; Xiang, Wei; Zhang, De; Zeng, Baoqing

    2015-01-01

    Development of vacuum micro-nano-electronics is quite important for combining the advantages of vacuum tubes and solid-state devices but limited by the prevailing fabricating techniques which are expensive, time consuming and low-throughput. In this work, window-assisted nanosphere lithography (NSL) technique was proposed and enabled the low-cost and high-efficiency fabrication of nanostructures for vacuum micro-nano-electronic devices, thus allowing potential applications in many areas. As a demonstration, we fabricated high-density field emitter arrays which can be used as cold cathodes in vacuum micro-nano-electronic devices by using the window-assisted NSL technique. The details of the fabricating process have been investigated. This work provided a new and feasible idea for fabricating nanostructure arrays for vacuum micro-nano-electronic devices, which would spawn the development of vacuum micro-nano-electronics

  10. Thermo-curable epoxy systems for nanoimprint lithography

    International Nuclear Information System (INIS)

    Wu, Chun-Chang; Hsu, Steve Lien-Chung

    2010-01-01

    In this work, we have used solvent-free thermo-curable epoxy systems for low-pressure and moderate-temperature nanoimprint lithography (NIL). The curing kinetic parameters and conversion of diglycidyl ether of bisphenol A (DGEBA) resin with different ambient-cure 930 and 954 hardeners were studied by the isothermal DSC technique. They are useful for the study of epoxy resins in the imprinting application. The DGEBA/930 and DGEBA/954 epoxy resists can be imprinted to obtain high-density nano- and micro-scale patterns on a flexible indium tin oxide/poly(ethylene terephthalate) (ITO/PET) substrate. The DGEBA/930 epoxy resin is not only suitable for resist material, but also for plastic mold material. Highly dense nanometer patterns can be successfully imprinted using a UV-curable resist from the DGEBA/930 epoxy mold. Using the replicated DGEBA/930 epoxy mold instead of the expensive master can prevent brittle failure of the silicon molds in the NIL

  11. TiO2/ CNT hetero-structure with variable electron beam diameter suitable for nano lithography

    International Nuclear Information System (INIS)

    Barati, F.; Abdi, Y.; Arzi, E.

    2012-01-01

    We report fabrication of a novel TiO 2 /carbon nano tube based field emission device suitable for nano lithography and fabrication of transistor. The growth of carbon nano tubes is performed on silicon substrates using plasma-enhanced chemical vapor deposition method. The vertically grown carbon nano tubes are encapsulated by TiO 2 using an atmospheric pressure chemical vapor deposition system. Field emission from the carbon nano tubes is realized by mechanical polishing of the prepared nano structure. The possibility of the application of such nano structures as a lithography tool with variable electron beam diameter was investigated. The obtained results show that spot size of less than 30 nm can be obtained by applying a proper voltage on TiO 2 surrounding gate. Electrical measurements of the fabricated device confirm the capability of this nano structure for the fabrication of field emission based field effect transistor. By applying a voltage between the gate and the cathode electrode, the emission current from carbon nano tubes shows a significant drop, indicating proper control of gate on the emission current.

  12. X-ray lithography for micro- and nano-fabrication at ELETTRA for interdisciplinary applications

    International Nuclear Information System (INIS)

    Di Fabrizio, E; Fillipo, R; Cabrini, S

    2004-01-01

    ELETTRA (http://www.elettra.trieste.it/index.html) is a third generation synchrotron radiation source facility operating at Trieste, Italy, and hosts a wide range of research activities in advanced materials analysis and processing, biology and nano-science at several various beam lines. The energy spectrum of ELETTRA allows x-ray nano-lithography using soft (1.5 keV) and hard x-ray (10 keV) wavelengths. The Laboratory for Interdisciplinary Lithography (LIILIT) was established in 1998 as part of an Italian national initiative on micro- and nano-technology project of INFM and is funded and supported by the Italian National Research Council (CNR), INFM and ELETTRA. LILIT had developed two dedicated lithographic beam lines for soft (1.5 keV) and hard x-ray (10 keV) for micro- and nano-fabrication activities for their applications in engineering, science and bio-medical applications. In this paper, we present a summary of our research activities in micro- and nano-fabrication involving x-ray nanolithography at LILIT's soft and hard x-ray beam lines

  13. Experimental and Modeling Study of Solvent Diffusion in PDMS for Nanoparticle-Polymer Cosuspension Imprint Lithography.

    Science.gov (United States)

    Gervasio, Michelle; Lu, Kathy; Davis, Richey

    2015-09-15

    This study is the first that focuses on solvent migration in a polydimethylsiloxane (PDMS) stamp during the imprint lithography of ZnO-poly(methyl methacrylate) (PMMA) hybrid suspensions. Using suspensions with varying solids loading levels and ZnO/PMMA ratios, the uptake of the anisole solvent in the stamp is evaluated as a function of time. Laser confocal microscopy is employed as a unique technique to measure the penetration depth of the solvent into the stamp. The suspension solids loading affects the anisole saturation depth in the PDMS stamp. For the suspensions with low solids loading, the experimental data agree with the model for non-Fickian diffusion through a rubbery-elastic polymer. For the suspensions with high solids loading, the data agree more with a sigmoidal diffusion curve, reflecting the rubbery-viscous behavior of a swelling polymer. This difference is due to the degree of swelling in the PDMS. Higher solids loadings induce more swelling because the rate of anisole diffusing into the stamp is increased, likely due to the less dense buildup of the solids as the suspension dries.

  14. Unified Modeling Language description of the object-oriented multi-scale adaptive finite element method for Step-and-Flash Imprint Lithography Simulations

    International Nuclear Information System (INIS)

    Paszynski, Maciej; Gurgul, Piotr; Sieniek, Marcin; Pardo, David

    2010-01-01

    In the first part of the paper we present the multi-scale simulation of the Step-and-Flash Imprint Lithography (SFIL), a modern patterning process. The simulation utilizes the hp adaptive Finite Element Method (hp-FEM) coupled with Molecular Statics (MS) model. Thus, we consider the multi-scale problem, with molecular statics applied in the areas of the mesh where the highest accuracy is required, and the continuous linear elasticity with thermal expansion coefficient applied in the remaining part of the domain. The degrees of freedom from macro-scale element's nodes located on the macro-scale side of the interface have been identified with particles from nano-scale elements located on the nano-scale side of the interface. In the second part of the paper we present Unified Modeling Language (UML) description of the resulting multi-scale application (hp-FEM coupled with MS). We investigated classical, procedural codes from the point of view of the object-oriented (O-O) programming paradigm. The discovered hierarchical structure of classes and algorithms makes the UML project as independent on the spatial dimension of the problem as possible. The O-O UML project was defined at an abstract level, independent on the programming language used.

  15. Label-free detection of DNA hybridization and single point mutations in a nano-gap biosensor

    International Nuclear Information System (INIS)

    Zaffino, R L; Mir, M; Samitier, J

    2014-01-01

    We describe a conductance-based biosensor that exploits DNA-mediated long-range electron transport for the label-free and direct electrical detection of DNA hybridization. This biosensor platform comprises an array of vertical nano-gap biosensors made of gold and fabricated through standard photolithography combined with focused ion beam lithography. The nano-gap walls are covalently modified with short, anti-symmetric thiolated DNA probes, which are terminated by 19 bases complementary to both the ends of a target DNA strand. The nano-gaps are separated by a distance of 50nm, which was adjusted to fit the length of the DNA target plus the DNA probes. The hybridization of the target DNA closes the gap circuit in a switch on/off fashion, in such a way that it is readily detected by an increase in the current after nano-gap closure. The nano-biosensor shows high specificity in the discrimination of base-pair mismatching and does not require signal indicators or enhancing molecules. The design of the biosensor platform is applicable for multiplexed detection in a straightforward manner. The platform is well-suited to mass production, point-of-care diagnostics, and wide-scale DNA analysis applications. (paper)

  16. Sb(III)-Imprinted Organic-Inorganic Hybrid Sorbent Prepared by Hydrothermal-Assisted Surface Imprinting Technique for Selective Adsorption of Sb(III)

    Science.gov (United States)

    Zhang, Dan; Zhao, Yue; Xu, Hong-Bo

    2018-03-01

    Sb(III)-imprinted organic-inorganic hybrid sorbent was prepared by hydrothermal-assisted surface imprinting technique and was characterized by Fourier transform infrared spectroscopy, scanning electron microscopy coupled to an energy dispersive spectrometer and N2 adsorption/desorption isotherms. Hydrothermal-assisted process can improve the selectivity of the Sb(III)-imprinted hybrid sorbent for Sb(III) due to stable control of temperature and pressure. The Sb(III)-imprinted hybrid sorbent IIS indicated higher selectivity for Sb(III), had high static adsorption capacity of 37.3 mg g-1 for Sb(III), displayed stable adsorption capacity in pH range from 4 to 8, reached an rapid adsorption equilibrium within 30 min. According to the correlation coefficient ( r 2 > 0.99), the experimental data fitted better the pseudo-second-order kinetic model and Langmuir equilibrium isotherm.

  17. Enhancement of the fluorescence intensity of DNA intercalators using nano-imprinted 2-dimensional photonic crystal

    International Nuclear Information System (INIS)

    Endo, Tatsuro; Ueda, China; Hisamoto, Hideaki; Kajita, Hiroshi; Okuda, Norimichi; Tanaka, Satoru

    2013-01-01

    We have fabricated polymer-based 2-dimensional photonic crystals that play a key role in enhancing the fluorescence of DNA intercalators. Highly ordered 2-dimensional photonic crystals possessing triangle-shaped and nm-sized hole arrays were fabricated on a 100 μm thick polymer film using nano-imprint lithography. Samples of double-stranded DNAs (sizes: 4361 and 48502 bp; concentration: 1 pM to 10 nM) were adsorbed on the surface of the 2-dimensional photonic crystal by electrostatic interactions and then treated with intercalators. It is found that the fluorescence intensity of the intercalator is enhanced by a factor of up to 10 compared to the enhancement in the absence of the 2-dimensional photonic crystal. Fluorescence intensity increases with increasing length and concentration of the DNAs. If the 2-dimensional photonic crystal is used as a Bragg reflection mirror, the enhancement of fluorescence intensity can be easily observed using a conventional spectrofluorometer. These results suggest that the printed photonic crystal offers a great potential for highly sensitive intercalator-based fluorescent detection of DNAs. (author)

  18. Biomimetic fabrication and tunable wetting properties of three-dimensional hierarchical ZnO structures by combining soft lithography templated with lotus leaf and hydrothermal treatments

    OpenAIRE

    Dai, Shuxi; Zhang, Dianbo; Shi, Qing; Han, Xiao; Wang, Shujie; Du, Zuliang

    2013-01-01

    Three-dimensional hierarchical ZnO films with lotus-leaf-like micro/nano structures were successfully fabricated via a biomimetic route combining sol-gel technique, soft lithography and hydrothermal treatments. PDMS mold replicated from a fresh lotus leaf was used to imprint microscale pillar structures directly into a ZnO sol film. Hierarchical ZnO micro/nano structures were subsequently fabricated by a low-temperature hydrothermal growth of secondary ZnO nanorod arrays on the micro-structur...

  19. Effect of TMAH Etching Duration on the Formation of Silicon Nano wire Transistor Patterned by AFM Nano lithography

    International Nuclear Information System (INIS)

    Hutagalung, S.D.; Lew, K.C.

    2012-01-01

    Atomic force microscopy (AFM) lithography was applied to produce nano scale pattern for silicon nano wire transistor fabrication. This technique takes advantage of imaging facility of AFM and the ability of probe movement controlling over the sample surface to create nano patterns. A conductive AFM tip was used to grow the silicon oxide nano patterns on silicon on insulator (SOI) wafer. The applied tip-sample voltage and writing speed were well controlled in order to form pre-designed silicon oxide nano wire transistor structures. The effect of tetra methyl ammonium hydroxide (TMAH) etching duration on the oxide covered silicon nano wire transistor structure has been investigated. A completed silicon nano wire transistor was obtained by removing the oxide layer via hydrofluoric acid etching process. The fabricated silicon nano wire transistor consists of a silicon nano wire that acts as a channel with source and drain pads. A lateral gate pad with a nano wire head was fabricated very close to the channel in the formation of transistor structures. (author)

  20. Playing with small objects Nano lithography and manipulation A.K. ...

    Indian Academy of Sciences (India)

    Table of contents. Playing with small objects Nano lithography and manipulation A.K.Raychaudhuri Department of Physics IISc · PowerPoint Presentation · Slide 3 · Slide 4 · Slide 5 · Slide 6 · Atomic Force Microscopy · Slide 8 · Slide 9 · Slide 10 · Slide 11 · Slide 12 · Slide 13 · Slide 14 · Slide 15 · Slide 16 · Slide 17 · Slide 18.

  1. New Results on Plasma Activated Bonding of Imprinted Polymer Features for Bio MEMS Applications

    International Nuclear Information System (INIS)

    Kettner, P; Pelzer, R L; Glinsner, T; Farrens, S; Lee, D

    2006-01-01

    Nanoimprint Lithography is a well-acknowledged low cost, high resolution, large area 3D patterning process for polymers. It includes the most promising methods: high pressure hot embossing (HE) and UV-Nanoimprint Lithography (UV-NIL). Curing of the imprinted structures is either done by cooling down below the glass transition temperature of the thermoplastic polymer in case of HE or by subsequent UV-light exposure and cross-linking in case of UV-NIL. Both techniques allow rapid prototyping for high volume production of fully patterned substrates for a wide range of materials. The advantages of using polymer substrates over common Micro-Electro-Mechanical Systems (MEMS) processing materials like glass, silicon or quartz are: bio-compatible surfaces, easy manufacturability, low cost for high volume production, suitable for use in micro- and nano-fabrication, low conductivity, wide range of optical properties just to name a few. We will present experimental results on HE processes with PMMA as well as UV-NIL imprints in selected UV-curable resists. In the second part of the work we will describe the bonding techniques for packaging of the micro or nano structures. Packaging of the imprinted features is a key technology for a wide variety of field of applications: μ-TAS, biochemistry, micro-mixers, micro-reactors, electrophoresis cells, life science, micro-optical and nano-optical applications (switches) nanofluidics, data storage, etc. for features down to sub-100 nm range. Most bonding techniques for polymer use adhesives as intermediate layers. We will demonstrate a promising technique for dense and very strong bonds using plasma activation of polymers and glass. This bonding technology allows for bonding at low temperatures well below the glass transition temperature of the polymers, which will ensure that the structures are not deformed

  2. DWDM laser arrays fabricated using thermal nanoimprint lithography on Indium Phosphide substrates

    DEFF Research Database (Denmark)

    Smistrup, K.; Nørregaard, J.; Mironov, A.

    2013-01-01

    by including a lambda quarter shift at the center of the grating. The need for phase shifts and multiple wavelengths eliminates some lithography methods such as holography. Typically, these lasers are produced by e-beam lithography (EBL). We present a production method based on thermal nanoimprint lithography...... during the imprint process and the narrow temperature window for imprint and separation (80°C and 55°C) ensures minimal issues with thermal mismatch between the InP substrate and the Si stamp. The imprinted InP wafers were processed in NeoPhotonics standard process line to create working lasers...

  3. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    Science.gov (United States)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  4. RIMS (real-time imprint monitoring by scattering of light) study of pressure, temperature and resist effects on nanoimprint lithography

    International Nuclear Information System (INIS)

    Yu Zhaoning; Gao He; Chou, Stephen Y

    2007-01-01

    To optimize nanoimprint lithography (NIL), it is essential to be able to characterize and control the NIL process in situ and in real time. Recently we have developed a real-time imprint monitoring by the scattering-of-light (RIMS) approach, which allows us to detect the degree of resist deformation and the duration of resist penetration by a mould during the imprint process in real time. In this paper we report the performances of RIMS under a broad range of working conditions. RIMS data shows that the resist penetration is facilitated by increasing processing temperature, pressure and the resist film thickness; a prolonged pre-NIL resist baking step, on the other hand, has the effect of slowing it down. Our results provide further demonstration of the effectiveness of this method under different working conditions. RIMS measurements show not only how long an imprint takes to complete, but also how an imprint progresses with time and how it is affected by differences in processing parameters. These measurements provide information crucial for a better understanding and process optimization in NIL

  5. Experimental demonstration of line-width modulation in plasmonic lithography using a solid immersion lens-based active nano-gap control

    International Nuclear Information System (INIS)

    Lee, Won-Sup; Kim, Taeseob; Choi, Guk-Jong; Lim, Geon; Joe, Hang-Eun; Gang, Myeong-Gu; Min, Byung-Kwon; Park, No-Cheol; Moon, Hyungbae; Kim, Do-Hyung; Park, Young-Pil

    2015-01-01

    Plasmonic lithography has been used in nanofabrication because of its utility beyond the diffraction limit. The resolution of plasmonic lithography depends on the nano-gap between the nanoaperture and the photoresist surface—changing the gap distance can modulate the line-width of the pattern. In this letter, we demonstrate solid-immersion lens based active non-contact plasmonic lithography, applying a range of gap conditions to modulate the line-width of the pattern. Using a solid-immersion lens-based near-field control system, the nano-gap between the exit surface of the nanoaperture and the media can be actively modulated and maintained to within a few nanometers. The line-widths of the recorded patterns using 15- and 5-nm gaps were 47 and 19.5 nm, respectively, which matched closely the calculated full-width at half-maximum. From these results, we conclude that changing the nano-gap within a solid-immersion lens-based plasmonic head results in varying line-width patterns

  6. Simple micro-patterning of high conductive polymer with UV-nano-imprinted patterned substrate and ethylene glycol-based second doping

    International Nuclear Information System (INIS)

    Takamatsu, Seiichi; Kurihara, Kazuma; Yamashita, Takahiro; Itoh, Toshihiro

    2014-01-01

    We have developed a simple micro-patterning process for high conductive polymer (i.e., poly (3,4-ethylenedioxythiophene):poly(styrenesulfonate) (PEDOT:PSS)) with a patterned substrate by using an ultraviolet (UV) nano-imprint and an ethylene glycol-based second doping technique. In the patterning process, the PEDOT:PSS water dispersion is first coated only on the hydrophilic area, which is fabricated by UV nano-imprinting, forming patterned PEDOT:PSS on the substrate. The patterned PEDOT:PSS film is then immersed in the ethylene glycol as a second doping technique for increasing its conductivity. The proposed process provides simplicity in terms of shorter process steps of the UV nano-imprinting and PEDOT:PSS coating and higher conductivity of patterned PEDOT:PSS film than existing complicated micro-fabrication processes for organic materials. The 200 nm wide nano-imprinted pillar structures change the wettability of the substrate where the contact angle of the substrate is decreased from 66.8° to 33.3°. The patterning resolution with the nano-imprinted pattern substrate is down to 100 µm, which is useful for sensor applications. The conductivity increase delivers a low sheet resistance (120 Ω sq −1 ) of patterned PEDOT:PSS film. Then, the patterning of PEDOT:PSS sensor shapes with its 300 µm wide feature line and high conductivity are demonstrated. Therefore, our process leads to applications to a variety of PEDOT:PSS-based sensors. (paper)

  7. Closed-looped in situ nano processing on a culturing cell using an inverted electron beam lithography system

    International Nuclear Information System (INIS)

    Hoshino, Takayuki; Mabuchi, Kunihiko

    2013-01-01

    Highlights: ► An electron beam lithography (EBL) was used as an in situ nano processing for a living cell. ► A synchronized optics was containing an inverted EBL and an optical microscope. ► This system visualized real-time images of the EB-induced nano processing. ► We demonstrated the nano processing for a culturing cell with 200–300 nm resolution. ► Our system would be able to provide high resolution display of virtual environments. -- Abstract: The beam profile of an electron beam (EB) can be focused onto less than a nanometer spot and scanned over a wide field with extremely high speed sweeping. Thus, EB is employed for nano scale lithography in applied physics research studies and in fabrication of semiconductors. We applied a scanning EB as a control system for a living cell membrane which is representative of large scale complex systems containing nanometer size components. First, we designed the opposed co-axial dual optics containing inverted electron beam lithography (I-EBL) system and a fluorescent optical microscope. This system could provide in situ nano processing for a culturing living cell on a 100-nm-thick SiN nanomembrane, which was placed between the I-EBL and the fluorescent optical microscope. Then we demonstrated the EB-induced chemical direct nano processing for a culturing cell with hundreds of nanometer resolution and visualized real-time images of the scanning spot of the EB-induced luminescent emission and chemical processing using a high sensitive camera mounted on the optical microscope. We concluded that our closed-loop in situ nano processing would be able to provide a nanometer resolution display of virtual molecule environments to study functional changes of bio-molecule systems

  8. Formation of Nano scale Bio imprints of Muscle Cells Using UV-Cured Spin-Coated Polymers

    International Nuclear Information System (INIS)

    Samsuri, F.; Alkaisi, M.M.; Mitchell, J.S.; Evans, J.J.

    2009-01-01

    We report a nano scale replication method suitable for biological specimens that has potential in single cell studies and in formation of 3D biocompatible scaffolds. Earlier studies using a heat-curable polydimethylsiloxane (PDMS) or a UV-curable elastomer introduced Bio imprint replication to facilitate cell imaging. However, the replicating conditions for thermal polymerization are known to cause cell dehydration during curing. In this study, a UV-cured methacrylate copolymer was developed for use in creating replicas of living cells and was tested on rat muscle cells. Bio imprints of muscle cells were formed by spin coating under UV irradiation. The polymer replicas were then separated from the muscle cells and were analyzed under an Atomic Force Microscope (AFM), in tapping mode, because it has low tip-sample forces and thus will not destroy the fine structures of the imprint. The new polymer is biocompatible with higher replication resolution and has a faster curing process than other types of silicon-based organic polymers such as PDMS. High resolution images of the muscle cell imprints showed the micro-and nano structures of the muscle cells, including cellular fibers and structures within the cell membranes. The AFM is able to image features at nano scale resolution with the potential for recognizing abnormalities on cell membranes at early stages of disease progression.

  9. Patterns of hybrid loss of imprinting reveal tissue- and cluster-specific regulation.

    Directory of Open Access Journals (Sweden)

    Christopher D Wiley

    Full Text Available Crosses between natural populations of two species of deer mice, Peromyscus maniculatus (BW, and P. polionotus (PO, produce parent-of-origin effects on growth and development. BW females mated to PO males (bwxpo produce growth-retarded but otherwise healthy offspring. In contrast, PO females mated to BW males (POxBW produce overgrown and severely defective offspring. The hybrid phenotypes are pronounced in the placenta and include POxBW conceptuses which lack embryonic structures. Evidence to date links variation in control of genomic imprinting with the hybrid defects, particularly in the POxBW offspring. Establishment of genomic imprinting is typically mediated by gametic DNA methylation at sites known as gDMRs. However, imprinted gene clusters vary in their regulation by gDMR sequences.Here we further assess imprinted gene expression and DNA methylation at different cluster types in order to discern patterns. These data reveal POxBW misexpression at the Kcnq1ot1 and Peg3 clusters, both of which lose ICR methylation in placental tissues. In contrast, some embryonic transcripts (Peg10, Kcnq1ot1 reactivated the silenced allele with little or no loss of DNA methylation. Hybrid brains also display different patterns of imprinting perturbations. Several cluster pairs thought to use analogous regulatory mechanisms are differentially affected in the hybrids.These data reinforce the hypothesis that placental and somatic gene regulation differs significantly, as does that between imprinted gene clusters and between species. That such epigenetic regulatory variation exists in recently diverged species suggests a role in reproductive isolation, and that this variation is likely to be adaptive.

  10. Latex particle template lift-up guided gold wire-networks via evaporation lithography

    KAUST Repository

    Lone, Saifullah; Vakarelski, Ivan Uriev; Chew, Basil; Wang, Zhihong; Thoroddsen, Sigurdur T

    2014-01-01

    We describe a hybrid methodology that combines a two dimensional (2D) monolayer of latex particles (with a pitch size down to 1 μm) prepared by horizontal dry deposition, lift-up of a 2D template onto flat surfaces and evaporation lithography to fabricate metal micro- and nano wire-networks. This journal is

  11. Solvent-vapor-assisted imprint lithography

    NARCIS (Netherlands)

    Voicu, Nicoleta E.; Ludwigs, Sabine; Crossland, Edward J. W.; Andrew, Piers; Steiner, Ullrich

    2007-01-01

    Sub-micrometer features are replicated into high-molecular-weight polymer resists by using solvent-assisted nanoimprint lithography (see figure). By swelling the polymer in a controlled solvent-vapor atmosphere, millibar pressures and ambient temperatures are sufficient to achieve high-fidelity

  12. High throughput nanoimprint lithography for semiconductor memory applications

    Science.gov (United States)

    Ye, Zhengmao; Zhang, Wei; Khusnatdinov, Niyaz; Stachowiak, Tim; Irving, J. W.; Longsine, Whitney; Traub, Matthew; Fletcher, Brian; Liu, Weijun

    2017-03-01

    Imprint lithography is a promising technology for replication of nano-scale features. For semiconductor device applications, Canon deposits a low viscosity resist on a field by field basis using jetting technology. A patterned mask is lowered into the resist fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are two critical components to meeting throughput requirements for imprint lithography. Using a similar approach to what is already done for many deposition and etch processes, imprint stations can be clustered to enhance throughput. The FPA-1200NZ2C is a four station cluster system designed for high volume manufacturing. For a single station, throughput includes overhead, resist dispense, resist fill time (or spread time), exposure and separation. Resist exposure time and mask/wafer separation are well understood processing steps with typical durations on the order of 0.10 to 0.20 seconds. To achieve a total process throughput of 17 wafers per hour (wph) for a single station, it is necessary to complete the fluid fill step in 1.2 seconds. For a throughput of 20 wph, fill time must be reduced to only one 1.1 seconds. There are several parameters that can impact resist filling. Key parameters include resist drop volume (smaller is better), system controls (which address drop spreading after jetting), Design for Imprint or DFI (to accelerate drop spreading) and material engineering (to promote wetting between the resist and underlying adhesion layer). In addition, it is mandatory to maintain fast filling, even for edge field imprinting. In this paper, we address the improvements made in all of these parameters to first enable a 1.20 second filling process for a device like pattern and have demonstrated this capability for both full fields and edge fields. Non

  13. Manufacturing at Nanoscale: Top-Down, Bottom-up and System Engineering

    International Nuclear Information System (INIS)

    Zhang Xiang; Sun Cheng; Fang, Nicholas

    2004-01-01

    The current nano-technology revolution is facing several major challenges: to manufacture nanodevices below 20 nm, to fabricate three-dimensional complex nano-structures, and to heterogeneously integrate multiple functionalities. To tackle these grand challenges, the Center for Scalable and Integrated NAno-Manufacturing (SINAM), a NSF Nanoscale Science and Engineering Center, set its goal to establish a new manufacturing paradigm that integrates an array of new nano-manufacturing technologies, including the plasmonic imaging lithography and ultramolding imprint lithography aiming toward critical resolution of 1-10 nm and the hybrid top-down and bottom-up technologies to achieve massively parallel integration of heterogeneous nanoscale components into higher-order structures and devices. Furthermore, SINAM will develop system engineering strategies to scale-up the nano-manufacturing technologies. SINAMs integrated research and education platform will shed light to a broad range of potential applications in computing, telecommunication, photonics, biotechnology, health care, and national security

  14. Surface imprinting on nano-TiO{sub 2} as sacrificial material for the preparation of hollow chlorogenic acid imprinted polymer and its recognition behavior

    Energy Technology Data Exchange (ETDEWEB)

    Li Hui, E-mail: lihuijsdx@163.com [College of Chemistry and Chemical Engineering, Jishou University, Hunan 416000, Jishou (China); Key Laboratory of Plant Resource Conservation and Utilization, Jishou University, Hunan 416000, Jishou (China); Li Gui [Key Laboratory of Plant Resource Conservation and Utilization, Jishou University, Hunan 416000, Jishou (China); Li Zhiping; Lu Cuimei; Li Yanan [College of Chemistry and Chemical Engineering, Jishou University, Hunan 416000, Jishou (China); Tan Xianzhou [Key Laboratory of Plant Resource Conservation and Utilization, Jishou University, Hunan 416000, Jishou (China)

    2013-01-01

    Highlights: Black-Right-Pointing-Pointer Used surface imprinting technique with nano-TiO{sub 2} as sacrificial support material. Black-Right-Pointing-Pointer Improved adsorption capability of the H-MIP1 compared with the previous work. Black-Right-Pointing-Pointer Excellent mass transfer dynamics for the H-MIP1. Black-Right-Pointing-Pointer Investigated adsorption thermodynamic of the H-MIP1. - Abstract: Surface imprinting chlorogenic acid (CGA) on nano-TiO{sub 2} particles as sacrificial support material was successfully performed by using 4-vinylpyridine (4-VP) as functional monomer to obtain a hollow CGA-imprinted polymer (H-MIP1). Fourier transmission infrared spectrometry (FTIR) and scanning electron microscopy (SEM) were utilized for structurally characterizing the polymers obtained and adsorption dynamics and thermodynamic behavior investigated according to different models. Binding selectivity, adsorption capacity and the reusability for this H-MIP1 were also evaluated. This hollow CGA imprinted polymer shows rapid binding dynamics and higher binding capability toward the template molecules. The pseudo first-order kinetic model was shown best to describe the binding process of CGA on the H-MIP1 and Langmuir isotherm model best to fit the experimental adsorption isotherm data. Through adsorption isotherms at different temperatures, thermodynamic parameter values were obtained. Selectivity coefficients for the H-MIP1 toward the template were 2.209, 3.213, 1.746 and 2.353 relative to CA, VA, PCA and GA, respectively. This H-MIP1 was also indicated with a good imprint effect and a high capability to capture CGA from methanol extract of Eucommia ulmoides (E. ulmoides) leaves. Additionally, a good reusability for this imprinted polymer was exhibited during repeated adsorption-desorption use.

  15. Fabrication of a silicon oxide stamp by edge lithography reinforced with silicon nitride for nanoimprint lithography

    NARCIS (Netherlands)

    Zhao, Yiping; Berenschot, Johan W.; de Boer, M.; de Boer, Meint J.; Jansen, Henricus V.; Tas, Niels Roelof; Huskens, Jurriaan; Elwenspoek, Michael Curt

    2008-01-01

    The fabrication of a stamp reinforced with silicon nitride is presented for its use in nanoimprint lithography. The fabrication process is based on edge lithography using conventional optical lithography and wet anisotropic etching of 110 silicon wafers. SiO2 nano-ridges of 20 nm in width were

  16. Fabrication of a Ni nano-imprint stamp for an anti-reflective layer using an anodic aluminum oxide template.

    Science.gov (United States)

    Park, Eun-Mi; Lim, Seung-Kyu; Ra, Senug-Hyun; Suh, Su-Jung

    2013-11-01

    Aluminum anodizing can alter pore diameter, density distribution, periodicity and layer thickness in a controlled way. Because of this property, porous type anodic aluminum oxide (AAO) was used as a template for nano-structure fabrication. The alumina layer generated at a constant voltage increased the pore size from 120 nm to 205 nm according to an increasing process time from 60 min to 150 min. The resulting fabricated AAO templates had pore diameters at or less than 200 nm. Ni was sputtered as a conductive layer onto this AAO template and electroplated using DC and pulse power. Comparing these Ni stamps, those generated from electroplating using on/reverse/off pulsing had an ordered pillar array and maintained the AAO template morphology. This stamp was used for nano-imprinting on UV curable resin coated glass wafer. Surface observations via electron microscopy showed that the nano-imprinted patterned had the same shape as the AAO template. A soft mold was subsequently fabricated and nano-imprinted to form a moth-eye structure on the glass wafer. An analysis of the substrate transmittance using UV-VIS/NIR spectroscopy showed that the transmittance of the substrate with the moth-eye structure was 5% greater that the non-patterned substrate.

  17. Imprinted Oxide and MIP/Oxide Hybrid Nanomaterials for Chemical Sensors †.

    Science.gov (United States)

    Afzal, Adeel; Dickert, Franz L

    2018-04-20

    The oxides of transition, post-transition and rare-earth metals have a long history of robust and fast responsive recognition elements for electronic, optical, and gravimetric devices. A wide range of applications successfully utilized pristine or doped metal oxides and polymer-oxide hybrids as nanostructured recognition elements for the detection of biologically relevant molecules, harmful organic substances, and drugs as well as for the investigative process control applications. An overview of the selected recognition applications of molecularly imprinted sol-gel phases, metal oxides and hybrid nanomaterials composed of molecularly imprinted polymers (MIP) and metal oxides is presented herein. The formation and fabrication processes for imprinted sol-gel layers, metal oxides, MIP-coated oxide nanoparticles and other MIP/oxide nanohybrids are discussed along with their applications in monitoring bioorganic analytes and processes. The sensor characteristics such as dynamic detection range and limit of detection are compared as the performance criterion and the miniaturization and commercialization possibilities are critically discussed.

  18. A 3D-printed device for polymer nanoimprint lithography

    Science.gov (United States)

    Caño-García, Manuel; Geday, Morten A.; Gil-Valverde, Manuel; Megías Zarco, Antonio; Otón, José M.; Quintana, Xabier

    2018-02-01

    Nanoimprint lithography (NIL) is an imprinting technique which has experienced an increasing popularity due to its versatility in fabrication processes. Commercial NIL machines are readily available achieving high quality results; however, these machines involve a relatively high investment. Hence, small laboratories often choose to perform NIL copies in a more rudimentary and cheaper way. A new simple system is presented in this document. It is based on two devices which can be made in-house in plastic by using a 3D printer or in aluminum. Thus, the overall manufacturing complexity is vastly reduced. The presented system includes pressure control and potentially temperature control. Replicas have been made using a sawtooth grating master with a pitch around half micrometre. High quality patterns with low density of imperfections have been achieved in 2.25 cm2 surfaces. The material chosen for the negative intermediary mould is PDMS. Tests of the imprint have been performed using the commercial hybrid polymer Ormostamp®.

  19. Effects of the process temperature and rolling speed on the thermal roll-to-roll imprint lithography of flexible polycarbonate film

    International Nuclear Information System (INIS)

    Sohn, Ki-Ju; Lee, Woo Il; Park, Jae Hong; Jang, Hyun-Ik; Lee, Dong-Eon

    2013-01-01

    Thermal roll-to-roll imprint lithography (R2RIL) is a simple and low-cost process for the mass production of micro/nanopatterns. However, in that it relies on highly viscous thermoplastic resists, it is limited in its ability to imprint precise patterns at a high speed. Moreover, the concentrated imprint force applied in R2RIL can damage the resist material which is structurally vulnerable at high process temperatures. Therefore, it is important to understand the temperature- and time-dependent characteristics of the resist material as well as the imprinting mechanism when using thermal R2RIL. In this work, the effects of the process temperature and rolling speed on thermal R2RIL of polycarbonate (PC) films were investigated to improve the process efficiency. Micro-scale line patterns were successfully transferred onto PC films from nickel (Ni) mold stamps. Consequently, line patterns with widths in the range of 5–80 µm were achieved at a traveling speed of 28.6 mm s –1 and process temperature of 150 °C, which is just above the glass transition temperature (T g ). In addition, the patterning performance was investigated for different temperatures, rolling speeds and pattern sizes. The imprinted pattern profiles were measured by an alpha-step surface profiler to investigate the patterning performance. The results show that a much better imprint performance was achieved at 150 °C, compared to the result at temperatures below T g . The physical mechanisms of thermal R2RIL on a PC film were studied by a finite-element analysis and the patterning process was successfully demonstrated by a visco-plastic deformation model. (paper)

  20. Fabrication of ridge waveguide structure from photosensitive TiO2/ormosil hybrid films by using an ultraviolet soft imprint technique

    International Nuclear Information System (INIS)

    Zhang, Xuehua; Que, Wenxiu; Chen, Jing; Gao, Tianxi; Hu, Jiaxing; Liu, Weiguo

    2013-01-01

    Photosensitive TiO 2 /organically modified silane hybrid films were prepared by combining a low-temperature sol–gel process with a spin-coating technique. Optical properties and photochemical activities of the as-prepared hybrid sol–gel films under different UV irradiation time were characterized and monitored by prism coupling technique, UV–visible spectroscopy, and Fourier transform infrared spectroscopy. Surface morphology of the hybrid films was also observed by an atomic force microscopy. Advantages for fabrication of ridge waveguide structure based on the photosensitive hybrid films were demonstrated by an ultraviolet soft imprint technique. Effects of imprint force, imprint time, and UV irradiation time on high replication fidelity of the ridge waveguide structure were also investigated. An altitude replication fidelity of 99.7% can be obtained when the imprint force of 2 MPa, imprint time of 30 min and UV irradiation time of 45 min were chosen. Scanning electron microscopy and surface profiler were used to characterize the morphological and surface profile properties of the as fabricated ridge waveguide structure. Results indicate that the as-prepared photosensitive hybrid materials have great applicability for the fabrication of micro-optical elements and advantage as the imprint layer under the ultraviolet soft imprint technique. - Highlights: ► Photosensitive TiO 2 /ormosil hybrid film is prepared by a sol–gel process. ► Optical properties of the films change a little with UV exposure time. ► Photo-chemical property of the film changes a lot with UV exposure time. ► The imprint force and time, and the UV exposure time affect the imprint fidelity. ► A fidelity value of 99.7% is obtained under an optimized condition

  1. Duplex-imprinted nano well arrays for promising nanoparticle assembly

    Science.gov (United States)

    Li, Xiangping; Manz, Andreas

    2018-02-01

    A large area nano-duplex-imprint technique is presented in this contribution using natural cicada wings as stamps. The glassy wings of the cicada, which are abundant in nature, exhibit strikingly interesting nanopillar structures over their membrane. This technique, with excellent performance despite the nonplanar surface of the wings, combines both top-down and bottom-up nanofabrication techniques. It transitions micro-nanofabrication from a cleanroom environment to the bench. Two different materials, dicing tape with an acrylic layer and a UV optical adhesive, are used to make replications at the same time, thus achieving duplex imprinting. The promise of a large volume of commercial manufacturing of these nanostructure elements can be envisaged through this contribution to speeding up the fabrication process and achieving a higher throughput. The contact angle of the replicated nanowell arrays before and after oxygen plasma was measured. Gold nanoparticles (50 nm) were used to test how the nanoparticles behaved on the untreated and plasma-treated replica surface. The experiments show that promising nanoparticle self-assembly can be obtained.

  2. The polarization modulation and fabrication method of two dimensional silica photonic crystals based on UV nanoimprint lithography and hot imprint.

    Science.gov (United States)

    Guo, Shuai; Niu, Chunhui; Liang, Liang; Chai, Ke; Jia, Yaqing; Zhao, Fangyin; Li, Ya; Zou, Bingsuo; Liu, Ruibin

    2016-10-04

    Based on a silica sol-gel technique, highly-structurally ordered silica photonic structures were fabricated by UV lithography and hot manual nanoimprint efforts, which makes large-scale fabrication of silica photonic crystals easy and results in low-cost. These photonic structures show perfect periodicity, smooth and flat surfaces and consistent aspect ratios, which are checked by scanning electron microscopy (SEM) and atomic force microscopy (AFM). In addition, glass substrates with imprinted photonic nanostructures show good diffraction performance in both transmission and reflection mode. Furthermore, the reflection efficiency can be enhanced by 5 nm Au nanoparticle coating, which does not affect the original imprint structure. Also the refractive index and dielectric constant of the imprinted silica is close to that of the dielectric layer in nanodevices. In addition, the polarization characteristics of the reflected light can be modulated by stripe nanostructures through changing the incident light angle. The experimental findings match with theoretical results, making silica photonic nanostructures functional integration layers in many optical or optoelectronic devices, such as LED and microlasers to enhance the optical performance and modulate polarization properties in an economical and large-scale way.

  3. High-resolution imprint and soft lithography for patterning self-assembling systems

    NARCIS (Netherlands)

    Duan, X.

    2010-01-01

    This thesis contributes to the continuous development of patterning strategies in several different areas of unconventional nanofabrication. A series of soft lithography approaches (microcontact printing, nanomolding in capillaries), nanoimprint lithography (NIL), and capillary force lithography

  4. Fabrication of ridge waveguide structure from photosensitive TiO{sub 2}/ormosil hybrid films by using an ultraviolet soft imprint technique

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Xuehua [Electronic Materials Research Laboratory, International Center for Dielectric Research, Xi' an Jiaotong University, Xi' an 710049, Shaanxi (China); Que, Wenxiu, E-mail: wxque@mail.xjtu.edu.cn [Electronic Materials Research Laboratory, International Center for Dielectric Research, Xi' an Jiaotong University, Xi' an 710049, Shaanxi (China); Chen, Jing; Gao, Tianxi; Hu, Jiaxing [Electronic Materials Research Laboratory, International Center for Dielectric Research, Xi' an Jiaotong University, Xi' an 710049, Shaanxi (China); Liu, Weiguo [Micro-optoelectronic Systems Laboratories, Xi' an Technological University, Xi' an 710032, Shaanxi (China)

    2013-03-01

    Photosensitive TiO{sub 2}/organically modified silane hybrid films were prepared by combining a low-temperature sol–gel process with a spin-coating technique. Optical properties and photochemical activities of the as-prepared hybrid sol–gel films under different UV irradiation time were characterized and monitored by prism coupling technique, UV–visible spectroscopy, and Fourier transform infrared spectroscopy. Surface morphology of the hybrid films was also observed by an atomic force microscopy. Advantages for fabrication of ridge waveguide structure based on the photosensitive hybrid films were demonstrated by an ultraviolet soft imprint technique. Effects of imprint force, imprint time, and UV irradiation time on high replication fidelity of the ridge waveguide structure were also investigated. An altitude replication fidelity of 99.7% can be obtained when the imprint force of 2 MPa, imprint time of 30 min and UV irradiation time of 45 min were chosen. Scanning electron microscopy and surface profiler were used to characterize the morphological and surface profile properties of the as fabricated ridge waveguide structure. Results indicate that the as-prepared photosensitive hybrid materials have great applicability for the fabrication of micro-optical elements and advantage as the imprint layer under the ultraviolet soft imprint technique. - Highlights: ► Photosensitive TiO{sub 2}/ormosil hybrid film is prepared by a sol–gel process. ► Optical properties of the films change a little with UV exposure time. ► Photo-chemical property of the film changes a lot with UV exposure time. ► The imprint force and time, and the UV exposure time affect the imprint fidelity. ► A fidelity value of 99.7% is obtained under an optimized condition.

  5. The fabrication of a carbon nanotube array using a catalyst-poisoning layer in the inverse nano-sphere lithography method

    International Nuclear Information System (INIS)

    Tsai, Tsung-Yen; Chen, Tsung-Han; Tai, Nyan-Hwa; Chang, Shih-Chin; Hsu, Hui-Chen; Joseph Palathinkal, Thomas

    2009-01-01

    A new method for the fabrication of periodic CNT arrays was developed in this study, which involves the use of the inverse nano-sphere lithography (INSL) process. Mo of a honeycomb pattern, acting as a catalyst-poisoning layer, was produced by the nano-sphere lithography (NSL) process; the Mo poisoned the catalyst and prevented CNT growth where deposited, and as a result, a periodic CNT pattern was obtained. Using this method, the uniformity of the CNT array can be improved by preventing the negative effect of arrangement defects in self-assembled monolayers. The size and the period of the CNT array can be adjusted by careful selection of the polystyrene (PS) sphere diameter. X-ray photoelectron spectroscope (XPS) analysis revealed that the Co catalyst was ineffective on the areas of Mo deposition due to the diffusion of Co into the Mo layer.

  6. The fabrication of a carbon nanotube array using a catalyst-poisoning layer in the inverse nano-sphere lithography method

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, Tsung-Yen; Chen, Tsung-Han; Tai, Nyan-Hwa; Chang, Shih-Chin; Hsu, Hui-Chen; Joseph Palathinkal, Thomas, E-mail: nhtai@mx.nthu.edu.t [Department of Materials Science and Engineering, National Tsing Hua University, 101, Section 2, Kuang-Fu Road, Hsinchu, 30013, Taiwan (China)

    2009-07-29

    A new method for the fabrication of periodic CNT arrays was developed in this study, which involves the use of the inverse nano-sphere lithography (INSL) process. Mo of a honeycomb pattern, acting as a catalyst-poisoning layer, was produced by the nano-sphere lithography (NSL) process; the Mo poisoned the catalyst and prevented CNT growth where deposited, and as a result, a periodic CNT pattern was obtained. Using this method, the uniformity of the CNT array can be improved by preventing the negative effect of arrangement defects in self-assembled monolayers. The size and the period of the CNT array can be adjusted by careful selection of the polystyrene (PS) sphere diameter. X-ray photoelectron spectroscope (XPS) analysis revealed that the Co catalyst was ineffective on the areas of Mo deposition due to the diffusion of Co into the Mo layer.

  7. Molecular Imprinting Techniques Used for the Preparation of Biosensors

    Directory of Open Access Journals (Sweden)

    Gizem Ertürk

    2017-02-01

    Full Text Available Molecular imprinting is the technology of creating artificial recognition sites in polymeric matrices which are complementary to the template in their size, shape and spatial arrangement of the functional groups. Molecularly imprinted polymers (MIPs and their incorporation with various transducer platforms are among the most promising approaches for detection of several analytes. There are a variety of molecular imprinting techniques used for the preparation of biomimetic sensors including bulk imprinting, surface imprinting (soft lithography, template immobilization, grafting, emulsion polymerization and epitope imprinting. This chapter presents an overview of all of these techniques with examples from particular publications.

  8. Metal films with imprinted nanostructures by template stripping

    DEFF Research Database (Denmark)

    Eriksen, René Lynge; Pors, Anders; Dreier, Jes

    We present a novel template stripping procedure for fabricating metal films with imprinted nanostructures. The basic idea is to deposit a gold film onto a nano-structured substrate and subsequently strip the film from the substrate surface thereby revealing imprinted nanostructures in the film...... result is a thin gold film with imprinted nano-cavities....

  9. Characterization and Curing Kinetics of Epoxy/Silica Nano-Hybrids

    Science.gov (United States)

    Yang, Cheng-Fu; Wang, Li-Fen; Wu, Song-Mao; Su, Chean-Cheng

    2015-01-01

    The sol-gel technique was used to prepare epoxy/silica nano-hybrids. The thermal characteristics, curing kinetics and structure of epoxy/silica nano-hybrids were studied using differential scanning calorimetry (DSC), 29Si nuclear magnetic resonance (NMR) and transmission electron microscopy (TEM). To improve the compatibility between the organic and inorganic phases, a coupling agent was used to modify the diglycidyl ether of bisphenol A (DGEBA) epoxy. The sol-gel technique enables the silica to be successfully incorporated into the network of the hybrids, increasing the thermal stability and improving the mechanical properties of the prepared epoxy/silica nano-hybrids. An autocatalytic mechanism of the epoxy/SiO2 nanocomposites was observed. The low reaction rate of epoxy in the nanocomposites is caused by the steric hindrance in the network of hybrids that arises from the consuming of epoxide group in the network of hybrids by the silica. In the nanocomposites, the nano-scale silica particles had an average size of approximately 35 nm, and the particles were well dispersed in the epoxy matrix, according to the TEM images. PMID:28793616

  10. A compact system for large-area thermal nanoimprint lithography using smart stamps

    DEFF Research Database (Denmark)

    Pedersen, Rasmus Haugstrup; Hansen, Ole; Kristensen, Anders

    2008-01-01

    We present a simple apparatus for thermal nanoimprint lithography. In this work, the stamp is designed to significantly reduce the requirements for pressure application on the external imprint system. By MEMS-based processing, an air cavity inside the stamp is created, and the required pressure...... for successful imprint is reduced. Additionally, the stamp is capable of performing controlled demolding after imprint. Due to the complexity of the stamp, a compact and cost-effective imprint apparatus can be constructed. The design and fabrication of the advanced stamp as well as the simple imprint equipment...

  11. Advanced Nano hybrid Materials: Surface Modification and Applications

    International Nuclear Information System (INIS)

    Liu, L.H.; Metivier, R.; Wang, Sh.; Wang, Sh.; Hui Wang

    2012-01-01

    The field of functional nano scale hybrid materials is one of the most promising and rapidly emerging research areas in materials chemistry. Nano scale hybrid materials can be broadly defined as synthetic materials with organic and inorganic components that are linked together by noncovalent bonds (Class I, linked by hydrogen bond, electrostatic force, or van der Waals force) or covalent bonds (Class II) at nanometer scale. The unlimited possible combinations of the distinct properties of inorganic, organic, or even bioactive components in a single material, either in molecular or nano scale dimensions, have attracted considerable attention. This approach provides an opportunity to create a vast number of novel advanced materials with well-controlled structures and multiple functions. The unique properties of advanced hybrid nano materials can be advantageous to many fields, such as optical and electronic materials, biomaterials, catalysis, sensing, coating, and energy storage. In this special issue, the breadth of papers shows that the hybrid materials is attracting attention, because of both growing fundamental interest, and a route to new materials. Two review articles and seven research papers that report new results of hybrid materials should gather widespread interest.

  12. Nanoimprint lithography for microfluidics manufacturing

    Science.gov (United States)

    Kreindl, Gerald; Matthias, Thorsten

    2013-12-01

    The history of imprint technology as lithography method for pattern replication can be traced back to 1970's but the most significant progress has been made by the research group of S. Chou in the 1990's. Since then, it has become a popular technique with a rapidly growing interest from both research and industrial sides and a variety of new approaches have been proposed along the mainstream scientific advances. Nanoimprint lithography (NIL) is a novel method for the fabrication of micro/nanometer scale patterns with low cost, high throughput and high resolution. Unlike traditional optical lithographic approaches, which create pattern through the use of photons or electrons to modify the chemical and physical properties of the resist, NIL relies on direct mechanical deformation of the resist and can therefore achieve resolutions beyond the limitations set by light diffraction or beam scattering that are encountered in conventional lithographic techniques. The ability to fabricate structures from the micro- to the nanoscale with high precision in a wide variety of materials is of crucial importance to the advancement of micro- and nanotechnology and the biotech- sciences as a whole and will be discussed in this paper. Nanoimprinting can not only create resist patterns, as in lithography, but can also imprint functional device structures in various polymers, which can lead to a wide range of applications in electronics, photonics, data storage, and biotechnology.

  13. Fabrication of amorphous IGZO thin film transistor using self-aligned imprint lithography with a sacrificial layer

    Science.gov (United States)

    Kim, Sung Jin; Kim, Hyung Tae; Choi, Jong Hoon; Chung, Ho Kyoon; Cho, Sung Min

    2018-04-01

    An amorphous indium-gallium-zinc-oxide (a-IGZO) thin film transistor (TFT) was fabricated by a self-aligned imprint lithography (SAIL) method with a sacrificial photoresist layer. The SAIL is a top-down method to fabricate a TFT using a three-dimensional multilayer etch mask having all pattern information for the TFT. The sacrificial layer was applied in the SAIL process for the purpose of removing the resin residues that were inevitably left when the etch mask was thinned by plasma etching. This work demonstrated that the a-IGZO TFT could be fabricated by the SAIL process with the sacrificial layer. Specifically, the simple fabrication process utilized in this study can be utilized for the TFT with a plasma-sensitive semiconductor such as the a-IGZO and further extended for the roll-to-roll TFT fabrication.

  14. Polymer microlens replication by Nanoimprint Lithography using proton beam fabricated Ni stamp

    International Nuclear Information System (INIS)

    Dutta, R.K.; Kan, J.A. van; Bettiol, A.A.; Watt, F.

    2007-01-01

    It is essential to have a simplified and a rapid method for fabricating micro/nano structures in different kinds of polymeric materials. Though it is possible to fabricate arrays of microlens directly by P beam writing (PBW), it is restricted to a few types of resist materials. Therefore we have fabricated a Ni electroplated metallic stamp comprising of arrays of inverse/negative features of microlenses. The metallic stamp of about 500 μm thick is made on a silicon wafer coated with 10 μm thick polymethylglutarimide (PMGI) resist and the desired structures are written by PBW followed by thermal reflow and Ni electroplating. An array of microlenses is imprinted on a polycarbonate (PC) substrate by the Nanoimprint Lithography (NIL) technique and the replicated microlenses featuring various numerical apertures, diameters and pitches are characterized

  15. Thermal properties of oil palm nano filler/kenaf reinforced epoxy hybrid nanocomposites

    Science.gov (United States)

    Saba, N.; Paridah, M. T.; Abdan, K.; Ibrahim, N. A.

    2016-11-01

    The aim of this research study was to fabricate nano oil palm empty fruit bunch (OPEFB)/kenaf/epoxy hybrid nanocomposites and to make comparative study on the thermal properties of nano OPEFB/kenaf/epoxy hybrid nanocomposites with the montmorillonite (MMT)/kenaf/epoxy hybrid nanocomposites and organically modified MMT (OMMT)/kenaf/epoxy hybrid nanocomposites. Epoxy based kenaf hybrid nanocomposites was prepared by dispersing the nano filler (nano OPEFB filler, MMT, OMMT) at 3% loading through high speed mechanical stirrer followed by hand lay-up technique. Thermal properties of hybrid nanocomposites were analyzed through thermogravimetry analyzer (TGA), and differential scanning calorimetry (DSC). Obtained results specified that addition of nano OPEFB filler improves the thermal stability and char yield of kenaf/epoxy composites. Furthermore, the increase in decomposition temperature by the nano OPEFB filler was quite comparable to the MMT/kenaf/epoxy but relatively less than OMMT/kenaf/epoxy hybrid nanocomposites. We concluded from overall consequences that the nano OPEFB filler can be used as the promising and innovative alternative of existing expensive nano filler, with relatively lesser impact on the environment having marked pronounced impact on the construction, automotive, aerospace, electronics and semiconducting sectors as future industries based on bio-wastes with satisfactory light weight and thermal stability on other side.

  16. The development of 8 inch roll-to-plate nanoimprint lithography (8-R2P-NIL) system

    Science.gov (United States)

    Lee, Lai Seng; Mohamed, Khairudin; Ooi, Su Guan

    2017-07-01

    Growth in semiconductor and integrated circuit industry was observed in the past decennium of years for industrial technology which followed Moore's law. The line width of nanostructure to be exposed was influenced by the essential technology of photolithography. Thus, it is crucial to have a low cost and high throughput manufacturing process for nanostructures. Nanoimprint Lithography technique invented by Stephen Y. Chou was considered as major nanolithography process to be used in future integrated circuit and integrated optics. The drawbacks of high imprint pressure, high imprint temperature, air bubbles formation, resist sticking to mold and low throughput of thermal nanoimprint lithography on silicon wafer have yet to be solved. Thus, the objectives of this work is to develop a high throughput, low imprint force, room temperature UV assisted 8 inch roll to plate nanoimprint lithography system capable of imprinting nanostructures on 200 mm silicon wafer using roller imprint with flexible mold. A piece of resist spin coated silicon wafer was placed onto vacuum chuck drives forward by a stepper motor. A quartz roller wrapped with a piece of transparent flexible mold was used as imprint roller. The imprinted nanostructures were cured by 10 W, 365 nm UV LED which situated inside the quartz roller. Heat generated by UV LED was dissipated by micro heat pipe. The flexible mold detaches from imprinted nanostructures in a 'line peeling' pattern and imprint pressure was measured by ultra-thin force sensors. This system has imprinting speed capability ranging from 0.19 mm/s to 5.65 mm/s, equivalent to imprinting capability of 3 to 20 pieces of 8 inch wafers per hour. Speed synchronization between imprint roller and vacuum chuck was achieved by controlling pulse rate supplied to stepper motor which drive the vacuum chuck. The speed different ranging from 2 nm/s to 98 nm/s is achievable. Vacuum chuck height was controlled by stepper motor with displacement of 5 nm/step.

  17. On the similarities between micro/nano lithography and topology optimization projection methods

    DEFF Research Database (Denmark)

    Jansen, Miche; Lazarov, Boyan Stefanov; Schevenels, Mattias

    2013-01-01

    The aim of this paper is to incorporate a model for micro/nano lithography production processes in topology optimization. The production process turns out to provide a physical analogy for projection filters in topology optimization. Blueprints supplied by the designers cannot be directly used...... as inputs to lithographic processes due to the proximity effect which causes rounding of sharp corners and geometric interaction of closely spaced design elements. Therefore, topology optimization is applied as a tool for proximity effect correction. Furthermore, it is demonstrated that the robust...... projection filter can be used to account for uncertainties due to lithographic production processes which results in manufacturable blueprint designs and eliminates the need for subsequent corrections....

  18. Metal layer mask patterning by force microscopy lithography

    International Nuclear Information System (INIS)

    Filho, H.D. Fonseca; Mauricio, M.H.P.; Ponciano, C.R.; Prioli, R.

    2004-01-01

    The nano-lithography of a metallic surface in air by atomic force microscopy while operated in contact mode and equipped with a diamond tip is presented. The aluminum mask was prepared by thermal deposition on arsenic sulfide films. The analysis of the scratches performed by the tip on the metallic mask show that the depth of the lithographed pattern increases with the increase of the applied normal force. The scanning velocity is also shown to influence the AFM patterning process. As the scanning velocity increases, the scratch depth and width decreases. Nano-indentations performed with the diamond tip show that the plastically deformed surface increases with the increase of the duration of the applied force. The use of the nano-lithography method to create nano-structures is discussed

  19. Preparation and Characterization of Graphene-Based Magnetic Hybrid Nano composite

    International Nuclear Information System (INIS)

    Jashiela Wani Jusin; Madzlan Aziz

    2016-01-01

    Graphene-based magnetic hybrid nano composite has the advantage of exhibiting better performance as platform or supporting materials to develop novel properties of composite by increasing selectivity of the targeted adsorbate. The hybrid nano material was prepared by mixing and hydrolysing iron (II) and iron (III) salt precursors in the presence of GO dispersion through coprecipitation method followed by in situ chemical reduction of GO. The effect of weight loading ratio of Fe to GO (4:1, 2.5:1, 1:1 and 1:4) on structural properties of the hybrid nano materials was investigated. The presence of characteristic peaks in FTIR spectra indicated that GO has been successfully oxidized from graphite while the decrease in oxygenated functional groups and peaks intensity evidenced the formation of hybrid nano materials through the subsequent reduction process. The presence of characteristic peaks in XRD pattern denoted that magnetite nanoparticles disappeared at higher loading of GO. TEM micrograph showed that the best distribution of iron oxide particles on the surface of hybrid nano material occurred when the loading ratio of Fe to GO was fixed at 2:5 to 1. The reduced graphene oxide (RGO) sheets in the hybrid materials showed less wrinkled sheet like structure compared to GO due to exfoliation and reduction process during the synthesis. The layered morphology of GO degrades at higher concentrations of iron oxide. (author)

  20. Nanorobotic Systems

    Directory of Open Access Journals (Sweden)

    Lixin Dong

    2008-11-01

    Full Text Available Two strategies towards the realization of nanotechnology have been presented, i.e., top-down and bottom up. The former one is mainly based on nanofabrication and includes technologies such as nano-lithography, nano-imprint, and etching. Presently, they are still 2D fabrication processes with low resolution. The later one is an assembly-based technique. At present, it includes such items as self-assembly, dip-pen lithography, and directed self-assembly. These techniques can generate regular nano patterns in large scales. To fabricate 3D complex nano devices there are still no effective ways by so far. Here we show our effort on the development of a nano laboratory, a prototype nanomanufacturing system, based on nanorobotic manipulations. In which, we take a hybrid strategy as shown in Fig. 1. In this system, nano fabrication and nano assembly can be performed in an arbitrary order to construct nano building blocks and finally nano devices. The most important feature in this system is that the products can be fed back into the system to shrink the system part by part leading to nanorobots. Property characterization can be performed in each intermediate process. Due to the nanorobotic manipulation system, dynamic measurement can be performed rather than conventional static observations.

  1. Development of 3d micro-nano hybrid patterns using anodized aluminum and micro-indentation

    International Nuclear Information System (INIS)

    Shin, Hong Gue; Kwon, Jong Tae; Seo, Young Ho; Kim, Byeong Hee

    2008-01-01

    We developed a simple and cost-effective method of fabricating 3D micro-nano hybrid patterns in which micro-indentation is applied on the anodized aluminum substrate. Nano-patterns were formed first on the aluminum substrate, and then micro-patterns were fabricated by deforming the nano-patterned aluminum substrate. Hemispherical nano-patterns with a 150 nm-diameter on an aluminum substrate were fabricated by anodizing and alumina removing process. Then, micro-pyramid patterns with a side-length of 50 μm were formed on the nano-patterns using micro-indentation. To verify 3D micro-nano hybrid patterns, we replicated 3D micro-nano hybrid patterns by a hot-embossing process. 3D micro-nano hybrid patterns may be used in nano-photonic devices and nano-biochips applications

  2. Development of 3d micro-nano hybrid patterns using anodized aluminum and micro-indentation

    Energy Technology Data Exchange (ETDEWEB)

    Shin, Hong Gue; Kwon, Jong Tae [Division of Mechanical Engineering and Mechatronics, Kangwon National University, 1 Kangwondaehakgil, Chunchon, Gangwon-do, 200-701 (Korea, Republic of); Seo, Young Ho [Division of Mechanical Engineering and Mechatronics, Kangwon National University, 1 Kangwondaehakgil, Chunchon, Gangwon-do, 200-701 (Korea, Republic of)], E-mail: mems@kangwon.ac.kr; Kim, Byeong Hee [Division of Mechanical Engineering and Mechatronics, Kangwon National University, 1 Kangwondaehakgil, Chunchon, Gangwon-do, 200-701 (Korea, Republic of)

    2008-07-31

    We developed a simple and cost-effective method of fabricating 3D micro-nano hybrid patterns in which micro-indentation is applied on the anodized aluminum substrate. Nano-patterns were formed first on the aluminum substrate, and then micro-patterns were fabricated by deforming the nano-patterned aluminum substrate. Hemispherical nano-patterns with a 150 nm-diameter on an aluminum substrate were fabricated by anodizing and alumina removing process. Then, micro-pyramid patterns with a side-length of 50 {mu}m were formed on the nano-patterns using micro-indentation. To verify 3D micro-nano hybrid patterns, we replicated 3D micro-nano hybrid patterns by a hot-embossing process. 3D micro-nano hybrid patterns may be used in nano-photonic devices and nano-biochips applications.

  3. Analysis of technology and development plan on Lithography process of display industry and semiconductor

    International Nuclear Information System (INIS)

    2005-02-01

    This reports the seminar on Lithography in 2005, which includes these contents; Introduction of Lithography, equipment in NNFC, Exposure technology with fabrication, basic and application optics, RET and Lens aberrations, Alignment and Overlay and Metrology, Resist process with prime, mechanism, issues, resist technology and track system, Mask and OPC such as mask, fabrication, mask technology, proximity effect and OPC, Next generation, Lithography with NGL, Immersion and imprint. In the last, there are questions and answers.

  4. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, V.; Chansin, G.

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer...... are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed...... polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three...

  5. Periodic nanostructures imprinted on high-temperature stable sol–gel films by ultraviolet-based nanoimprint lithography for photovoltaic and photonic applications

    Energy Technology Data Exchange (ETDEWEB)

    Back, Franziska [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany); Bockmeyer, Matthias; Rudigier-Voigt, Eveline [Schott AG, Research and Technology Development, Hattenbergstraße 10, 55122 Mainz (Germany); Löbmann, Peer [Fraunhofer-Institut für Silicatforschung ISC, Neunerplatz 2, 97082 Würzburg (Germany)

    2014-07-01

    Nanostructured sol–gel films with high-temperature stability are used in the area of electronics, photonics or biomimetic materials as light-trapping architectures in solar cells, displays, waveguides or as superhydrophobic surfaces with a lotus effect. In this work, high-temperature stable 2-μm nanostructured surfaces were prepared by ultraviolet-based nanoimprint lithography using an alkoxysilane binder incorporating modified silica nanoparticles. Material densification during thermal curing and microstructural evolution which are destined for a high structural fidelity of nanostructured films were investigated in relation to precursor chemistry, particle morphology and particle content of the imprint resist. The mechanism for densification and shrinkage of the films was clarified and correlated with the structural fidelity to explain the influence of the geometrical design on the optical properties. A high internal coherence of the microstructure of the nanostructured films results in a critical film thickness of > 5 μm. The structured glassy layers with high inorganic content show thermal stability up to 800 °C and have a high structural fidelity > 90% with an axial shrinkage of 16% and a horizontal shrinkage of 1%. This material allows the realization of highly effective light-trapping architectures for polycrystalline silicon thin-film solar cells on glass but also for the preparation of 2D photonic crystals for telecommunication wavelengths. - Highlights: • Fundamental research • Hybrid sol–gel material with high-temperature stability and contour accuracy • Ensuring of cost-efficient and industrially feasible processing • Application in photonic and photovoltaic.

  6. Physical, structural and thermomechanical properties of oil palm nano filler/kenaf/epoxy hybrid nanocomposites

    Energy Technology Data Exchange (ETDEWEB)

    Saba, N., E-mail: naheedchem@gmail.com [Laboratory of Biocomposite Technology, Institute of Tropical Forestry and Forest Products(INTROP), Universiti Putra Malaysia, 43400 UPM Serdang, Selangor (Malaysia); Paridah, M.T. [Laboratory of Biocomposite Technology, Institute of Tropical Forestry and Forest Products(INTROP), Universiti Putra Malaysia, 43400 UPM Serdang, Selangor (Malaysia); Abdan, K. [Department of Biological and Agricultural Engineering, Faculty of Engineering, Universiti Putra Malaysia, 43400 UPM Serdang Selangor (Malaysia); Ibrahim, N.A. [Department of Chemistry, Faculty of Science, Universiti Putra Malaysia, 43400 UPM Serdang, Selangor (Malaysia)

    2016-12-01

    The present research study deals with the fabrication of kenaf/epoxy hybrid nanocomposites by the incorporation of oil palm nano filler, montmorillonite (MMT) and organically modified montmorillonite (OMMT) at 3% loading, through hand lay-up technique. Effect of adding different nano fillers on the physical (density), structural [X-ray diffraction (XRD)] and thermomechanical analysis (TMA) of kenaf/epoxy composites were carried out. Density results revealed that the incorporation of nano filler in the kenaf/epoxy composites increases the density which in turn increases the hardness of the hybrid nanocomposites. XRD analysis confirmed the presence of nano fillers in the structure of their respective fabricated hybrid nanocomposites. All hybrid nanocomposites displayed lower coefficient of thermal expansion (CTE) with respect to kenaf/epoxy composites. Overall results predicted that the properties improvement in nano OPEFB/kenaf/epoxy was quite comparable to MMT/kenaf/epoxy but relatively lesser to OMMT/kenaf/epoxy hybrid nanocomposites and higher with respect to kenaf/epoxy composites. The improvement ascribed due to improved interfacial bonding or cross linking between kenaf fibers and epoxy matrix by addition of nano filler. - Highlights: • Nano OPEFB/kenaf/epoxy hybrid nanocomposites were fabricated by hand lay-up. • Effect of nano OPEFB on density & structure of kenaf/epoxy were investigated. • Thermal expansion coefficients of kenaf/epoxy and hybrid nanocomposites evaluated. • Comparative studies were made with MMT and OMMT kenaf/epoxy hybrid nanocomposites.

  7. Physical, structural and thermomechanical properties of oil palm nano filler/kenaf/epoxy hybrid nanocomposites

    International Nuclear Information System (INIS)

    Saba, N.; Paridah, M.T.; Abdan, K.; Ibrahim, N.A.

    2016-01-01

    The present research study deals with the fabrication of kenaf/epoxy hybrid nanocomposites by the incorporation of oil palm nano filler, montmorillonite (MMT) and organically modified montmorillonite (OMMT) at 3% loading, through hand lay-up technique. Effect of adding different nano fillers on the physical (density), structural [X-ray diffraction (XRD)] and thermomechanical analysis (TMA) of kenaf/epoxy composites were carried out. Density results revealed that the incorporation of nano filler in the kenaf/epoxy composites increases the density which in turn increases the hardness of the hybrid nanocomposites. XRD analysis confirmed the presence of nano fillers in the structure of their respective fabricated hybrid nanocomposites. All hybrid nanocomposites displayed lower coefficient of thermal expansion (CTE) with respect to kenaf/epoxy composites. Overall results predicted that the properties improvement in nano OPEFB/kenaf/epoxy was quite comparable to MMT/kenaf/epoxy but relatively lesser to OMMT/kenaf/epoxy hybrid nanocomposites and higher with respect to kenaf/epoxy composites. The improvement ascribed due to improved interfacial bonding or cross linking between kenaf fibers and epoxy matrix by addition of nano filler. - Highlights: • Nano OPEFB/kenaf/epoxy hybrid nanocomposites were fabricated by hand lay-up. • Effect of nano OPEFB on density & structure of kenaf/epoxy were investigated. • Thermal expansion coefficients of kenaf/epoxy and hybrid nanocomposites evaluated. • Comparative studies were made with MMT and OMMT kenaf/epoxy hybrid nanocomposites.

  8. Strongly coupled inorganic-nano-carbon hybrid materials for energy storage.

    Science.gov (United States)

    Wang, Hailiang; Dai, Hongjie

    2013-04-07

    The global shift of energy production from fossil fuels to renewable energy sources requires more efficient and reliable electrochemical energy storage devices. In particular, the development of electric or hydrogen powered vehicles calls for much-higher-performance batteries, supercapacitors and fuel cells than are currently available. In this review, we present an approach to synthesize electrochemical energy storage materials to form strongly coupled hybrids (SC-hybrids) of inorganic nanomaterials and novel graphitic nano-carbon materials such as carbon nanotubes and graphene, through nucleation and growth of nanoparticles at the functional groups of oxidized graphitic nano-carbon. We show that the inorganic-nano-carbon hybrid materials represent a new approach to synthesize electrode materials with higher electrochemical performance than traditional counterparts made by simple physical mixtures of electrochemically active inorganic particles and conducting carbon materials. The inorganic-nano-carbon hybrid materials are novel due to possible chemical bonding between inorganic nanoparticles and oxidized carbon, affording enhanced charge transport and increased rate capability of electrochemical materials without sacrificing specific capacity. Nano-carbon with various degrees of oxidation provides a novel substrate for nanoparticle nucleation and growth. The interactions between inorganic precursors and oxidized-carbon substrates provide a degree of control over the morphology, size and structure of the resulting inorganic nanoparticles. This paper reviews the recent development of inorganic-nano-carbon hybrid materials for electrochemical energy storage and conversion, including the preparation and functionalization of graphene sheets and carbon nanotubes to impart oxygen containing groups and defects, and methods of synthesis of nanoparticles of various morphologies on oxidized graphene and carbon nanotubes. We then review the applications of the SC-hybrid

  9. A compact system for large-area thermal nanoimprint lithography using smart stamps

    International Nuclear Information System (INIS)

    Pedersen, R H; Hansen, O; Kristensen, A

    2008-01-01

    We present a simple apparatus for thermal nanoimprint lithography. In this work, the stamp is designed to significantly reduce the requirements for pressure application on the external imprint system. By MEMS-based processing, an air cavity inside the stamp is created, and the required pressure for successful imprint is reduced. Additionally, the stamp is capable of performing controlled demolding after imprint. Due to the complexity of the stamp, a compact and cost-effective imprint apparatus can be constructed. The design and fabrication of the advanced stamp as well as the simple imprint equipment is presented. Test imprints of micrometer- and nanometer-scale structures are performed and characterized with respect to uniformity across a large area (35 mm radius). State-of-the-art uniformity for µm-scale features is demonstrated

  10. Stamp design effect on 100 nm feature size for 8 inch NanoImprint lithography

    International Nuclear Information System (INIS)

    Landis, S; Chaix, N; Gourgon, C; Perret, C; Leveder, T

    2006-01-01

    Sub-100 nm resolution on a 200 mm silicon stamp has been hot embossed into commercial Sumitomo NEB 22 resist. A single pattern, exposed with electron beam lithography, has been considered to define the stamp and thus make it possible to point out the impact of stamp design on the printing. These results may be considered as a first attempt to define rules to solve the proximity printing effects (PPEs). Moreover, a large range of initial resist thickness, from 56 to 506 nm, has been spin coated to assess the effect of polymer flow properties for the stamp cavity filling and the printed defects. A detailed analysis of the printed resist in dense hole patterns showed that the application volume conservation is enough to calculate the residual layer thickness as the height of the printed resist feature. Good accordance has been obtained between the theoretical approach and experimental results. Moreover, the impact of the pattern symmetry breakdown on mould deformation is clearly shown in this paper in the printed areas as well as in the unprinted areas

  11. Defect reduction of patterned media templates and disks

    Science.gov (United States)

    Luo, Kang; Ha, Steven; Fretwell, John; Ramos, Rick; Ye, Zhengmao; Schmid, Gerard; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-05-01

    Imprint lithography has been shown to be an effective technique for the replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require a demonstration of defect levels commensurate with cost-effective device production. This work summarizes the results of defect inspections of hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical based automated inspection tools. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity and scattered light. Defects that have been identified in this manner are further characterized according to the morphology. The imprint process was tested after optimizing both the disk cleaning and adhesion layers processes that precede imprinting. An extended imprint run was performed and both the defect types and trends are reported.

  12. Silicon Nanowire Fabrication Using Edge and Corner Lithography

    NARCIS (Netherlands)

    Yagubizade, H.; Berenschot, Johan W.; Jansen, Henricus V.; Elwenspoek, Michael Curt; Tas, Niels Roelof

    2010-01-01

    This paper presents a wafer scale fabrication method of single-crystalline silicon nanowires (SiNWs) bound by <111> planes using a combination of edge and corner lithography. These are methods of unconventional nanolithography for wafer scale nano-patterning which determine the size of nano-features

  13. A poly(dimethylsiloxane)-coated flexible mold for nanoimprint lithography

    International Nuclear Information System (INIS)

    Lee, Nae Yoon; Kim, Youn Sang

    2007-01-01

    In this paper, we introduce an anti-adhesion poly(dimethylsiloxane) (PDMS)-coated flexible mold and its applications for room-temperature imprint lithography. The flexible mold is fabricated using an ultraviolet-curable prepolymer on a flexible substrate, and its surface is passivated with a thin layer of PDMS to impart an anti-adhesion property. The highly flexible mold enables conformal contact with a substrate on which a low-viscosity polymer resist is spin-cast in a thin layer. Large-area imprinting is then realized at room temperature under significantly reduced pressure. The mold was durable even after repetitive imprinting of over 200 times. Also, we show a double imprinting on the substrate with a PDMS-coated replica polymeric mold having 500 nm line patterns. This enables the formation of matrix patterns with varying feature heights in less than 7 min

  14. Reverse-contact UV nanoimprint lithography for multilayered structure fabrication

    International Nuclear Information System (INIS)

    Kehagias, N; Reboud, V; Chansin, G; Zelsmann, M; Jeppesen, C; Schuster, C; Kubenz, M; Reuther, F; Gruetzner, G; Torres, C M Sotomayor

    2007-01-01

    In this paper, we report results on a newly developed nanofabrication technique, namely reverse-contact UV nanoimprint lithography. This technique is a combination of nanoimprint lithography and contact printing lithography. In this process, a lift-off resist and a UV cross-linkable polymer are spin-coated successively onto a patterned UV mask-mould. These thin polymer films are then transferred from the mould to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light. After separation of the mould and the substrate, the unexposed polymer areas are dissolved in a developer solution leaving behind the negative features of the original stamp. This method delivers resist pattern transfer without a residual layer, thereby rending unnecessary the etching steps typically needed in the imprint lithography techniques for three-dimensional patterning. Three-dimensional woodpile-like structures were successfully fabricated with this new technique

  15. Development of Blue Laser Direct-Write Lithography System

    Directory of Open Access Journals (Sweden)

    Hao-Wen Chang

    2012-01-01

    Full Text Available The optical lithography system researched in this study adopted the laser direct-write lithography technology with nano-positioning stage by using retailing blue ray optical pickup head contained 405nm wavelength and 0.85 numerical aperture of focus lens as the system lighting source. The system employed a photodiode received the focusing error signal reflected by the glass substrate to identify specimen position and automatic focused control with voice coil motor. The pattern substrate was loaded on a nano-positioning stage; input pattern path automatically and collocate with inner program at the same time. This research has successfully developed a blue laser lithography process system. The single spot size can be narrowed down to 3.07 μm and the linewidth is 3.3μm, time of laser control can reach to 450 ns and the exposure pattern can be controlled by program as well.

  16. Position Analysis of a Hybrid Serial-Parallel Manipulator in Immersion Lithography

    Directory of Open Access Journals (Sweden)

    Jie-jie Shao

    2015-01-01

    Full Text Available This paper proposes a novel hybrid serial-parallel mechanism with 6 degrees of freedom. The new mechanism combines two different parallel modules in a serial form. 3-P̲(PH parallel module is architecture of 3 degrees of freedom based on higher joints and specializes in describing two planes’ relative pose. 3-P̲SP parallel module is typical architecture which has been widely investigated in recent researches. In this paper, the direct-inverse position problems of the 3-P̲SP parallel module in the couple mixed-type mode are analyzed in detail, and the solutions are obtained in an analytical form. Furthermore, the solutions for the direct and inverse position problems of the novel hybrid serial-parallel mechanism are also derived and obtained in the analytical form. The proposed hybrid serial-parallel mechanism is applied to regulate the immersion hood’s pose in an immersion lithography system. Through measuring and regulating the pose of the immersion hood with respect to the wafer surface simultaneously, the immersion hood can track the wafer surface’s pose in real-time and the gap status is stabilized. This is another exploration to hybrid serial-parallel mechanism’s application.

  17. Methodological comparison on hybrid nano organic solar cell fabrication

    Science.gov (United States)

    Vairavan, Rajendaran; Hambali, Nor Azura Malini Ahmad; Wahid, Mohamad Halim Abd; Retnasamy, Vithyacharan; Shahimin, Mukhzeer Mohamad

    2018-02-01

    The development of low cost solar cells has been the main focus in recent years. This has lead to the generation of photovoltaic cells based on hybrid of nanoparticle-organic polymer materials. This type of hybrid photovoltaic cells can overcome the problem of polymeric devices having low optical absorption and carrier mobilities. The hybrid cell has the potential of bridging the efficiency gap, which in present in organic and inorganic semiconductor materials. This project focuses on obtaining an hybrid active layer consisting of nanoparticles and organic polymer, to understand the parameter involved in obtaining this active layer and finally to investigate if the addition of nano particles in to the active layer could enhance the output of the hybrid solar cell. The hybrid active layer have will be deposited using the spin coating technique by using CdTe, CdS nano particles mixed with poly (2-methoxy,5-(2-ethyl-hexyloxy)-p-phenylvinylene)MEH-PPV.

  18. Dispersive solid-phase imprinting of proteins for the production of plastic antibodies

    DEFF Research Database (Denmark)

    Ashley, Jon; Feng, Xiaotong; Halder, Arnab

    2018-01-01

    We describe a novel dispersive solid-phase imprinting technique for the production of nano-sized molecularly imprinted polymers (nanoMIPs) as plastic antibodies. The template was immobilized on in-house synthesized magnetic microspheres instead of conventional glass beads. As a result, high...

  19. Nano-Imprint Lithography: Nanonex NX-2000

    Data.gov (United States)

    Federal Laboratory Consortium — Description:CORAL Name: NanoimprinterThis tool creates a pattern in a thin resist by embossing from a mold. The pattern is later transferred to the wafer by reactive...

  20. Dimensional characterization of biperiodic imprinted structures using optical scatterometry

    KAUST Repository

    Gereige, Issam

    2013-12-01

    In this paper, we report on the characterization of biperiodic imprinted structures using a non-destructive optical technique commonly called scatterometry. The nanostructures consist of periodic arrays of square and circular dots which were imprinted in a thermoplastic polymer by thermal nanoimprint lithography. Optical measurements were performed using spectroscopic ellipsometry in the spectral region of 1.5-4 eV. The geometrical profiles of the imprinted structures were reconstructed using the Rigorous Coupled-Wave Analysis (RCWA) to model the diffraction phenomena by periodic gratings. The technique was also adapted for large scale evaluation of the imprint process. Uniqueness of the solution was examined by analyzing the diffraction of the structure at different experimental conditions, for instance at various angles of incidence. © 2013 Elsevier B.V. All rights reserved.

  1. Relative biocompatibility of micro-hybrid and nano-hybrid light-activated composite resins.

    Science.gov (United States)

    Olabisi Arigbede, Abiodun; Folasade Adeyemi, Bukola; Femi-Akinlosotu, Omowumi

    2017-01-01

    Background. In vitro studies have revealed a direct association between resin content and cytotoxicity of composite resins; however, implantation studies in this regard are sparse. This study investigates the relationship between filler content of composite resins and biocompatibility. Methods. This research employed twelve 180‒200-gr male Wistar rats, 1 nano-hybrid (Prime-Dent Inc.) and 1 micro-hybrid (Medental Inc.) composite resins containing 74% and 80‒90% filler content, respectively. The samples were assessed on the 2nd, 14th and 90th day of implantation. Four rats were allocated to each day in this experimental study. A section of 1.5mm long cured nano-hybrid and micro-hybrid materials were implanted into the right and left upper and lower limbs of the rats, respectively. Eight samples were generated on each day of observation. Inflammation was graded according to the criteria suggested by Orstavik and Major. Pearson's chi-squared test was employed to determine the relationship between the tissue responses of the two materials. Statistical significance was set at P resin had a score of 3.0 for cellular inflammation. On the 14th day, the micro-hybrid resin also exhibited a lower average grade for cellular inflammation. On the 90th day, the micro-hybrid resin had a higher grade of inflammation (0.9) compared to 0.3 recorded for nano-hybrid. The composite resins with higher filler content elicited a significantly lower grade of inflammation irrespective of the duration (χ=20.000, df=8, P=0.010) while the composite resins with lower filler content elicited a significantly lower inflammatory response on the 90th day (χ=4.000, df=1, P=0.046). Conclusion. The composite resins with higher filler content generally elicited significantly lower grades of inflammation, and the composite resins with lower filler content exhibited significantly lower inflammatory response on the 90th day of implantation.

  2. Electrochemical and anticorrosion behaviors of hybrid functionalized graphite nano-platelets/tripolyphosphate in epoxy-coated carbon steel

    International Nuclear Information System (INIS)

    Mohammadi, Somayeh; Shariatpanahi, Homeira; Taromi, Faramarz Afshar; Neshati, Jaber

    2016-01-01

    Highlights: • FGNP was combined with TPP to obtain a hybrid nano-particle. • TEM image showed uniform distribution of the hybrid nanoparticles in epoxy coating. • FGNP is a substrate for linking of TPP anions by hydrogen bonding. • FGNP as an accelerator, provides rapid iron phosphate passive film formation. • The hybrid nano-particle can provide long-term corrosion protection. - Abstract: Functionalized graphite nano-platelets (FGNP) were combined with tripolyphosphate (TPP) to gain a hybrid nano-particle (FGNP-TPP) with homogenous dispersion in epoxy, resulting in an excellent anti-corrosion coating for carbon steel substrate. Characterization analyses of the hybrid nano-particle were performed by FT-IR, SEM, XRD and TEM. TPP was linked to FGNP nano-particles by hydrogen bondings. Different epoxy coatings formulated with 1 wt.% of FGNP, FGNP-TPP and TPP were evaluated. Electrochemical investigations, salt spray and pull-off tests showed that the hybrid nano-particle can provide long-term corrosion protection compared to FGNP and TPP due to synergistic effect between FGNP as an accelerator and TPP as a corrosion inhibitor to produce a uniform and stable iron-phosphate passive film with high surface coverage.

  3. Electrochemical and anticorrosion behaviors of hybrid functionalized graphite nano-platelets/tripolyphosphate in epoxy-coated carbon steel

    Energy Technology Data Exchange (ETDEWEB)

    Mohammadi, Somayeh, E-mail: somaye.mohammadi32@aut.ac.ir [Department of Chemistry, Amirkabir University of Technology, Tehran (Iran, Islamic Republic of); Shariatpanahi, Homeira [Corrosion Department, Research Institute of Petroleum Industry (RIPI), P.O. Box 18745-4163, Tehran (Iran, Islamic Republic of); Taromi, Faramarz Afshar [Department of Polymer Engineering, Amirkabir University of Technology, Tehran (Iran, Islamic Republic of); Neshati, Jaber [Corrosion Department, Research Institute of Petroleum Industry (RIPI), P.O. Box 18745-4163, Tehran (Iran, Islamic Republic of)

    2016-08-15

    Highlights: • FGNP was combined with TPP to obtain a hybrid nano-particle. • TEM image showed uniform distribution of the hybrid nanoparticles in epoxy coating. • FGNP is a substrate for linking of TPP anions by hydrogen bonding. • FGNP as an accelerator, provides rapid iron phosphate passive film formation. • The hybrid nano-particle can provide long-term corrosion protection. - Abstract: Functionalized graphite nano-platelets (FGNP) were combined with tripolyphosphate (TPP) to gain a hybrid nano-particle (FGNP-TPP) with homogenous dispersion in epoxy, resulting in an excellent anti-corrosion coating for carbon steel substrate. Characterization analyses of the hybrid nano-particle were performed by FT-IR, SEM, XRD and TEM. TPP was linked to FGNP nano-particles by hydrogen bondings. Different epoxy coatings formulated with 1 wt.% of FGNP, FGNP-TPP and TPP were evaluated. Electrochemical investigations, salt spray and pull-off tests showed that the hybrid nano-particle can provide long-term corrosion protection compared to FGNP and TPP due to synergistic effect between FGNP as an accelerator and TPP as a corrosion inhibitor to produce a uniform and stable iron-phosphate passive film with high surface coverage.

  4. Synthesis of nano-sized arsenic-imprinted polymer and its use as As3+ selective ionophore in a potentiometric membrane electrode: Part 1

    International Nuclear Information System (INIS)

    Alizadeh, Taher; Rashedi, Mariyam

    2014-01-01

    Highlights: • The first arsenic cation-selective membrane electrode was introduced. • A novel procedure was introduced for the preparation of As-imprinted polymer. • It was found that arsenic is recognized by the IIP as As 3+ species. • Nernstian response of 20.4 mV decade −1 and DL of 0.5 μM was obtained. - Abstract: In this study, a new strategy was proposed for the preparation of As (III)-imprinted polymer by using arsenic (methacrylate) 3 as template. Precipitation polymerization was utilized to synthesize nano-sized As (III)-imprinted polymer. Methacrylic acid and ethylene glycol dimethacrylate were used as the functional monomer and cross-linking agent, respectively. In order to assembly functional monomers around As (III) ion, sodium arsenite and methacrylic acid were heated in the presence of hydroquinone, leading to arsenic (methacrylate) 3 . The nano-sized As (III) selective polymer was characterized by FT-IR and scanning electron microscopy techniques (SEM). It was demonstrated that arsenic was recognized as As 3+ by the selective cavities of the synthesized IIP. Based on the prepared polymer, the first arsenic cation selective membrane electrode was introduced. Membrane electrode was constructed by dispersion of As (III)-imprinted polymer nanoparticles in poly(vinyl chloride), plasticized with di-nonylphthalate. The IIP-modified electrode exhibited a Nernstian response (20.4 ± 0.5 mV decade −1 ) to arsenic ion over a wide concentration range (7.0 × 10 −7 to 1.0 × 10 −1 mol L −1 ) with a lower detection limit of 5.0 × 10 −7 mol L −1 . Unlike this, the non-imprinted polymer (NIP)-based membrane electrode was not sensitive to arsenic in aqueous solution. The selectivity of the developed sensor to As (III) was shown to be satisfactory. The sensor was used for arsenic determination in some real samples

  5. Degradation of lindane by a novel embedded bio-nano hybrid system in aqueous environment.

    Science.gov (United States)

    Salam, Jaseetha Abdul; Das, Nilanjana

    2015-03-01

    The objective of this study was to evaluate the effect of an embedded bio-nano hybrid system using nanoscale zinc oxide (n-ZnO) and lindane-degrading yeast Candida VITJzN04 for lindane degradation. Nano-embedding of the yeast was done with chemically synthesized n-ZnO particles (50 mg/mL) and was visualized by atomic force microscope (AFM) and scanning electron microscope (SEM). Nanoparticles were embedded substantially on the surfaces of the yeast cells and translocated into the cell cytoplasm without causing any lethal effect to the cell until 50 mg/mL. Lindane (600 mg/L) degradation was studied both in the individual and hybrid system. Rapid reductive-dechlorination of lindane was attained with n-ZnO under illuminated conditions, with the generation of chlorobenzene and benzene as dechlorination products. The bio-nano hybrid was found to be more effective compared to the native yeasts for lindane degradation and resulted in complete removal within 3 days. The kinetic data analysis implied that the half-life of lindane was 9 h for bio-nano hybrid and 28 h for Candida VITJzN04. The enhanced lindane degradation by bio-nano hybrid might be due to increased porosity and permeability of the yeast cell membrane, facilitating the easy entry of lindane into cell cytoplasm and n-ZnO-mediated dechlorination. To the best of our knowledge, this report, for the first time, suggests the use of n-ZnO-mediated dechlorination of lindane and the novel bio-nano hybrid system that reduces the half-life to one third of the time taken by the yeast alone. The embedded bio-nano hybrid system may be exploited as an effective remediation tool for the treatment of lindane-contaminated wastewaters.

  6. Graphene nanoribbon superlattices fabricated via He ion lithography

    International Nuclear Information System (INIS)

    Archanjo, Braulio S.; Fragneaud, Benjamin; Gustavo Cançado, Luiz; Winston, Donald; Miao, Feng; Alberto Achete, Carlos; Medeiros-Ribeiro, Gilberto

    2014-01-01

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He + -beam lithography can texture graphene with less damage

  7. Graphene nanoribbon superlattices fabricated via He ion lithography

    Energy Technology Data Exchange (ETDEWEB)

    Archanjo, Braulio S., E-mail: bsarchanjo@inmetro.gov.br [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Fragneaud, Benjamin [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Juiz de Fora, Juiz de Fora, MG 36036-330 (Brazil); Gustavo Cançado, Luiz [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Winston, Donald [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); Miao, Feng [Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States); National Laboratory of Solid State Microstructures, School of Physics, National Center of Microstructures and Quantum Manipulation, Nanjing University, Nanjing 210093 (China); Alberto Achete, Carlos [Divisão de Metrologia de Materiais, Instituto Nacional de Metrologia, Qualidade e Tecnologia (INMETRO), Duque de Caxias, RJ 25250-020 (Brazil); Departamento de Engenharia Metalúrgica e de Materiais, Universidade Federal do Rio de janeiro, Rio de Janeiro RJ 21941-972 (Brazil); Medeiros-Ribeiro, Gilberto [Departamento de Física, Universidade Federal de Minas Gerais, Belo Horizonte, MG 30123-970 (Brazil); Hewlett-Packard Laboratories, 1501 Page Mill Road, Palo Alto, California 94304 (United States)

    2014-05-12

    Single-step nano-lithography was performed on graphene sheets using a helium ion microscope. Parallel “defect” lines of ∼1 μm length and ≈5 nm width were written to form nanoribbon gratings down to 20 nm pitch. Polarized Raman spectroscopy shows that crystallographic orientation of the nanoribbons was partially maintained at their lateral edges, indicating a high-fidelity lithography process. Furthermore, Raman analysis of large exposure areas with different ion doses reveals that He ions produce point defects with radii ∼ 2× smaller than do Ga ions, demonstrating that scanning-He{sup +}-beam lithography can texture graphene with less damage.

  8. Hybrid molecularly imprinted poly(methacrylic acid-TRIM)-silica chemically modified with (3-glycidyloxypropyl)trimethoxysilane for the extraction of folic acid in aqueous medium

    Energy Technology Data Exchange (ETDEWEB)

    Midori de Oliveira, Fernanda; Gava Segatelli, Mariana [Departamento de Química, Universidade Estadual de Londrina, Rod. Celso Garcia Cid, PR 445 Km 380, Campus Universitário, Londrina, PR CEP 86051-990 (Brazil); Tarley, César Ricardo Teixeira, E-mail: ctarleyquim@yahoo.com.br [Departamento de Química, Universidade Estadual de Londrina, Rod. Celso Garcia Cid, PR 445 Km 380, Campus Universitário, Londrina, PR CEP 86051-990 (Brazil); Instituto Nacional de Ciência e Tecnologia (INCT) de Bioanalítica, Universidade Estadual de Campinas (UNICAMP), Instituto de Química, Departamento de Química Analítica, Cidade Universitária Zeferino Vaz s/n, CEP 13083-970 Campinas, SP (Brazil)

    2016-02-01

    In the present study a hybrid molecularly imprinted poly(methacrylic acid-trimethylolpropane trimethacrylate)-silica (MIP) was synthesized and modified with (3-glycidyloxypropyl)trimethoxysilane (GPTMS) with posterior opening of epoxy ring to provide hydrophilic properties of material in the extraction of folic acid from aqueous medium. The chemical and structural aggregates of hybrid material were characterized by means of Fourier Transform Infrared (FT-IR), Transmission Electron Microscopy (TEM), Scanning Electron Microscopy (SEM), Thermogravimetric analysis (TGA) and textural data. Selectivity data of MIP were compared to non-imprinted polymer (NIP) through competitive sorption studies in the presence of caffeine, paracetamol or 4-aminobenzamide yielding relative selectivity coefficients (k′) higher than one unit, thus confirming the selective character of MIP even in the presence of structurally smaller compounds than the folic acid. The lower hydrophobic sorption by bovine serum albumin (BSA) in the MIP as compared to unmodified MIP proves the hydrophilicity of polymer surface by using GPTMS with opening ring. Under acid medium (pH 1.5) the sorption of folic acid onto MIP from batch experiments was higher than the one achieved for NIP. Equilibrium sorption of folic acid was reached at 120 min for MIP, NIP and MIP without GPTMS and kinetic sorption data were well described by pseudo-second-order, Elovich and intraparticle diffusion models. Thus, these results indicate the existence of different binding energy sites in the polymers and a complex mechanism consisting of both surface sorption and intraparticle transport of folic acid within the pores of polymers. - Highlights: • The molecularly imprinted hybrid polymer showed high adsorption capacity for folic acid. • The molecularly imprinted hybrid polymer showed high selectivity for folic acid. • The molecularly imprinted hybrid polymer modified with GPTMS excludes higher amount of BSA.

  9. Innovative SU-8 Lithography Techniques and Their Applications

    Directory of Open Access Journals (Sweden)

    Jeong Bong Lee

    2014-12-01

    Full Text Available SU-8 has been widely used in a variety of applications for creating structures in micro-scale as well as sub-micron scales for more than 15 years. One of the most common structures made of SU-8 is tall (up to millimeters high-aspect-ratio (up to 100:1 3D microstructure, which is far better than that made of any other photoresists. There has been a great deal of efforts in developing innovative unconventional lithography techniques to fully utilize the thick high aspect ratio nature of the SU-8 photoresist. Those unconventional lithography techniques include inclined ultraviolet (UV exposure, back-side UV exposure, drawing lithography, and moving-mask UV lithography. In addition, since SU-8 is a negative-tone photoresist, it has been a popular choice of material for multiple-photon interference lithography for the periodic structure in scales down to deep sub-microns such as photonic crystals. These innovative lithography techniques for SU-8 have led to a lot of unprecedented capabilities for creating unique micro- and nano-structures. This paper reviews such innovative lithography techniques developed in the past 15 years or so.

  10. Combined e-beam lithography using different energies

    Czech Academy of Sciences Publication Activity Database

    Krátký, Stanislav; Kolařík, Vladimír; Horáček, Miroslav; Meluzín, Petr; Král, Stanislav

    2017-01-01

    Roč. 177, JUN (2017), s. 30-34 ISSN 0167-9317 R&D Projects: GA TA ČR TE01020233; GA MŠk(CZ) LO1212; GA MŠk ED0017/01/01 Institutional support: RVO:68081731 Keywords : grayscale e-beam lithography * mix and match process * absorbed energy density * resist sensitivity * micro-optical elements Subject RIV: JA - Electronics ; Optoelectronics, Electrical Engineering OBOR OECD: Nano-processes (applications on nano-scale) Impact factor: 1.806, year: 2016

  11. Molecularly imprinted polystyrene–titania hybrids with both ionic and π–π interactions: a case study with pyrene butyric acid

    International Nuclear Information System (INIS)

    Selyanchyn, Roman; Lee, Seung-Woo

    2013-01-01

    We present hybrid films consisting of a composite prepared from polystyrene (PS) and titanium dioxide (titania; TiO 2 ) and molecularly imprinted with 1-pyrene butyric acid (PBA). The interaction of PBA with the polymer is shown to occur via binding of the carboxylic group to TiO 2 and hydrophobic interaction of the pyrene moiety with the PS network. We investigated the effects of the PS fraction on morphology, imprinting properties, and guest binding. The template could be completely removed by incubating the films in an acetonitrile solution of pyrene, which is due to the stronger π–π interaction between PBA and pyrene than the interaction between PBA and its binding site. A guest binding study with pyrene, 1-amino pyrene, pyr enemethanol, and anthracene-9-carboxylic acid showed that the hybrid films possessed selectivity and much higher binding capacity for PBA. This study demonstrates the first case of clear PS-assisted imprinting, where the π–π interaction of the template with a linear (non-crosslinked) polymer creates selective binding sites and enhances the binding capacity. This is a driving force for guest binding in addition to the interaction of the template/analyte with TiO 2 . All molecularly imprinted films displayed better binding, repeatability and reversibility compared to the respective non-imprinted films. (author)

  12. Studies on Effective Elastic Properties of CNT/Nano-Clay Reinforced Polymer Hybrid Composite

    Science.gov (United States)

    Thakur, Arvind Kumar; Kumar, Puneet; Srinivas, J.

    2016-02-01

    This paper presents a computational approach to predict elastic propertiesof hybrid nanocomposite material prepared by adding nano-clayplatelets to conventional CNT-reinforced epoxy system. In comparison to polymers alone/single-fiber reinforced polymers, if an additional fiber is added to the composite structure, it was found a drastic improvement in resultant properties. In this regard, effective elastic moduli of a hybrid nano composite are determined by using finite element (FE) model with square representative volume element (RVE). Continuum mechanics based homogenization of the nano-filler reinforced composite is considered for evaluating the volumetric average of the stresses and the strains under different periodic boundary conditions.A three phase Halpin-Tsai approach is selected to obtain the analytical result based on micromechanical modeling. The effect of the volume fractions of CNTs and nano-clay platelets on the mechanical behavior is studied. Two different RVEs of nano-clay platelets were used to investigate the influence of nano-filler geometry on composite properties. The combination of high aspect ratio of CNTs and larger surface area of clay platelets contribute to the stiffening effect of the hybrid samples. Results of analysis are validated with Halpin-Tsai empirical formulae.

  13. Roll-to-roll UV imprint for bottom-up transistor fabrication

    NARCIS (Netherlands)

    Maury, P.; Turkenburg, D.H.; Stroeks, N.; Giesen, P.; Wijnen, M.; Tacken, R.; Meinders, E.R.; Werf, R. van der

    2011-01-01

    We propose a design to fabricate transistors on flexible substrates in a bottom-up fashion using R2R UV-imprint lithography. The design consists of a template composed of multilevel as well as gray level features, the later used to facilitate device interconnection. A hard mold is fabricated by LBR

  14. Synthesis and Characterization of Salicylate-zinc Layered Hydroxide Nano hybrid for Antiinflammatory Active Delivery

    International Nuclear Information System (INIS)

    Mohd Zobir Hussein; Mohd Zobir Hussein; Munirah Ramli; Khatijah Yusoff

    2011-01-01

    The emergence of nano technology has prompted much advancement in various areas of research that includes cellular delivery systems, particularly those dealing with delivery of compounds with therapeutic effects. This study aimed at investigating the use of a layered nano material for formation of a new organic-inorganic nano hybrid material. In this work, a compound of zinc layered hydroxide (ZLH) used as a host for a guest, anti-inflammatory agent salicylate (SA) was synthesized. Through simple, direct reaction of SA solution at various concentrations with commercial zinc oxide, SA was found to be intercalated between the ZLH inorganic layers. Powder x-ray diffraction (PXRD) patterns revealed that the basal spacing of the nano hybrid is around 16.14 Angstrom. Further characterizations also confirmed that SA was successfully intercalated into the interlayers of the nano hybrid. Results generated from this work provide information beneficial for development of a new delivery system for therapeutic compounds consisting of antiinflammatory agents. (author)

  15. A method for manufacturing a tool part for an injection molding process, a hot embossing process, a nano-imprint process, or an extrusion process

    DEFF Research Database (Denmark)

    2013-01-01

    The present invention relates to a method for manufacturing a tool part for an injection molding process, a hot embossing process, nano-imprint process or an extrusion process. First, there is provided a master structure (10) with a surface area comprising nanometre-sized protrusions (11...

  16. Study of nanoimprint lithography (NIL) for HVM of memory devices

    Science.gov (United States)

    Kono, Takuya; Hatano, Masayuki; Tokue, Hiroshi; Kobayashi, Kei; Suzuki, Masato; Fukuhara, Kazuya; Asano, Masafumi; Nakasugi, Tetsuro; Choi, Eun Hyuk; Jung, Wooyung

    2017-03-01

    A low cost alternative lithographic technology is desired to meet the decreasing feature size of semiconductor devices. Nano-imprint lithography (NIL) is one of the candidates for alternative lithographic technologies.[1][2][3] NIL has such advantages as good resolution, critical dimension (CD) uniformity and low line edge roughness (LER). On the other hand, the critical issues of NIL are defectivity, overlay, and throughput. In order to introduce NIL into the HVM, it is necessary to overcome these three challenges simultaneously.[4]-[12] In our previous study, we have reported a dramatic improvement in NIL process defectivity on a pilot line tool, FPA-1100 NZ2. We have described that the NIL process for 2x nm half pitch is getting closer to the target of HVM.[12] In this study, we report the recent evaluation of the NIL process performance to judge the applicability of NIL to memory device fabrications. In detail, the CD uniformity and LER are found to be less than 2nm. The overlay accuracy of the test device is less than 7nm. A defectivity level of below 1pcs./cm2 has been achieved at a throughput of 15 wafers per hour.

  17. Advanced free-form micro tooling

    DEFF Research Database (Denmark)

    Tosello, Guido; Gavillet, J.

    2011-01-01

    -beam lithography and nano imprinting lithography [Che05][Che09] have high manufacturing cost and a low throughput. The aim was obtain large tool area with nano structures patterning without using energy intensive nano machining (e.g. focus ion beam, X-ray lithography, etc) but, instead, by exploiting the advantage......The present deliverable contains the report of the work and results achieved within the framework of WP 2.2 in Tasks 2.2.4 “Advanced free-form micro tooling” in experimental research done regarding practical applications of methods of applying nano structures to tooling solutions. As part of Task 2.......2.4, tests based on three different chemical-based-batch techniques to establish surface nano (i.e. sub-μm) structures on large tools area were performed. The three approached regarded: o Scheme 1  The use of Ø500nm nanobeads deposition for direct patterning of a Ø4inch. silicon wafer and subsequent nickel...

  18. Design and characterization of non-toxic nano-hybrid coatings for corrosion and fouling resistance

    Directory of Open Access Journals (Sweden)

    P. Saravanan

    2016-09-01

    Full Text Available Epoxy resin modified with nano scale fillers offers excellent combination of properties such as enhanced dimensional stability, mechanical and electrical properties, which make them ideally suitable for a wide range of applications. However, the studies about functionalized nano-hybrid for coating applications still require better insight. In the present work we have developed silane treated nanoparticles and to reinforce it with diglycidyl epoxy resin to fabricate surface functionalized nano-hybrid epoxy coatings. The effect of inorganic nano particles on the corrosion and fouling resistance properties was studied by various (1, 3, 5 and 7 wt% filler loading concentrations. Diglycidyl epoxy resin (DGEBA commonly was used for coating. 3-Aminopropyltriethoxysilane (APTES was used as a coupling agent to surface treats the TiO2 nanoparticles. The corrosion and fouling resistant properties of these coatings were evaluated by electrochemical impedance and static immersion tests, respectively. Nano-hybrid coating (3 wt% of APTES–TiO2 showed corrosion resistance up to 108 Ω cm2 after 30 days immersion in 3.5% NaCl solution indicating an excellent corrosion resistance. Static immersion test was carried out in Bay of Bengal (Muttukadu which has reflected good antifouling efficiency of the 3 wt% APTES–TiO2 loaded nano-hybrid coating up to 6 months.

  19. Dense-plasma-driven ultrafast formation of FePt organization on ...

    Indian Academy of Sciences (India)

    1Kyushu Institute of Technology, Iizuka, Fukuoka 8208502, Japan. 2Department of Physics ... e-beam, and imprint lithography used for nano-patterning and array ... 2. Experimental. The plasma focus device (figure 1a) is a coaxial plasma gun.

  20. From Nano Structure to Systems: Fabrication and Characterization

    International Nuclear Information System (INIS)

    Uda Hashim

    2011-01-01

    Currently, the interest in nano technology research has been grown rapidly. With the latest technology, it is possible to arrange atoms into structures that are only a few nanometers in size. Dimension for nano structure is between 0.1 and 100nm where the actual size of 1nm is equal to 10-9 m or just about a few atoms thick. In other word, a nano structure is an object which it size is about four atom diameters or 1/50000 of a human hair. Due to the connecting of a patterned silicon substrate with biomolecules and the small size and large surface-to-volume ratio, it opens much new possibility for assembling nano structures.The ultimate goal is to fabricate devices that have every atom in the right place. Such technology would give the opportunity to minimize the size of a device and to reduce the material, energy and time necessary to perform its task. Potential applications include electrical circuits, mechanical devices and medical instruments. There are two most important nano structures that are extensively studied and researched in various organizations which are nano wire and nano gap. Nano wires is a new class of nano structure that have attracted attention and great research interest in the last few years because of their potential applications in nano technology such as nano electronic, nano mechanical and biomedical engineering. Fabrication of Nano wires is one of the great challenges today. Conventional lithography methods are not capable to produce Nano wires and even with advance nano lithography sizes below 100 nm may not easily be achieved. Nano wire can be produced in two approaches, which are top down and bottom-up method. Very small nano wires which can be produced by using top-down nano fabrication methods are Scanning Electron Microscope (SEM) based Electron Beam Lithography (EBL) method, and Spacer Patterning Lithography (SPL) method. The top-down nano fabrication method based on EBL was the design of the Nano wires Pattern Design (NPD). The

  1. Multi-dimensional single-spin nano-optomechanics with a levitated nanodiamond

    Science.gov (United States)

    Neukirch, Levi P.; von Haartman, Eva; Rosenholm, Jessica M.; Nick Vamivakas, A.

    2015-10-01

    Considerable advances made in the development of nanomechanical and nano-optomechanical devices have enabled the observation of quantum effects, improved sensitivity to minute forces, and provided avenues to probe fundamental physics at the nanoscale. Concurrently, solid-state quantum emitters with optically accessible spin degrees of freedom have been pursued in applications ranging from quantum information science to nanoscale sensing. Here, we demonstrate a hybrid nano-optomechanical system composed of a nanodiamond (containing a single nitrogen-vacancy centre) that is levitated in an optical dipole trap. The mechanical state of the diamond is controlled by modulation of the optical trapping potential. We demonstrate the ability to imprint the multi-dimensional mechanical motion of the cavity-free mechanical oscillator into the nitrogen-vacancy centre fluorescence and manipulate the mechanical system's intrinsic spin. This result represents the first step towards a hybrid quantum system based on levitating nanoparticles that simultaneously engages optical, phononic and spin degrees of freedom.

  2. Hybrid Nano composite Membranes for PEMFC Applications

    International Nuclear Information System (INIS)

    Niepceron, F.

    2008-03-01

    This work aims at validating a new concept of hybrid materials for the realization of proton exchange membranes, an essential constituent of PEM fuel cells. The originality of this nano-composite hybrid concept corresponds to a separation of the membrane's properties. We investigated the preparation of composite materials based on an inert, relatively low cost, polymer matrix (PVDF-HFP) providing the mechanical stability embedding inorganic fillers providing the necessary properties o f proton-conduction and water retention. The first step of this work consisted in the modification of fumed silica to obtain a proton-conducting filler. An ionic exchange capacity (CEI) equal to 3 meq/g was obtained by the original grafting of sodium poly(styrene-sulfonate) chains from the surface of particles. Nano-composite hybrid membranes PVDF-HFP/functionalized silica were accomplished by a film casting process. The coupling of the morphological and physicochemical analyses validated the percolation of the inorganic phase for 30 wt.% of particles. Beyond 40 % of loading, measured protonic conductivity is higher than the reference membrane Nafion 112. Finally, these membranes presented high performances, above 0.8 W/cm 2 , in single-cell fuel cell tests. A compromise is necessary according to the rate of loading between performances in fuel cell and mechanical properties of the membrane. 50 % appeared as best choice with, until 90 C, a remarkable thermal stability of the performances. (author)

  3. Chemical Stability of Cd(II and Cu(II Ionic Imprinted Amino-Silica Hybrid Material in Solution Media

    Directory of Open Access Journals (Sweden)

    Buhani, Narsito, Nuryono, Eko Sri Kunarti

    2015-12-01

    Full Text Available Chemical stability of Cd(II and Cu(II ionic imprinted hybrid material of (i-Cd-HAS and i-Cu-HAS derived from silica modification with active compound (3-aminopropyl-trimethoxysilane (3-APTMS has been studied in solution media. Stability test was performed with HNO3 0.1 M (pH 1.35 to investigate material stability at low pH condition, CH3COONa 0.1 M (pH 5.22 for adsorption process optimum pH condition, and in the water (pH 9.34 for base condition. Material characteristics were carried out with infrared spectrophotometer (IR and atomic absorption spectrophotometer (AAS. At interaction time of 4 days in acid and neutral condition, i-Cd-HAS is more stable than i-Cu-HAS with % Si left in material 95.89 % (acid media, 43.82 % (close to neutral, and 9.39 % (base media.Keywords: chemical stability, amino-silica hybrid, ionic imprinting technique

  4. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk, E-mail: gyjung@gist.ac.k, E-mail: jslee@gist.ac.k [Department of Materials Science and Engineering, Gwangju Institute of Science and Technology (GIST), 261 Cheomdan-gwagiro (Oryong-dong), Buk-gu Gwangju 500-712 (Korea, Republic of)

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  5. Synthesis of nano-sized arsenic-imprinted polymer and its use as As{sup 3+} selective ionophore in a potentiometric membrane electrode: Part 1

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, Taher, E-mail: Alizadeh@uma.ac.ir; Rashedi, Mariyam

    2014-09-16

    Highlights: • The first arsenic cation-selective membrane electrode was introduced. • A novel procedure was introduced for the preparation of As-imprinted polymer. • It was found that arsenic is recognized by the IIP as As{sup 3+} species. • Nernstian response of 20.4 mV decade{sup −1} and DL of 0.5 μM was obtained. - Abstract: In this study, a new strategy was proposed for the preparation of As (III)-imprinted polymer by using arsenic (methacrylate){sub 3} as template. Precipitation polymerization was utilized to synthesize nano-sized As (III)-imprinted polymer. Methacrylic acid and ethylene glycol dimethacrylate were used as the functional monomer and cross-linking agent, respectively. In order to assembly functional monomers around As (III) ion, sodium arsenite and methacrylic acid were heated in the presence of hydroquinone, leading to arsenic (methacrylate){sub 3}. The nano-sized As (III) selective polymer was characterized by FT-IR and scanning electron microscopy techniques (SEM). It was demonstrated that arsenic was recognized as As{sup 3+} by the selective cavities of the synthesized IIP. Based on the prepared polymer, the first arsenic cation selective membrane electrode was introduced. Membrane electrode was constructed by dispersion of As (III)-imprinted polymer nanoparticles in poly(vinyl chloride), plasticized with di-nonylphthalate. The IIP-modified electrode exhibited a Nernstian response (20.4 ± 0.5 mV decade{sup −1}) to arsenic ion over a wide concentration range (7.0 × 10{sup −7} to 1.0 × 10{sup −1} mol L{sup −1}) with a lower detection limit of 5.0 × 10{sup −7} mol L{sup −1}. Unlike this, the non-imprinted polymer (NIP)-based membrane electrode was not sensitive to arsenic in aqueous solution. The selectivity of the developed sensor to As (III) was shown to be satisfactory. The sensor was used for arsenic determination in some real samples.

  6. Lithography for enabling advances in integrated circuits and devices.

    Science.gov (United States)

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  7. Microstructural evolution and mechanical properties of Mg composites containing nano-B4C hybridized micro-Ti particulates

    International Nuclear Information System (INIS)

    Sankaranarayanan, S.; Sabat, R.K.; Jayalakshmi, S.; Suwas, S.; Gupta, M.

    2014-01-01

    In this work, the microstructural evolution and mechanical properties of extruded Mg composites containing micro-Ti particulates hybridized with varying contents of nano-B 4 C are investigated, and compared with Mg-5.6Ti. Microstructural characterization showed the presence of uniformly distributed micro-Ti particles embedded with nano-B 4 C particulates that resulted in significant grain refinement. Electron back scattered diffraction (EBSD) analyses of Mg-(5.6Ti + x-B 4 C) BM hybrid composites showed that the addition of hybridized particle resulted in relatively more recrystallized grains, realignment of basal planes and extension of weak basal fibre texture when compared to Mg-5.6Ti. The evaluation of mechanical properties indicated improved strength with ductility retention in Mg-(5.6Ti + x-B 4 C) BM hybrid composites. When compared to Mg-5.6Ti, the superior strength properties of the Mg-(5.6Ti + x-B 4 C) BM hybrid composites are attributed to the presence of nano-reinforcements, the uniform distribution of the hybridized particles, better interfacial bonding between the matrix and the reinforcement particles and the matrix grain refinement achieved by nano-B 4 C addition. The ductility enhancement obtained in hybrid composites can be attributed to the fibre texture spread and favourable basal plane orientation achieved due to nano B 4 C addition. - Highlights: • Micro-Ti particulates are hybridized with varying weight fractions of nano-B 4 C. • The hybrid mixture was used as hybrid reinforcements in magnesium. • Microstructure and mechanical properties of Mg-(5.6Ti + x-B 4 C) BM are compared with Mg-5.6Ti. • Electron back scattered diffraction (EBSD) analysis conducted to study the microtexture evolution

  8. Synthesis and application of imprinted polyvinylimidazole-silica hybrid copolymer for Pb{sup 2+} determination by flow-injection thermospray flame furnace atomic absorption spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Teixeira Tarley, Cesar Ricardo, E-mail: tarley@uel.br [Programa de Pos-Graduacao em Quimica da Universidade Federal de Alfenas, Rua Gabriel Monteiro da Silva 700, Alfenas, MG, CEP 37130-000 (Brazil); Instituto Nacional de Ciencia e Tecnologia (INCT) de Bioanalitica, Universidade Estadual de Campinas (UNICAMP), Instituto de Quimica, Departamento de Quimica Analitica, Cidade Universitaria Zeferino Vaz s/n, Campinas, SP, CEP 13083-970 (Brazil); Departamento de Quimica, Universidade Estadual de Londrina, Rod. Celso Garcia Cid, PR 445 Km 380, Campus Universitario, Londrina, PR, CEP 86051-990 (Brazil); Nascimento Andrade, Felipe [Programa de Pos-Graduacao em Quimica da Universidade Federal de Alfenas, Rua Gabriel Monteiro da Silva 700, Alfenas, MG, CEP 37130-000 (Brazil); Midori de Oliveira, Fernanda; Zanetti Corazza, Marcela [Departamento de Quimica, Universidade Estadual de Londrina, Rod. Celso Garcia Cid, PR 445 Km 380, Campus Universitario, Londrina, PR, CEP 86051-990 (Brazil); Mendes de Azevedo, Luiz Fernando [Programa de Pos-Graduacao em Quimica da Universidade Federal de Alfenas, Rua Gabriel Monteiro da Silva 700, Alfenas, MG, CEP 37130-000 (Brazil); Gava Segatelli, Mariana [Universidade Tecnologica Federal do Parana (UTFPR), Av. dos Pioneiros 3131, Londrina, PR, CEP 86036-370 (Brazil)

    2011-10-10

    Highlights: {yields} Ion imprinted hybrid copolymer as selective sorbent for Pb{sup 2+} ions. {yields} The sorbent was at least 10 times more selective than non imprinted copolymer. {yields} A method for Pb{sup 2+} determination by TS-FF-AAS in different samples was developed. {yields} High reusability and chemical stability of ion imprinted hybrid copolymer were observed. - Abstract: A novel ion imprinted polyvinylimidazole-silica hybrid copolymer (IIHC) was synthesized and used as a selective solid sorbent for Pb{sup 2+} ions preconcentration using an on-line solid phase extraction (SPE) system coupled to TS-FF-AAS. The ionic hybrid sorbent was prepared using 1-vinylimidazole and 3-(trimethoxysilyl)propylmethacrylate as monomers, Pb{sup 2+} ions as template, tetraethoxysilane as reticulating agent and 2,2'-azobis-isobutyronitrile as initiator. The best on-line SPE conditions concerning sorption behavior, including sample pH (6.46), buffer concentration (9.0 mmol L{sup -1}), eluent (HNO{sub 3}) concentration (0.5 mol L{sup -1}) and preconcentration flow rate (4.0 mL min{sup -1}), were optimized by means of full factorial design and Doehlert matrix. The analytical curve ranged from 2.5 to 65.0 {mu}g L{sup -1} (r = 0.999) with limit of detection of 0.75 {mu}g L{sup -1}; the precision (repeatability) calculated as relative standard deviation (n = 10) was 5.0 and 3.6% for Pb{sup 2+} concentration of 10.0 and 60.0 {mu}g L{sup -1}, respectively. From on-line breakthrough curve, column capacity was 3.5 mg g{sup -1}. Preconcentration factor (PF), consumptive index (CI) and concentration efficiency (CE) were 128.0, 0.16 mL and 25.6 min{sup -1}, respectively. The selective performance of the sorbent, based on relative selectivity coefficient, was compared to NIC (non imprinted copolymer) for the binary mixture Pb{sup 2+}/Cd{sup 2+}, Pb{sup 2+}/Cu{sup 2+} and Pb{sup 2+}/Zn{sup 2+}. The results showed that ion imprinted polyvinylimidazole-silica hybrid polymer had higher

  9. S-Layer Based Bio-Imprinting - Synthetic S-Layer Polymers

    Science.gov (United States)

    2015-07-09

    AFRL-OSR-VA-TR-2015-0161 S-Layer Based Bio- Imprinting - Synthetic S-Layer Polymers Dietmar Pum ZENTRUM FUER NANOBIOTECHNOLOGIE Final Report 07/09...COVERED (From - To)      01-06-2012 to 31-05-2015 4.  TITLE AND SUBTITLE S-Layer Based Bio- Imprinting - Synthetic S-Layer Polymers 5a.  CONTRACT...technology for the fabrication of nano patterned thin film imprints by using functional S-layer protein arrays as templates. The unique feature of

  10. Nucleation of microcrystalline silicon: on the effect of the substrate surface nature and nano-imprint topography

    International Nuclear Information System (INIS)

    Palmans, J; Faraz, T; Verheijen, M A; Kessels, W M M; Creatore, M

    2016-01-01

    The nucleation of microcrystalline silicon thin-films has been investigated for various substrate natures and topographies. An earlier nucleation onset on aluminium-doped zinc oxide compared to glass substrates has been revealed, associated with a microstructure enhancement and reduced surface energy. Both aspects resulted in a larger crystallite density, following classical nucleation theory. Additionally, the nucleation onset was (plasma deposition) condition-dependent. Therefore, surface chemistry and its interplay with the plasma have been proposed as key factors affecting nucleation and growth. As such, preliminary proof of the substrate nature’s role in microcrystalline silicon growth has been provided. Subsequently, the impact of nano-imprint lithography prepared surfaces on the initial microcrystalline silicon growth has been explored. Strong topographies, with a 5-fold surface area enhancement, led to a reduction in crystalline volume fraction of ∼20%. However, no correlation between topography and microstructure has been found. Instead, the suppressed crystallization has been partially ascribed to a reduced growth flux, limited surface diffusion and increased incubation layer thickness, originating from the surface area enhancement when transiting from flat to nanostructured surfaces. Furthermore, fundamental plasma parameters have been reviewed in relation with surface topography. Strong topographies are not expected to affect the ion-to-growth flux ratio. However, the reduced ion flux (due to increasing surface area) further limited the already weak ion energy transfer to surface processes. Additionally, the atomic hydrogen flux, i.e. the driving force for microcrystalline growth, has been found to decrease by a factor of 10 when transiting from flat to nanostructured topography. This resulted in an almost 6-fold reduction of the hydrogen-to-growth flux ratio, a much stronger effect than the ion-to-growth flux ratio. Since previous studies regarding

  11. Boron nitride stamp for ultra-violet nanoimprinting lithography fabricated by focused ion beam lithography

    International Nuclear Information System (INIS)

    Altun, Ali Ozhan; Jeong, Jun-Ho; Rha, Jong-Joo; Kim, Ki-Don; Lee, Eung-Sug

    2007-01-01

    Cubic boron nitride (c-BN) is one of the hardest known materials (second after diamond). It has a high level of chemical resistance and high UV transmittance. In this study, a stamp for ultra-violet nanoimprint lithography (UV-NIL) was fabricated using a bi-layered BN film deposited on a quartz substrate. Deposition of the BN was done using RF magnetron sputtering. A hexagonal boron nitride (h-BN) layer was deposited for 30 min before c-BN was deposited for 30 min. The thickness of the film was measured as 160 nm. The phase of the c-BN layer was investigated using Fourier transform infrared (FTIR) spectrometry, and it was found that the c-BN layer has a 40% cubic phase. The deposited film was patterned using focused ion beam (FIB) lithography for use as a UV-NIL stamp. Line patterns were fabricated with the line width and line distance set at 150 and 150 nm, respectively. The patterning process was performed by applying different currents to observe the effect of the current value on the pattern profile. The fabricated patterns were investigated using AFM, and it was found that the pattern fabricated by applying a current value of 50 picoamperes (pA) has a better profile with a 65 nm line depth. The UV transmittance of the 160 nm thick film was measured to be 70-86%. The hardness and modulus of the BN was measured to be 12 and 150 GPa, respectively. The water contact angle of the stamp surface was measured at 75 0 . The stamp was applied to UV-NIL without coating with an anti-adhesion layer. Successful imprinting was proved via scanning electron microscope (SEM) images of the imprinted resin

  12. Magnetic high throughput screening system for the development of nano-sized molecularly imprinted polymers for controlled delivery of curcumin.

    Science.gov (United States)

    Piletska, Elena V; Abd, Bashar H; Krakowiak, Agata S; Parmar, Anitha; Pink, Demi L; Wall, Katie S; Wharton, Luke; Moczko, Ewa; Whitcombe, Michael J; Karim, Kal; Piletsky, Sergey A

    2015-05-07

    Curcumin is a versatile anti-inflammatory and anti-cancer agent known for its low bioavailability, which could be improved by developing materials capable of binding and releasing drug in a controlled fashion. The present study describes the preparation of magnetic nano-sized Molecularly Imprinted Polymers (nanoMIPs) for the controlled delivery of curcumin and their high throughput characterisation using microtitre plates modified with magnetic inserts. NanoMIPs were synthesised using functional monomers chosen with the aid of molecular modelling. The rate of release of curcumin from five polymers was studied under aqueous conditions and was found to correlate well with the binding energies obtained computationally. The presence of specific monomers was shown to be significant in ensuring effective binding of curcumin and to the rate of release obtained. Characterisation of the polymer particles was carried out using dynamic light scattering (DLS) technique and scanning electron microscopy (SEM) in order to establish the relationship between irradiation time and particle size. The protocols optimised during this study could be used as a blueprint for the development of nanoMIPs capable of the controlled release of potentially any compound of interest.

  13. Nano-pyramid arrays for nano-particle trapping

    NARCIS (Netherlands)

    Sun, Xingwu; Veltkamp, Henk-Willem; Berenschot, Johan W.; Gardeniers, Johannes G.E.; Tas, Niels Roelof

    2016-01-01

    Abstract In this paper we present the drastic miniaturization of nano-wire pyramids fabricated by corner lithography. A particle trapping device was fabricated in a well-defined and symmetrical array. The entrance and exit hole-size can be tuned by adjusting fabrication parameters. We describe here

  14. Nano- and Micro-sized Molecularly Imprinted Polymer Particles on Solid Surfaces

    OpenAIRE

    Kamra, Tripta

    2015-01-01

    Molecularly imprinted polymers (MIPs) are artificial receptors made by imprinting template molecules in a polymer matrix followed by their removal through washing to obtain a specific and selective template cavities. This property of the MIPs have made them a very efficient material for diverse applications such as chromatography, purification, drug sensing, etc. Recently, zero-dimensional polymer materials, in the present case molecularly imprinted polymer nanoparticles (MIP nanoparticles), ...

  15. Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography

    Directory of Open Access Journals (Sweden)

    Bin Fang

    2015-01-01

    Full Text Available We fabricate nanoscale spin-transfer oscillators (STOs by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.

  16. TiO2 micro-nano-hybrid surface to alleviate biological aging of UV-photofunctionalized titanium.

    Science.gov (United States)

    Iwasa, Fuminori; Tsukimura, Naoki; Sugita, Yoshihiko; Kanuru, Rajita Kodali; Kubo, Katsutoshi; Hasnain, Hafiz; Att, Wael; Ogawa, Takahiro

    2011-01-01

    Bioactivity and osteoconductivity of titanium degrade over time after surface processing. This time-dependent degradation is substantial and defined as the biological aging of titanium. UV treatment has shown to reactivate the aged surfaces, a process known as photofunctionalization. This study determined whether there is a difference in the behavior of biological aging for titanium with micro-nano-hybrid topography and titanium with microtopography alone, following functionalization. Titanium disks were acid etched to create micropits on the surface. Micro-nano-hybrid surfaces were created by depositioning 300-nm diameter TiO(2) nodules onto the micropits using a previously established self-assembly protocol. These disks were stored for 8 weeks in the dark to allow sufficient aging, then treated with UV light for 48 hours. Rat bone marrow-derived osteoblasts were cultured on fresh disks (immediately after UV treatment), 3-day-old disks (disks stored for 3 days after UV treatment), and 7-day- old disks. The rates of cell attachment, spread, proliferation, and levels of alkaline phosphatase activity, and calcium deposition were reduced by 30%-50% on micropit surfaces, depending on the age of the titanium. In contrast, 7-day-old hybrid surfaces maintained equivalent levels of bioactivity compared with the fresh surfaces. Both micropit and micro-nano-hybrid surfaces were superhydrophilic immediately after UV treatment. However, after 7 days, the micro-nano- hybrid surfaces became hydrorepellent, while the micropit surfaces remained hydrophilic. The sustained bioactivity levels of the micro-nano-hybrid surfaces were nullified by treating these surfaces with Cl(-)anions. A thin TiO(2) coating on the micropit surface without the formation of nanonodules did not result in the prevention or alleviation of the time-dependent decrease in biological activity. In conclusion, the micro-nano-hybrid titanium surfaces may slow the rate of time-dependent degradation of titanium

  17. Fabrication and characterization of free-standing, high-line-density transmission gratings for the vacuum UV to soft X-ray range

    NARCIS (Netherlands)

    Goh, S.J.; Bastiaens, Hubertus M.J.; Vratzov, B.; Huang, Qiushi; Bijkerk, Frederik; Boller, Klaus J.

    2015-01-01

    We present state-of-the-art high resolution transmission gratings, applicable for spectroscopy in the vacuum ultraviolet (VUV) and the soft X-ray (SRX) wavelength range, fabricated with a novel process using ultraviolet based nano imprint lithography (UV-NIL). Free-standing, high-line-density

  18. Light extraction efficiency improvement in GaN-based blue light emitting diode with two-dimensional nano-cavity structure

    International Nuclear Information System (INIS)

    Cho, Joong-Yeon; Hong, Sung-Hoon; Byeon, Kyeong-Jae; Lee, Heon

    2012-01-01

    The light extraction efficiency of light emitting diode (LED) devices was improved by embedding nano-sized two-dimensional, air cavity photonic crystal (PC) structure on the indium tin oxide (ITO) layer of GaN-based LEDs. The embedded air cavity PC structure was fabricated using a reversal imprint lithography technique. The nano-cavity patterns had a width of 560 nm, a space of 240 nm and a height of 280 nm. According to current–voltage characterization, the electrical performance of the LED devices was not degraded by the fabrication process of air cavity PC structure. The optical output power of the LED device was increased by up to 10% at a drive current of 20 mA by forming the nano-cavity PC structure on the transparent electrode of the blue LED device, which was grown on a patterned sapphire substrate, to maximize the photon extraction. Since photons are scattered with cavities and are unaffected by the packaging process, which is the encapsulation of a LED device with epoxy resin, this enhancement in light extraction efficiency will not be decreased after the packaging process.

  19. Hybrid 3D-2D printing for bone scaffolds fabrication

    Science.gov (United States)

    Seleznev, V. A.; Prinz, V. Ya

    2017-02-01

    It is a well-known fact that bone scaffold topography on micro- and nanometer scale influences the cellular behavior. Nano-scale surface modification of scaffolds allows the modulation of biological activity for enhanced cell differentiation. To date, there has been only a limited success in printing scaffolds with micro- and nano-scale features exposed on the surface. To improve on the currently available imperfect technologies, in our paper we introduce new hybrid technologies based on a combination of 2D (nano imprint) and 3D printing methods. The first method is based on using light projection 3D printing and simultaneous 2D nanostructuring of each of the layers during the formation of the 3D structure. The second method is based on the sequential integration of preliminarily created 2D nanostructured films into a 3D printed structure. The capabilities of the developed hybrid technologies are demonstrated with the example of forming 3D bone scaffolds. The proposed technologies can be used to fabricate complex 3D micro- and nanostructured products for various fields.

  20. Synthesis of nano-sized hydrogen phosphate-imprinted polymer in acetonitrile/water mixture and its use as a recognition element of hydrogen phosphate selective all-solid state potentiometric electrode.

    Science.gov (United States)

    Alizadeh, Taher; Atayi, Khalil

    2018-02-01

    Herein, a new recipe is introduced for the preparation of hydrogen phosphate ion-imprinted polymer nanoparticles (nano-IIP) in acetonitrile/water (63.5:36.5) using phosphoric acid as the template. The nano-IIP obtained was used as the recognition element of a carbon paste potentiometric sensor. The IIP electrode showed a Nernstian response to hydrogen phosphate anion; whereas, the non-imprinted polymer (NIP)-based electrode had no considerable sensitivity to the anion. The presence of both methacrylic acid and vinyl pyridine in the IIP structure, as well as optimization of the functional monomers-template proportion, was found to be important to observe the sensing capability of the IIP electrode. The nano-IIP electrode showed a dynamic linear range of 1 × 10 -5 -1 × 10 -1  mol L-1, Nernstian slope of 30.6 ± (0.5) mV decade -1 , response time of 25 seconds, and detection limit of 4.0 × 10 -6  mol L -1 . The utility of the electrodes was checked by potentiometric titration of hydrogen phosphate with La 3+ solution. Copyright © 2017 John Wiley & Sons, Ltd.

  1. Synthesis and photophysical properties of pyrene-functionalized nano-SiO{sub 2} hybrids in solutions and doped-PMMA thin films

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Wen-Jie; He, Wen-Li; Yu, Hong-Yu [Department of Chemistry, Fudan University, 220 Handan Road, Shanghai 200433 (China); Huang, Hong-Xiang [State Key Laboratory of Molecular Engineering of Polymers, Fudan University, 220 Handan Road, Shanghai 200433 (China); Chen, Meng [Department of Chemistry, Fudan University, 220 Handan Road, Shanghai 200433 (China); Qian, Dong-Jin, E-mail: djqian@fudan.edu.cn [Department of Chemistry, Fudan University, 220 Handan Road, Shanghai 200433 (China)

    2017-01-15

    Luminescent pyrene-functionalized nano-SiO{sub 2} (nano-SiO{sub 2}Pyr) hybrids were synthesized and characterized using thermogravimetry, infrared, UV–vis absorption and, X-ray photoelectron spectroscopy, as well as field emission transmission electron microscopy (FETEM). The organic substituents immobilized on the nano-SiO{sub 2}Pyr hybrids accounted for approximately 10% of the total weight. Polyethylene glycol 200 (PEG200) was found to be the most suitable solvent to suspend the nano-SiO{sub 2}Pyr hybrids compared to other commonly used organic solvents. FETEM images indicated an average SiO{sub 2} nanoparticle diameter of approximately 12 nm and a 1- to 2-nm thick organic species functionalization layer. Several emission peaks were recorded at wavelengths of 380–580 nm and were designated as emissions arising from either the monomer or excimer of the pyrene substituents. Excimer formation was concentration and solvent polarity dependent, with higher concentrations and a stronger solvent polarity benefiting excimer formation. Further, nano-SiO{sub 2}Pyr hybrids were doped in poly(methyl methacrylate) (PMMA) thin films; fluorescence spectra indicated that the excimer could be formed almost exclusively from neighboring nano-SiO{sub 2}Pyr hybrids. Time-resolved fluorescence decays revealed that the emission lifetimes of nano-SiO{sub 2}Pyr monomers and excimers were approximately 190 ns and 65–100 ns in the PEG200 solution, respectively, which was shortened to 0.45 ns to tens of ns in doped PMMA thin films, depending on the nano-hybrid concentration. Thus, the present study not only provides a method to prepare luminescent nano-materials but also a route to investigate excimer formation in solutions and thin films. - Highlights: • Luminescent pyrene-functionalized nano-SiO{sub 2}Pyr hybrids were prepared. • A 1- to 2- nm thick organic functionalization layer on nano-SiO{sub 2} was observed. • Formation of pyrene excimer was concentration and solvent

  2. Enhancement of light output power of GaN-based light-emitting diodes with photonic quasi-crystal patterned on p-GaN surface and n-side sidewall roughing

    Science.gov (United States)

    2013-01-01

    In this paper, GaN-based light-emitting diodes (LEDs) with photonic quasi-crystal (PQC) structure on p-GaN surface and n-side roughing by nano-imprint lithography are fabricated and investigated. At an injection current of 20 mA, the LED with PQC structure on p-GaN surface and n-side roughing increased the light output power of the InGaN/GaN multiple quantum well LEDs by a factor of 1.42, and the wall-plug efficiency is 26% higher than the conventional GaN-based LED type. After 500-h life test (55°C/50 mA), it was found that the normalized output power of GaN-based LED with PQC structure on p-GaN surface and n-side roughing only decreased by 6%. These results offer promising potential to enhance the light output powers of commercial light-emitting devices using the technique of nano-imprint lithography. PMID:23683526

  3. Molecularly imprinted nanoparticles for inhibiting ribonuclease in reverse transcriptase polymerase chain reaction

    DEFF Research Database (Denmark)

    Feng, Xiaotong; Ashley, Jon; Zhou, Tongchang

    2018-01-01

    Molecularly imprinted nanoparticles (nanoMIPs) are synthesized via a solid-phase approach using RNase as the template. The feasibility of employing the nanoMIPs as RNase inhibitor is successfully demonstrated in reverse transcriptase polymerase chain reaction (RT-PCR) assays, suggesting the tailor...

  4. Bonding performance of self-adhesive flowable composites to enamel, dentin and a nano-hybrid composite.

    Science.gov (United States)

    Peterson, Jana; Rizk, Marta; Hoch, Monika; Wiegand, Annette

    2018-04-01

    This study aimed to analyze bond strengths of self-adhesive flowable composites on enamel, dentin and nano-hybrid composite. Enamel, dentin and nano-hybrid composite (Venus Diamond, Heraeus Kulzer, Germany) specimens were prepared. Three self-adhesive composites (Constic, DMG, Germany; Fusio Liquid Dentin, Pentron Clinical, USA; Vertise Flow, Kerr Dental, Italy) or a conventional flowable composite (Venus Diamond Flow, Heraeus Kulzer, Germany, etch&rinse technique) were applied to enamel and dentin. Nano-hybrid composite specimens were initially aged by thermal cycling (5000 cycles, 5-55 °C). Surfaces were left untreated or pretreated by mechanical roughening, Al 2 O 3 air abrasion or silica coating/silanization. In half of the composite specimens, an adhesive (Optibond FL, Kerr Dental, Italy) was used prior to the application of the flowable composites. Following thermal cycling (5000 cycles, 5-55 °C) of all specimens, shear bond strengths (SBS) and failure modes were analyzed (each subgroup n = 16). Statistical analysis was performed by ANOVAs/Bonferroni post hoc tests, Weibull statistics and χ 2 -tests (p composites on enamel and dentin were significantly lower (enamel: composite (enamel: 13.0 ± 5.1, dentin: 11.2 ± 6.3), and merely adhesive failures could be observed. On the nano-hybrid composite, SBS were significantly related to the pretreatment. Adhesive application improved SBS of the conventional, but not of the self-adhesive composites. The self-adhesive composite groups showed less cohesive failures than the reference group; the occurence of cohesive failures increased after surface pretreatment. Bonding of self-adhesive flowable composites to enamel and dentin is lower than bonding to a nano-hybrid composite.

  5. A six-year prospective randomized study of a nano-hybrid and a conventional hybrid resin composite in Class II restorations

    DEFF Research Database (Denmark)

    van Dijken, Jan W V; Pallesen, Ulla

    2013-01-01

    The objective of this 6 year prospective randomized equivalence trial was to evaluate the long-term clinical performance of a new nano-hybrid resin composite (RC) in Class II restorations in an intraindividual comparison with its well-established conventional hybrid RC predecessor....

  6. Replacement of Antibodies in Pseudo-ELISAs: Molecularly Imprinted Nanoparticles for Vancomycin Detection.

    Science.gov (United States)

    Canfarotta, Francesco; Smolinska-Kempisty, Katarzyna; Piletsky, Sergey

    2017-01-01

    The enzyme-linked immunosorbent assay (ELISA) is a widely employed analytical test used to quantify a given molecule. It relies on the use of specific antibodies, linked to an enzyme, to target the desired molecule. The reaction between the enzyme and its substrate gives rise to the analytical signal that can be quantified. Thanks to their robustness and low cost, molecularly imprinted polymer nanoparticles (nanoMIPs) are a viable alternative to antibodies. Herein, we describe the synthesis of nanoMIPs imprinted for vancomycin and their subsequent application in an ELISA-like format for direct replacement of antibodies.

  7. Large-area metallic photonic lattices for military applications.

    Energy Technology Data Exchange (ETDEWEB)

    Luk, Ting Shan

    2007-11-01

    In this project we developed photonic crystal modeling capability and fabrication technology that is scaleable to large area. An intelligent optimization code was developed to find the optimal structure for the desired spectral response. In terms of fabrication, an exhaustive survey of fabrication techniques that would meet the large area requirement was reduced to Deep X-ray Lithography (DXRL) and nano-imprint. Using DXRL, we fabricated a gold logpile photonic crystal in the <100> plane. For the nano-imprint technique, we fabricated a cubic array of gold squares. These two examples also represent two classes of metallic photonic crystal topologies, the connected network and cermet arrangement.

  8. High-rate nano-crystalline Li{sub 4}Ti{sub 5}O{sub 12} attached on carbon nano-fibers for hybrid supercapacitors

    Energy Technology Data Exchange (ETDEWEB)

    Naoi, Katsuhiko; Isobe, Yusaku; Aoyagi, Shintaro [Institute of Symbiotic Science and Technology, Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8558 (Japan); Ishimoto, Shuichi [Institute of Symbiotic Science and Technology, Tokyo University of Agriculture and Technology, 2-24-16 Naka-cho, Koganei, Tokyo 184-8558 (Japan); Nippon Chemi-Con Corporation, 363 Arakawa, Takahagi-shi, Ibaraki 318-8505 (Japan)

    2010-09-15

    A lithium titanate (Li{sub 4}Ti{sub 5}O{sub 12})-based electrode which can operate at unusually high current density (300 C) was developed as negative electrode for hybrid capacitors. The high-rate Li{sub 4}Ti{sub 5}O{sub 12} electrode has a unique nano-structure consisting of unusually small nano-crystalline Li{sub 4}Ti{sub 5}O{sub 12} (ca. 5-20 nm) grafted onto carbon nano-fiber anchors (nc-Li{sub 4}Ti{sub 5}O{sub 12}/CNF). This nano-structured nc-Li{sub 4}Ti{sub 5}O{sub 12}/CNF composite are prepared by simple sol-gel method under ultra-centrifugal force (65,000 N) followed by instantaneous annealing at 900 C for 3 min. A model hybrid capacitor cell consisting of a negative nc-Li{sub 4}Ti{sub 5}O{sub 12}/CNF composite electrode and a positive activated carbon electrode showed high energy density of 40 Wh L{sup -1} and high power density of 7.5 kW L{sup -1} comparable to conventional EDLCs. (author)

  9. Synthesis of thermo-responsive bovine hemoglobin imprinted nanoparticles by combining ionic liquid immobilization with aqueous precipitation polymerization.

    Science.gov (United States)

    Wang, Yongmei; Yang, Chongchong; Sun, Yan; Qiu, Fengtao; Xiang, Yang; Fu, Guoqi

    2018-02-01

    Surface molecular imprinting over functionalized nanoparticles has proved to be an effective approach for construction of artificial nanomaterials for protein recognition. Herein, we report a strategy for synthesis of core-shell protein-imprinted nanoparticles by the functionalization of nano-cores with ionic liquids followed by aqueous precipitation polymerization to build thermo-responsive imprinted polymer nano-shells. The immobilized ionic liquids can form multiple interactions with the protein template. The polymerization process can produce thermo-reversible physical crosslinks, which are advantageous to enhancing imprinting and facilitating template removal. With bovine hemoglobin as a model template, the imprinted nanoparticles showed temperature-sensitivity in both dispersion behaviors and rebinding capacities. Compared with the ionic-liquid-modified core nanoparticles, the imprinted particles exhibited greatly increased selectivity and two orders of magnitude higher binding affinity for the template protein. The imprinted nanoparticles achieved relatively high imprinting factor up to 5.0 and specific rebinding capacity of 67.7 mg/g, respectively. These nanoparticles also demonstrated rapid rebinding kinetics and good reproducibility after five cycles of adsorption-regeneration. Therefore, the presented approach may be viable for the fabrication of high-performance protein-imprinted nanoparticles with temperature sensitivity. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura

    2017-01-13

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  11. Surface enhanced thermo lithography

    KAUST Repository

    Coluccio, Maria Laura; Alabastri, Alessandro; Bonanni, Simon; Majewska, Roksana; Dattoli, Elisabetta; Barberio, Marianna; Candeloro, Patrizio; Perozziello, Gerardo; Mollace, Vincenzo; Di Fabrizio, Enzo M.; Gentile, Francesco

    2017-01-01

    We used electroless deposition to fabricate clusters of silver nanoparticles (NPs) on a silicon substrate. These clusters are plasmonics devices that induce giant electromagnetic (EM) field increments. When those EM field are absorbed by the metal NPs clusters generate, in turn, severe temperature increases. Here, we used the laser radiation of a conventional Raman set-up to transfer geometrical patterns from a template of metal NPs clusters into a layer of thermo sensitive Polyphthalaldehyde (PPA) polymer. Temperature profile on the devices depends on specific arrangements of silver nanoparticles. In plane temperature variations may be controlled with (i) high nano-meter spatial precision and (ii) single Kelvin temperature resolution on varying the shape, size and spacing of metal nanostructures. This scheme can be used to generate strongly localized heat amplifications for applications in nanotechnology, surface enhanced thermo-lithography (SETL), biology and medicine (for space resolved cell ablation and treatment), nano-chemistry.

  12. Mechanochemically conjugated PMHS/nano-SiO 2 hybrid and subsequent optimum grafting density study

    Science.gov (United States)

    Lin, Jinbin; Chen, Hongling; Yuan, Yongbing; Ji, Yan

    2011-08-01

    In this paper, we reported the preparation of poly(methylhydrosiloxane) (PMHS)/SiO 2 hybrid particles by mechanochemical method based on high energy ball milling (HEBM). The obtained hybrid particles were characterized by Fourier transform infrared (FT-IR) spectroscopy, 29Si CP (cross-polarization) MAS NMR, viscosity measurement, particle size distribution, thermal analysis (TGA, DSC and DTG), static contact angle (CA), field emission scanning electron microscopy (FE-SEM) and transmission electron microscopy (TEM). FT-IR and 29Si CP MAS NMR spectra indicate that PMHS is chemically anchored onto the surface of nano-SiO 2. Viscosity measurement, particle size distribution, FE-SEM and TEM demonstrate that an appropriate grafting density optimizes the dispersion of nanoparticles in poly(dimethylsiloxane) (PDMS) matrix, so lower viscosity can be achieved. Too high or too low grafting density may only achieve suboptimal and poor dispersions. The optimum grafting density of PMHS on nano-SiO 2 was determined by thermal analysis, with approximately 0.0531 PMHS/nm 2. Static contact angle measurement indicates that the water contact angle of hybrid particles is modulated by changing the grafting density of PMHS on nano-SiO 2. The CA value of PMHS/SiO 2 hybrid with optimum grafting density is 139.4°, and the highest CA value of PMHS/SiO 2 hybrid is approximately 158.2°.

  13. Interfacial pattern changes of imprinted multilayered material in milli- and microscales

    Science.gov (United States)

    Yonekura, Kazuhiro; Tokumaru, Kazuki; Tsumori, Fujio

    2018-06-01

    Nanoimprint lithography (NIL) is a technique that transfers a mold pattern of nanometer order to the surface of a resist material by heating and pressing. NIL is an excellent technology in terms of high productivity, accuracy, and resolution. Recently, NIL has been applied to the processing of different multilayered materials, in which it is possible to process multiple materials simultaneously. In this processing of multilayered materials, it is possible to form an interfacial pattern between the upper layer and the lower layer simultaneously with patterning on the mold surface. This interface pattern can be controlled by the deformation characteristics, initial thickness, and so forth. In this research, we compared the interfacial pattern changes of imprinted multilayered materials in milli- and microscales. For multilayered imprint using multiple materials, it is important to know the flow of the resist and its dependence on the scale. If there is similarity in the relationship produced by the scale on the imprinted samples, a process design with a number of feedbacks could be realized. It also becomes easier to treat structures in the millimeter scale for the experiment. In this study, we employed micropowder imprint (µPI) for multilayered material imprint. A compound sheet of alumina powder and polymer binder was used for imprint. Two similar experiments in different scales, micro- and millimeter scales, were carried out. Results indicate that the interfacial patterns of micro- and millimeter-scale-imprinted samples are similar.

  14. Estrone specific molecularly imprinted polymeric nanospheres: synthesis, characterization and applications for electrochemical sensor development.

    Science.gov (United States)

    Congur, Gulsah; Senay, Hilal; Turkcan, Ceren; Canavar, Ece; Erdem, Arzum; Akgol, Sinan

    2013-06-28

    The aim of this study is (i) to prepare estrone-imprinted nanospheres (nano-EST-MIPs) and (ii) to integrate them into the electrochemical sensor as a recognition layer. N-methacryloyl-(l)-phenylalanine (MAPA) was chosen as the complexing monomer. Firstly, estrone (EST) was complexed with MAPA and the EST-imprinted poly(2-hyroxyethylmethacrylate-co-N-methacryloyl-(l)-phenylalanine) [EST-imprinted poly(HEMA-MAPA)] nanospheres were synthesized by surfactant- free emulsion polymerization method. The specific surface area of the EST-imprinted poly(HEMA-MAPA) nanospheres was found to be 1275 m2/g with a size of 163.2 nm in diameter. According to the elemental analysis results, the nanospheres contained 95.3 mmole MAPA/g nanosphere. The application of EST specific MIP nanospheres for the development of an electrochemical biosensor was introduced for the first time in our study by using electrochemical impedance spectroscopy (EIS) technique. This nano-MIP based sensor presented a great specificity and selectivity for EST.

  15. Nano-Sized Cyclodextrin-Based Molecularly Imprinted Polymer Adsorbents for Perfluorinated Compounds—A Mini-Review

    Directory of Open Access Journals (Sweden)

    Abdalla H. Karoyo

    2015-06-01

    Full Text Available Recent efforts have been directed towards the design of efficient and contaminant selective remediation technology for the removal of perfluorinated compounds (PFCs from soils, sediments, and aquatic environments. While there is a general consensus on adsorption-based processes as the most suitable methodology for the removal of PFCs from aquatic environments, challenges exist regarding the optimal materials design of sorbents for selective uptake of PFCs. This article reviews the sorptive uptake of PFCs using cyclodextrin (CD-based polymer adsorbents with nano- to micron-sized structural attributes. The relationship between synthesis of adsorbent materials and their structure relate to the overall sorption properties. Hence, the adsorptive uptake properties of CD-based molecularly imprinted polymers (CD-MIPs are reviewed and compared with conventional MIPs. Further comparison is made with non-imprinted polymers (NIPs that are based on cross-linking of pre-polymer units such as chitosan with epichlorohydrin in the absence of a molecular template. In general, MIPs offer the advantage of selectivity, chemical tunability, high stability and mechanical strength, ease of regeneration, and overall lower cost compared to NIPs. In particular, CD-MIPs offer the added advantage of possessing multiple binding sites with unique physicochemical properties such as tunable surface properties and morphology that may vary considerably. This mini-review provides a rationale for the design of unique polymer adsorbent materials that employ an intrinsic porogen via incorporation of a macrocyclic compound in the polymer framework to afford adsorbent materials with tunable physicochemical properties and unique nanostructure properties.

  16. Nano-Sized Cyclodextrin-Based Molecularly Imprinted Polymer Adsorbents for Perfluorinated Compounds—A Mini-Review

    Science.gov (United States)

    Karoyo, Abdalla H.; Wilson, Lee D.

    2015-01-01

    Recent efforts have been directed towards the design of efficient and contaminant selective remediation technology for the removal of perfluorinated compounds (PFCs) from soils, sediments, and aquatic environments. While there is a general consensus on adsorption-based processes as the most suitable methodology for the removal of PFCs from aquatic environments, challenges exist regarding the optimal materials design of sorbents for selective uptake of PFCs. This article reviews the sorptive uptake of PFCs using cyclodextrin (CD)-based polymer adsorbents with nano- to micron-sized structural attributes. The relationship between synthesis of adsorbent materials and their structure relate to the overall sorption properties. Hence, the adsorptive uptake properties of CD-based molecularly imprinted polymers (CD-MIPs) are reviewed and compared with conventional MIPs. Further comparison is made with non-imprinted polymers (NIPs) that are based on cross-linking of pre-polymer units such as chitosan with epichlorohydrin in the absence of a molecular template. In general, MIPs offer the advantage of selectivity, chemical tunability, high stability and mechanical strength, ease of regeneration, and overall lower cost compared to NIPs. In particular, CD-MIPs offer the added advantage of possessing multiple binding sites with unique physicochemical properties such as tunable surface properties and morphology that may vary considerably. This mini-review provides a rationale for the design of unique polymer adsorbent materials that employ an intrinsic porogen via incorporation of a macrocyclic compound in the polymer framework to afford adsorbent materials with tunable physicochemical properties and unique nanostructure properties. PMID:28347047

  17. Fe{sub 2}O{sub 3}-Poly-pyrrole hybrid nano-composite materials for super-capacitors

    Energy Technology Data Exchange (ETDEWEB)

    Mallouki, M.; Tran-Van, F.; Sarrazin, C.; Chevrot, C. [Cergy-Pontoise Univ., Lab. de Physicochimie des Polymeres et des Interfaces (LPPI), EA 2528 95 (France); Fauvarque, J.F. [CNAM, Lab. d' Electrochimie Industrielle, 75 - Paris (France); Simon, P. [Universite Paul Sabatier, CIRIMAT-LCMIE, UMR 5085, 31 - Toulouse (France); De, A. [Saha Institute of Nuclear Physics, Calcutta (India)

    2004-07-01

    Fe{sub 2}O{sub 3}-Poly-pyrrole hybrid nano-composite materials chemically synthesized from colloid particles of iron oxide in aqueous solution have been processed to realize electrode materials for super-capacitor applications. The performances have been evaluated by cyclic voltammetry and galvano-static techniques in a three-electrode cell. The capacitance of Fe{sub 2}O{sub 3}-PPy hybrid nano-composite doped with para-toluene-sulfonate reaches 47 mAh/g in PC/NEt{sub 4}BF{sub 4} with a good stability during cycling (loss of 3% after 1000 cycles). Transmission Electronic Microscopy indicates a porous nano-structure with spherical particles in a range of 400-500 nm which ensures a good accessibility of the electrolyte in the bulk of the electro-active hybrid material. Preliminary studies with room temperature ionic liquid show promising results since the specific capacitance reaches 427 F/g in 1- ethyl-3-methyl-imidazolium bis((tri-fluoro-methyl)sulfonyl)amide (EMITFSI). (authors)

  18. Direct imprinting of indium-tin-oxide precursor gel and simultaneous formation of channel and source/drain in thin-film transistor

    Science.gov (United States)

    Haga, Ken-ichi; Kamiya, Yuusuke; Tokumitsu, Eisuke

    2018-02-01

    We report on a new fabrication process for thin-film transistors (TFTs) with a new structure and a new operation principle. In this process, both the channel and electrode (source/drain) are formed simultaneously, using the same oxide material, using a single nano-rheology printing (n-RP) process, without any conventional lithography process. N-RP is a direct thermal imprint technique and deforms oxide precursor gel. To reduce the source/drain resistance, the material common to the channel and electrode is conductive indium-tin-oxide (ITO). The gate insulator is made of a ferroelectric material, whose high charge density can deplete the channel of the thin ITO film, which realizes the proposed operation principle. First, we have examined the n-RP conditions required for the channel and source/drain patterning, and found that the patterning properties are strongly affected by the cooling rate before separating the mold. Second, we have fabricated the TFTs as proposed and confirmed their TFT operation.

  19. Imprinted and injection-molded nano-structured optical surfaces

    DEFF Research Database (Denmark)

    Christiansen, Alexander Bruun; Højlund-Nielsen, Emil; Clausen, Jeppe Sandvik

    2013-01-01

    . In this paper, nanostructured polymer surfaces suitable for up-scalable polymer replication methods, such as imprinting/embossing and injection-molding, are discussed. The limiting case of injection-moulding compatible designs is investigated. Anti-reflective polymer surfaces are realized by replication...

  20. Growth of ZnSe nano-needles by pulsed laser deposition and their application in polymer/inorganic hybrid solar cells

    International Nuclear Information System (INIS)

    Chen, L.; Lai, J.S.; Fu, X.N.; Sun, J.; Ying, Z.F.; Wu, J.D.; Lu, H.; Xu, N.

    2013-01-01

    Using pulsed-laser deposition method, crystalline ZnSe nano-needles have been grown on catalyst-coated silicon (100) substrates. The crystalline ZnSe nano-needles with the middle diameters of about 20–80 nm, and the lengths ranging from 100 to 600 nm can be grown densely on 300–400 °C substrates. The as-grown ZnSe nano-needles were well crystalline and base-grown. They are potential electron-capturing materials in polymer/inorganic hybrid solar cells for their properties of good electron-conductance and high ratio surface area. Based on the ZnSe nano-needle cathode, a five-layer composite structure of polymer/inorganic hybrid solar cell has been designed and fabricated. The absorption spectra of the blend of regioregular poly(3-hexylthiophene-2,5-diyl) and phenyl-C61-butyric acid methyl ester (P3HT:PCBM), ZnSe nano-needles and the combination of P3HT:PCBM and ZnSe nano-needles were examined by ultraviolet–visible-infrared spectrophotometer, respectively. The absorption bands of the combination of P3HT:PCBM and ZnSe nano-needles fit well with the solar spectral distribution. - Highlights: ► Crystalline ZnSe nano-needles grown by pulsed laser deposition. ► A five-layer polymer/inorganic hybrid solar cell based on ZnSe nano-needles cathode. ► ZnSe nano-needles improve light absorption. ► Employment of ZnSe nano-needles increase the open-circuit voltage and fill factor

  1. High resolution beam profiling of X-ray free electron laser radiation by polymer imprint development.

    Science.gov (United States)

    Rösner, Benedikt; Döring, Florian; Ribič, Primož R; Gauthier, David; Principi, Emiliano; Masciovecchio, Claudio; Zangrando, Marco; Vila-Comamala, Joan; De Ninno, Giovanni; David, Christian

    2017-11-27

    High resolution metrology of beam profiles is presently a major challenge at X-ray free electron lasers. We demonstrate a characterization method based on beam imprints in poly (methyl methacrylate). By immersing the imprints formed at 47.8 eV into organic solvents, the regions exposed to the beam are removed similar to resist development in grayscale lithography. This allows for extending the sensitivity of the method by more than an order of magnitude compared to the established analysis of imprints created solely by ablation. Applying the Beer-Lambert law for absorption, the intensity distribution in a micron-sized focus can be reconstructed from one single shot with a high dynamic range, exceeding 10 3 . The procedure described here allows for beam characterization at free electron lasers revealing even faint beam tails, which are not accessible when using ablation imprint methods. We demonstrate the greatly extended dynamic range on developed imprints taken in focus of conventional Fresnel zone plates and spiral zone plates producing beams with a topological charge.

  2. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Directory of Open Access Journals (Sweden)

    Pulikanti Guruprasad Reddy

    2017-08-01

    Full Text Available Helium (He ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR, MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ and sensitivity (E0 of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  3. Heavy metal incorporated helium ion active hybrid non-chemically amplified resists: Nano-patterning with low line edge roughness

    Science.gov (United States)

    Reddy, Pulikanti Guruprasad; Thakur, Neha; Lee, Chien-Lin; Chien, Sheng-Wei; Pradeep, Chullikkattil P.; Ghosh, Subrata; Tsai, Kuen-Yu; Gonsalves, Kenneth E.

    2017-08-01

    Helium (He) ion lithography is being considered as one of the most promising and emerging technology for the manufacturing of next generation integrated circuits (ICs) at nanolevel. However, He-ion active resists are rarely reported. In this context, we are introducing a new non-chemically amplified hybrid resist (n-CAR), MAPDSA-MAPDST, for high resolution He-ion beam lithography (HBL) applications. In the resist architecture, 2.15 % antimony is incorporated as heavy metal in the form of antimonate. This newly developed resists has successfully used for patterning 20 nm negative tone features at a dose of 60 μC/cm2. The resist offered very low line edge roughness (1.27±0.31 nm) for 20 nm line features. To our knowledge, this is the first He-ion active hybrid resist for nanopatterning. The contrast (γ) and sensitivity (E0) of this resist were calculated from the contrast curve as 0.73 and 7.2 μC/cm2, respectively.

  4. TiO2 micro-nano-hybrid surface to alleviate biological aging of UV-photofunctionalized titanium

    Directory of Open Access Journals (Sweden)

    Iwasa F

    2011-06-01

    Full Text Available Fuminori Iwasa1, Naoki Tsukimura1, Yoshihiko Sugita1, Rajita Kodali Kanuru1, Katsutoshi Kubo1, Hafiz Hasnain1, Wael Att1,2, Takahiro Ogawa11Laboratory of Bone and Implant Sciences (LBIS, The Weintraub Center for Reconstructive Biotechnology, Division of Advanced Prosthodontics, Biomaterials and Hospital Dentistry, UCLA School of Dentistry, Los Angeles, CA, USA; 2Department of Prosthodontics, Dental School, Albert-Ludwigs University, Freiburg, GermanyAbstract: Bioactivity and osteoconductivity of titanium degrade over time after surface processing. This time-dependent degradation is substantial and defined as the biological aging of titanium. UV treatment has shown to reactivate the aged surfaces, a process known as photofunctionalization. This study determined whether there is a difference in the behavior of biological aging for titanium with micro-nano-hybrid topography and titanium with microtopography alone, following functionalization. Titanium disks were acid etched to create micropits on the surface. Micro-nano-hybrid surfaces were created by depositioning 300-nm diameter TiO2 nodules onto the micropits using a previously established self-assembly protocol. These disks were stored for 8 weeks in the dark to allow sufficient aging, then treated with UV light for 48 hours. Rat bone marrow–derived osteoblasts were cultured on fresh disks (immediately after UV treatment, 3-day-old disks (disks stored for 3 days after UV treatment, and 7-day-old disks. The rates of cell attachment, spread, proliferation, and levels of alkaline phosphatase activity, and calcium deposition were reduced by 30%–50% on micropit surfaces, depending on the age of the titanium. In contrast, 7-day-old hybrid surfaces maintained equivalent levels of bioactivity compared with the fresh surfaces. Both micropit and micro-nano-hybrid surfaces were superhydrophilic immediately after UV treatment. However, after 7 days, the micro-nano-hybrid surfaces became hydrorepellent

  5. Hybrid 3D printing by bridging micro/nano processes

    International Nuclear Information System (INIS)

    Yoon, Hae-Sung; Jang, Ki-Hwan; Kim, Eunseob; Lee, Hyun-Taek; Ahn, Sung-Hoon

    2017-01-01

    A hybrid 3D printing process was developed for multiple-material/freeform nano-scale manufacturing. The process consisted of aerodynamically focused nanoparticle (AFN) printing, micro-machining, focused ion beam milling, and spin-coating. Theoretical and experimental investigations were carried out to improve the compatibility of each of the processes, enabling bridging of various different techniques. The resulting hybrid process could address the limitations of individual processes, enabling improved process scaling and dimensional degrees of freedom, without losing the advantages of the existing processes. The minimum structure width can be reduced to 50 nm using undercut structures. In addition, AFN printing employs particle impact for adhesion, and various inorganic materials are suitable for printing, including metals and functional ceramics. Using the developed system, we fabricated bi-material cantilevers for applications as a thermal actuator. The mechanical and thermal properties of the structure were investigated using an in situ measurement system, and irregular thermal phenomena due to the fabrication process were analyzed. We expect that this work will lead to improvements in the area of customized nano-scale manufacturing, as well as further improvements in manufacturing technology by combining different fabrication techniques. (paper)

  6. Hybrid 3D printing by bridging micro/nano processes

    Science.gov (United States)

    Yoon, Hae-Sung; Jang, Ki-Hwan; Kim, Eunseob; Lee, Hyun-Taek; Ahn, Sung-Hoon

    2017-06-01

    A hybrid 3D printing process was developed for multiple-material/freeform nano-scale manufacturing. The process consisted of aerodynamically focused nanoparticle (AFN) printing, micro-machining, focused ion beam milling, and spin-coating. Theoretical and experimental investigations were carried out to improve the compatibility of each of the processes, enabling bridging of various different techniques. The resulting hybrid process could address the limitations of individual processes, enabling improved process scaling and dimensional degrees of freedom, without losing the advantages of the existing processes. The minimum structure width can be reduced to 50 nm using undercut structures. In addition, AFN printing employs particle impact for adhesion, and various inorganic materials are suitable for printing, including metals and functional ceramics. Using the developed system, we fabricated bi-material cantilevers for applications as a thermal actuator. The mechanical and thermal properties of the structure were investigated using an in situ measurement system, and irregular thermal phenomena due to the fabrication process were analyzed. We expect that this work will lead to improvements in the area of customized nano-scale manufacturing, as well as further improvements in manufacturing technology by combining different fabrication techniques.

  7. 32nm 1-D regular pitch SRAM bitcell design for interference-assisted lithography

    Science.gov (United States)

    Greenway, Robert T.; Jeong, Kwangok; Kahng, Andrew B.; Park, Chul-Hong; Petersen, John S.

    2008-10-01

    As optical lithography advances into the 45nm technology node and beyond, new manufacturing-aware design requirements have emerged. We address layout design for interference-assisted lithography (IAL), a double exposure method that combines maskless interference lithography (IL) and projection lithography (PL); cf. hybrid optical maskless lithography (HOMA) in [2] and [3]. Since IL can generate dense but regular pitch patterns, a key challenge to deployment of IAL is the conversion of existing designs to regular-linewidth, regular-pitch layouts. In this paper, we propose new 1-D regular pitch SRAM bitcell layouts which are amenable to IAL. We evaluate the feasibility of our bitcell designs via lithography simulations and circuit simulations, and confirm that the proposed bitcells can be successfully printed by IAL and that their electrical characteristics are comparable to those of existing bitcells.

  8. The Effect of Drawing Ratio on Mechanical Property of Nano-Hybrid Polyimide Composite Films

    Directory of Open Access Journals (Sweden)

    CHEN Hao

    2017-06-01

    Full Text Available In order to investigate the impact of drawing ratio of inorganic nano-hybrid polyamide three-layer composite films,the stretched composite films with different draw ratio were prepared by drawing partial imido polyamide film and then through the ring closing reaction in the high temperature,and the draw ratio was 0% ,2% , 4% ,6% ,8% ,10% ,12% ,14% etc. Under the same conditions,we made different draw ratio of three-layer composite film tensile test with the electronic universal material testing machine. The results show: doped inorganic nanometer oxide made PI film elastic modulus increase slightly,the tensile strength and elongation at break decrease obviously,but the nano hybrid three-layer composite PI films still had good mechanical properties; The yield of polyimide film should be caused by forced high-elastic deformation of polyimide molecular chain,and it had nothing to do with whether doped inorganic nano-oxide or whether through stretched processing; With the increase of draw ratio,the elastic modulus of the nano hybrid three-layer composite PI films existed the trend of first increased and then slow down gradually,and the tensile strength and elongation at break first decreased and then increased.

  9. Changes in Parthenogenetic Imprinting Patterns during Reprogramming by Cell Fusion.

    Directory of Open Access Journals (Sweden)

    Hyun Sik Jang

    Full Text Available Differentiated somatic cells can be reprogrammed into the pluripotent state by cell-cell fusion. In the pluripotent state, reprogrammed cells may then self-renew and differentiate into all three germ layers. Fusion-induced reprogramming also epigenetically modifies the somatic cell genome through DNA demethylation, X chromosome reactivation, and histone modification. In this study, we investigated whether fusion with embryonic stem cells (ESCs also reprograms genomic imprinting patterns in somatic cells. In particular, we examined imprinting changes in parthenogenetic neural stem cells fused with biparental ESCs, as well as in biparental neural stem cells fused with parthenogenetic ESCs. The resulting hybrid cells expressed the pluripotency markers Oct4 and Nanog. In addition, methylation of several imprinted genes except Peg3 was comparable between hybrid cells and ESCs. This finding indicates that reprogramming by cell fusion does not necessarily reverse the status of all imprinted genes to the state of pluripotent fusion partner.

  10. Efficient methods of nanoimprint stamp cleaning based on imprint self-cleaning effect

    Energy Technology Data Exchange (ETDEWEB)

    Meng Fantao; Chu Jinkui [Key Laboratory for Micro/Nano Technology and System of Liaoning Province, Dalian University of Technology, 116024 Dalian (China); Luo Gang; Zhou Ye; Carlberg, Patrick; Heidari, Babak [Obducat AB, SE-20125 Malmoe (Sweden); Maximov, Ivan; Montelius, Lars; Xu, H Q [Division of Solid State Physics, Lund University, Box 118, S-22100 Lund (Sweden); Nilsson, Lars, E-mail: ivan.maximov@ftf.lth.se [Department of Food Technology, Engineering and Nutrition, Lund University, Box 117, S-22100 Lund (Sweden)

    2011-05-06

    Nanoimprint lithography (NIL) is a nonconventional lithographic technique that promises low-cost, high-throughput patterning of structures with sub-10 nm resolution. Contamination of nanoimprint stamps is one of the key obstacles to industrialize the NIL technology. Here, we report two efficient approaches for removal of typical contamination of particles and residual resist from stamps: thermal and ultraviolet (UV) imprinting cleaning-both based on the self-cleaning effect of imprinting process. The contaminated stamps were imprinted onto polymer substrates and after demolding, they were treated with an organic solvent. The images of the stamp before and after the cleaning processes show that the two cleaning approaches can effectively remove contamination from stamps without destroying the stamp structures. The contact angles of the stamp before and after the cleaning processes indicate that the cleaning methods do not significantly degrade the anti-sticking layer. The cleaning processes reported in this work could also be used for substrate cleaning.

  11. Hybrid strategies in nanolithography

    Energy Technology Data Exchange (ETDEWEB)

    Saavedra, Hector M; Mullen, Thomas J; Zhang Pengpeng; Dewey, Daniel C; Claridge, Shelley A; Weiss, Paul S [Department of Chemistry, The Pennsylvania State University, University Park, PA 16802 (United States)], E-mail: psw@cnsi.ucla.edu

    2010-03-15

    Hybrid nanoscale patterning strategies combine the registration and addressability of conventional lithographic techniques with the chemical and physical functionality enabled by intermolecular, electrostatic and/or biological interactions. This review aims to highlight and to provide a comprehensive description of recent developments in hybrid nanoscale patterning strategies that enhance existing lithographic techniques or can be used to fabricate functional chemical patterns that interact with their environment. These functional structures create new capabilities, such as the fabrication of physicochemical surfaces that can recognize and capture analytes from complex liquid or gaseous mixtures. The nanolithographic techniques we describe can be classified into three general areas: traditional lithography, soft lithography and scanning-probe lithography. The strengths and limitations of each hybrid patterning technique will be discussed, along with the current and potential applications of the resulting patterned, functional surfaces.

  12. Scanning ion microscopy with low energy lithium ions

    International Nuclear Information System (INIS)

    Twedt, Kevin A.; Chen, Lei; McClelland, Jabez J.

    2014-01-01

    Using an ion source based on photoionization of laser-cooled lithium atoms, we have developed a scanning ion microscope with probe sizes of a few tens of nanometers and beam energies from 500 eV to 5 keV. These beam energies are much lower than the typical operating energies of the helium ion microscope or gallium focused ion beam systems. We demonstrate how low energy can be advantageous in ion microscopy when detecting backscattered ions, due to a decreased interaction volume and the potential for surface sensitive composition analysis. As an example application that demonstrates these advantages, we non-destructively image the removal of a thin residual resist layer during plasma etching in a nano-imprint lithography process. - Highlights: • We use an ion source based on photoionization of laser-cooled lithium atoms. • The ion source makes possible a low energy (500 eV to 5 keV) scanning ion microscope. • Low energy is preferred for ion microscopy with backscattered ions. • We use the microscope to image a thin resist used in nano-imprint lithography

  13. In-situ fabrication of halloysite nanotubes/silica nano hybrid and its application in unsaturated polyester resin

    Science.gov (United States)

    Lin, Jing; Zhong, Bangchao; Jia, Zhixin; Hu, Dechao; Ding, Yong; Luo, Yuanfang; Jia, Demin

    2017-06-01

    Silica nanoparticles was in-situ grown on the surface of halloysite nanotubes (HNTs) by a facile one-step approach to prepare a unique nano-structured hybrid (HNTs-g-Silica). The structure, morphology and composition of HNTs-g-Silica were investigated. It was confirmed that silica nanoparticles with the diameter of 10-20 nm were chemically grafted through Sisbnd O bonds and uniformly dispersed onto the surface of HNTs, leading to the formation of nano-protrusions on the nanotube surface. Due to the significantly improved interface strength between HNTs-g-Silica and polymer matrix, HNTs-g-Silica effectively toughened unsaturated polyester resin (UPE) and endowed UPE with superior thermal stability compared to HNTs. Based on the unique hybrid architecture and the improved properties of UPE nanocomposites, it is envisioned that HNTs-g-Silica may be a promising filler for more high performance and functional polymers composites and the fabrication method may have implications in the synthesis of nano hybrid materials.

  14. Fabrication of subwavelength metallic structures by using a metal direct imprinting process

    International Nuclear Information System (INIS)

    Hsieh, C W; Hsiung, H Y; Lu, Y T; Sung, C K; Wang, W H

    2007-01-01

    This work employs a metal direct imprinting process, which possesses the characteristics of simplicity, low-cost and high resolution, for the fabrication of subwavelength structures on a metallic thin film. Herein, the mould featuring periodic line structures is manufactured by using E-beam lithography and followed by a dry etching process; meanwhile, the thin film is fabricated by sputtering Al on a silicon substrate. AFM section analyses are employed to measure imprinting depths of the subwavelength metallic structures and it is found that the uniformity of the imprinting depths is affected by the designed patterns, the material property of thin film and mould deformation. The process temperature and the mould filling that influence the transferred quality are investigated. In addition, TEM is also utilized to examine defects in the subwavelength metallic structures. Finally, good quality subwavelength metallic structures are fabricated under a pressure of 300 MPa for 60 s at room temperature. In this study, we have demonstrated that subwavelength metallic structures with a minimum linewidth of less than 100 nm on the Al thin film are successfully constructed by the metal direct imprinting process

  15. Characterization of the imprinting and expression patterns of ZAG2 in maize endosperm and embryo

    Directory of Open Access Journals (Sweden)

    Chaoxian Liu

    2015-02-01

    Full Text Available ZAG2 has been identified as a maternally expressed imprinted gene in maize endosperm. Our study revealed that paternally inherited ZAG2 alleles were imprinted in maize endosperm and embryo at 14 days after pollination (DAP, and consistently imprinted in endosperm at 10, 12, 16, 18, 20, 22, 24, 26, and 28 DAP in reciprocal crosses between B73 and Mo17. ZAG2 alleles were also imprinted in reciprocal crosses between Zheng 58 and Chang 7-2 and between Huang C and 178. ZAG2 alleles exhibited differential imprinting in hybrids of 178 × Huang C and B73 × Mo17, while in other hybrids ZAG2 alleles exhibited binary imprinting. The tissue-specific expression pattern of ZAG2 showed that ZAG2 was expressed at a high level in immature ears, suggesting that ZAG2 plays important roles in not only kernel but ear development.

  16. Evaluation of hybrid polymers for high-precision manufacturing of 3D optical interconnects by two-photon absorption lithography

    Science.gov (United States)

    Schleunitz, A.; Klein, J. J.; Krupp, A.; Stender, B.; Houbertz, R.; Gruetzner, G.

    2017-02-01

    The fabrication of optical interconnects has been widely investigated for the generation of optical circuit boards. Twophoton absorption (TPA) lithography (or high-precision 3D printing) as an innovative production method for direct manufacture of individual 3D photonic structures gains more and more attention when optical polymers are employed. In this regard, we have evaluated novel ORMOCER-based hybrid polymers tailored for the manufacture of optical waveguides by means of high-precision 3D printing. In order to facilitate future industrial implementation, the processability was evaluated and the optical performance of embedded waveguides was assessed. The results illustrate that hybrid polymers are not only viable consumables for industrial manufacture of polymeric micro-optics using generic processes such as UV molding. They also are potential candidates to fabricate optical waveguide systems down to the chip level where TPA-based emerging manufacturing techniques are engaged. Hence, it is shown that hybrid polymers continue to meet the increasing expectations of dynamically growing markets of micro-optics and optical interconnects due to the flexibility of the employed polymer material concept.

  17. Synthesis of MnO nano-particle@Flourine doped carbon and its application in hybrid supercapacitor

    Energy Technology Data Exchange (ETDEWEB)

    Qu, Deyu; Feng, Xiaoke [Department of Chemistry, School of Chemistry, Chemical Engineering and Life Science, Wuhan University of Technology, Wuhan 430070, Hubei (China); Wei, Xi [School of Materials Science and Engineering, State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, Wuhan University of Technology, Wuhan 430070, Hubei (China); Guo, Liping [Department of Chemistry, School of Chemistry, Chemical Engineering and Life Science, Wuhan University of Technology, Wuhan 430070, Hubei (China); Cai, Haopeng, E-mail: cai_haopeng@whut.edu.cn [School of Materials Science and Engineering, State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, Wuhan University of Technology, Wuhan 430070, Hubei (China); Tang, Haolin [School of Materials Science and Engineering, State Key Laboratory of Advanced Technology for Materials Synthesis and Processing, Wuhan University of Technology, Wuhan 430070, Hubei (China); Xie, Zhizhong, E-mail: zhizhong_xie@163.com [Department of Chemistry, School of Chemistry, Chemical Engineering and Life Science, Wuhan University of Technology, Wuhan 430070, Hubei (China)

    2017-08-15

    Highlights: • A Fluorine doped carbon encapsulated MnO nanoparticle material was fabricated through a self-assembly method. • Nafion ionomers was used as the fluorine and carbon precursor. • A lithium ion supercapacitor was assemblied by using MnO@FC and porous carbon. • A stable energy density as well as superior cycling stability were demonstrated in this hybrid system. - Abstract: A flourine doped carbon materials encapsulated MnO nano-particle was synthesized through a self-assembly method. The MnO nano-crystal covered with a thin layer of graphite were achieved. This hybrid MnO/carbon materials were employed as negative electrode in a new lithium ion hybrid supercapacitor, while the electrochemical double-layer porous carbon served as positive electrode. The electrochemical performances of this hybrid device were investigated and exhibited relative high capacity upto 40 mAh g{sup −1} in an applied current of 200 mAh g{sup −1}, good rate performance as well as superior cycling stability.

  18. Synthesis of nano-sized stereoselective imprinted polymer by copolymerization of (S)-2-(acrylamido) propanoic acid and ethylene glycol dimethacrylate in the presence of racemic propranolol and copper ion

    Energy Technology Data Exchange (ETDEWEB)

    Alizadeh, Taher, E-mail: talizadeh@ut.ac.ir [Department of Analytical Chemistry, Faculty of Chemistry, University College of Science, University of Tehran, P.O. Box 14155-6455, Tehran (Iran, Islamic Republic of); Bagherzadeh, Azam; Shamkhali, Amir Nasser [Department of Applied Chemistry, Faculty of Science, University of Mohaghegh Ardabili, Ardabil (Iran, Islamic Republic of)

    2016-06-01

    A new chiral functional monomer of (S)-2-(acrylamido) propanoic acid was obtained by reaction of (L)-alanine with acryloyl chloride. The resulting monomer was characterized by FT-IR and HNMR and then utilized for the preparation of chiral imprinted polymer (CIP). This was carried out by copolymerization of (L)-alanine-derived chiral monomer and ethylene glycol dimethacrylate, in the presence of racemic propranolol and copper nitrate, via precipitation polymerization technique, resulting in nano-sized networked polymer particles. The polymer obtained was characterized by scanning electron microscopy and FT-IR. The non-imprinted polymer was also synthesized and used as blank polymer. Density functional theory (DFT) was also employed to optimize the structures of two diasterometric ternary complexes, suspected to be created in the pre-polymerization step, by reaction of optically active isomers of propranolol, copper ion and (S)-2-(acrylamido) propanoic acid. Relative energies and other characteristics of the described complexes, calculated by the DFT, predicted the higher stability of (S)-propranolol involved complex, compared to (R)-propranolol participated complex. Practical batch extraction test which employed CIP as solid phase adsorbent, indicated that the CIP recognized selectively (S)-propranolol in the racemic mixture of propranolol; whereas, the non-imprinted polymer (NIP) showed no differentiation capability between two optically active isomers of propranolol. - Highlights: • A new chiral functional monomer of (S)-2-(acrylamido) propanoic acid was synthesized. • (S)-propranolol-selective imprinted polymer was synthesized using the chiral monomer. • Racemic propranolol mixed with Cu(II) was used as template in the imprinting. • Density functional theory was employed to clarify the imprinting mechanism. • (S)-propranolol-Cu(II) complex was shown to conduct the imprinting process.

  19. Nano-amylose-2,3-bis(3,5-dimethylphenylcarbamate)-silica hybrid sol immobilized on open tubular capillary column for capillary electrochromatography enantioseparation.

    Science.gov (United States)

    Sun, Yaming; Wu, Qi; Shi, Xiaofeng; Gao, Jie; Dong, Shuqing; Zhao, Liang

    2018-04-01

    The chiral organic-inorganic hybrid materials can exhibit a high loading, and the chiral selector nanoparticles can create efficient stationary phases for open-tubular capillary electrochromatography (OT-CEC). Hence, a novel protocol for the preparation of an OT column coated with nano-amylose-2,3-bis(3,5-dimethylphenylcarbamate) (nano-ABDMPC)-silica hybrid sol through in situ layer-by-layer self-assembly method was developed for CEC enantioseparation. By controlling the assembly cycle number of nano-ABDMPC-silica hybrid sol, a homogeneous, dense and stable coating was successfully prepared, which was confirmed by SEM and elemental analysis. As the main parameter influencing the chiral separating effect, the nano-ABDMPC bearing 3-(triethoxysilyl)propyl residues concentration was investigated. The experimental results showed that 10.0 mg/mL nano-ABDMPC bearing 3-(triethoxysilyl)propyl residues coated OT capillary column possessed chiral recognition ability toward the six enantiomers (phenylalanine, tyrosine, tryptophan, phenethyl alcohol, 1-phenyl-2-propanol, and Tröger's base) at some of the different conditions tested. Additionally, the coated OT column revealed adequate repeatability concerning run-to-run, day-to-day and column-to-column. These results demonstrated the promising applicability of nano-ABDMPC-silica hybrid sol coated OT column in CEC enantioseparations. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. An assessment of the process capabilities of nanoimprint lithography

    Science.gov (United States)

    Balla, Tobias; Spearing, S. Mark; Monk, Andrew

    2008-09-01

    Nanoimprint lithography (NIL) is an emerging nanofabrication tool, able to replicate imprint patterns quickly and at high volumes. The present study was performed in order to define the capabilities of NIL, based on a study of published research and to identify the application areas where NIL has the greatest potential. The process attributes of different NIL process chains were analysed, and their process capabilities were compared to identify trends and process limitations. The attributes chosen include the line width, relief height, initial resist thickness, residual layer thickness, imprint area and line width tolerances. In each case well-defined limits can be identified, which are a direct result of the mechanisms involved in the NIL process. These quantitative results were compared with the assessments of individuals in academia and within the microfabrication industry. The results suggest NIL is most suited to producing photonic, microfluidic and patterned media applications, with photonic applications the closest to market. NIL needs to address overlay alignment issues for wider use, while an analysis is needed for each market, as to whether NIL adds value.

  1. Measurement of pull-off force on imprinted nanopatterns in an inert liquid

    International Nuclear Information System (INIS)

    Kim, Jae Kwan; Lee, Dong Eon; Lee, Woo Il; Suh, Kahp Y

    2010-01-01

    We report on the measurement of the pull-off force on nanoscale patterns that are formed by thermal nanoimprint lithography (t-NIL). Various patterns with feature sizes in the range of 50-900 nm were fabricated on silicon substrates using a rigiflex polymeric mold of ultraviolet curable polyurethane acrylate (PUA, Young's modulus ∼ 1 GPa) or perfluoropolyether (PFPE, Young's modulus ∼ 10.5 MPa) and a resist layer of polystyrene (PS) of three different molecular weights (M w = 18 100, 211 600 and 2043 000). The pull-off force was measured in non-polar, non-reactive perfluorodecalin (PFD) solvent between a sharp atomic force microscopy (AFM) tip and an imprinted pattern. Our experimental data demonstrated that the measured pull-off forces were in good agreement with a simple adhesion model based on Lifshitz theory. Also, the force on the pressed region (valley) is higher than that on the cavity region (hill), with the ratio (hill/valley) decreasing with the decrease of pattern size and the increase of molecular weight. The confinement effects were more pronounced for smaller patterns ( w = 211 600 and 2043 000) presumably due to sluggish movement of polymer chains into nano-cavities. Finally, the experimental observations were compared with molecular dynamic simulations based on a simplified amorphous polyethylene model.

  2. Chemical Stability of Cd(II and Cu(II Ionic Imprinted Amino-Silica Hybrid Material in Solution Media

    Directory of Open Access Journals (Sweden)

    Buhani Buhani

    2012-02-01

    Full Text Available Chemical stability of Cd(II and Cu(II ionic imprinted amino-silica (HAS material of (i-Cd-HAS and i-Cu-HAS derived from silica modification with active compound (3-aminopropyl-trimethoxysilane (3-APTMS has been studied in solution media.  Stability test was performed with HNO3 0.1 M (pH 1.35 to investigate material stability at low pH condition, acetat buffer at pH 5.22 for adsorption process optimum pH condition, and in the water (pH 9.34 for base condition.  Material characteristics were carried out with infrared spectrophotometer (IR and atomic absorption spectrophotometer (AAS.  At interaction time of 4 days in acid and neutral condition, i-Cd-HAS is more stable than i-Cu-HAS with % Si left in material 95.89 % (acid media, 43.82 % (close to neutral, and 9.39 % (base media.Keywords: chemical stability, amino-silica hybrid, ionic imprinting technique.

  3. Fabrication of fluidic devices with 30 nm nanochannels by direct imprinting

    DEFF Research Database (Denmark)

    Cuesta, Irene Fernandez; Palmarelli, Anna Laura; Liang, Xiaogan

    2011-01-01

    In this work, we propose an innovative approach to the fabrication of a complete micro/nano fluidic system, based on direct nanoimprint lithography. The fabricated device consists of nanochannels connected to U-shaped microchannels by triangular tapered inlets, and has four large reservoirs for l...

  4. Fabrication of Photonic Crystal Structures on Flexible Organic Light-Emitting Diodes by Using Nano-Imprint and PDMS Mold

    Directory of Open Access Journals (Sweden)

    Ho Ting-Lin

    2016-01-01

    Full Text Available In this paper, nanoimprint lithography was used to create a photonic crystals structure film in organic light-emitting diode (OLED component, and then compare the efficiency of components whether with nanostructure or not. By using two different kinds of mold, such as silicon mold and PDMS mold, the nano structures in PMMA (molecular weight of 350K were fabricated. Nanostructures in period of 403.53nm with silicon mold and nano structures in period of 385.64nm with PDMS mold as photonic crystal films were fabricated and were integrated into OLED. In experimental results, the OLED without photonic crystal films (with packing behaves 193.3cd/m2 for luminous intensity, 3.481cd/A for lightening efficiency (ηL and 0.781 lm/W for lightening power (ηP where V is 14V and I is 5.5537mA; the OLED with photonic crystal films (with packing behaves 241.6cd/m2 for luminous intensity, 4.173cd/A for lightening efficiency (ηL and 0.936 lm/W for lightening power (ηP where voltage of 14V and current (I of 5.7891mA, which shows that the latter perform is well.

  5. Lithography for VLSI

    CERN Document Server

    Einspruch, Norman G

    1987-01-01

    VLSI Electronics Microstructure Science, Volume 16: Lithography for VLSI treats special topics from each branch of lithography, and also contains general discussion of some lithographic methods.This volume contains 8 chapters that discuss the various aspects of lithography. Chapters 1 and 2 are devoted to optical lithography. Chapter 3 covers electron lithography in general, and Chapter 4 discusses electron resist exposure modeling. Chapter 5 presents the fundamentals of ion-beam lithography. Mask/wafer alignment for x-ray proximity printing and for optical lithography is tackled in Chapter 6.

  6. Submicron three-dimensional structures fabricated by reverse contact UV nanoimprint lithography

    DEFF Research Database (Denmark)

    Kehagias, N.; Reboud, Vincent; Chansin, G.

    2006-01-01

    The fabrication of a three-dimensional multilayered nanostructure is demonstrated with a newly developed nanofabrication technique, namely, reverse contact ultraviolet nanoimprint lithography. This technique is a combination of reverse nanoimprint lithography and contact ultraviolet lithography....... In this process, a UV cross-linkable polymer and a thermoplastic polymer are spin coated onto a patterned hybrid metal-quartz stamp. These thin polymer films are then transferred from the stamp to the substrate by contact at a suitable temperature and pressure. The whole assembly is then exposed to UV light....... After separation of the stamp and the substrate, the unexposed polymer areas are rinsed away with acetone leaving behind the negative features of the original stamp with no residual layer....

  7. EUV lithography

    CERN Document Server

    Bakshi, Vivek

    2018-01-01

    Extreme ultraviolet lithography (EUVL) is the principal lithography technology-beyond the current 193-nm-based optical lithography-aiming to manufacture computer chips, and recent progress has been made on several fronts: EUV light sources, scanners, optics, contamination control, masks and mask handling, and resists. This book covers the fundamental and latest status of all aspects of EUVL used in the field. Since 2008, when SPIE Press published the first edition of EUVL Lithography, much progress has taken place in the development of EUVL as the choice technology for next-generation lithography. In 2008, EUVL was a prime contender to replace 193-nm-based optical lithography in leading-edge computer chip making, but not everyone was convinced at that point. Switching from 193-nm to 13.5-nm wavelengths was a much bigger jump than the industry had attempted before. It brought several difficult challenges in all areas of lithography-light source, scanner, mask, mask handling, optics, optics metrology, resist, c...

  8. Piezoelectric properties of the new generation active matrix hybrid (micro-nano) composites

    Energy Technology Data Exchange (ETDEWEB)

    Parali, Levent, E-mail: levent.parali@cbu.edu.tr [Department of Electronics and Automation, Celal Bayar University, Manisa (Turkey); Şabikoğlu, İsrafil [Department of Physics, Celal Bayar University, Manisa (Turkey); Kurbanov, Mirza A. [Institute of Physics, Academy of Sciences of Azerbaijan, Baku (Azerbaijan)

    2014-11-01

    Highlights: • We prepared hybrid structured piezocomposites. • We examine thermostimulated depolarization of piezocomposites. • We examine frequency characteristic of piezocomposites with SiO{sub 2} and BaTiO{sub 3}. • The piezocomposites can be used in acoustic applications at 5 Hz–40 kHz. - Abstract: A hybrid piezoelectric composite structure is obtained by addition of nano-sized BaTiO{sub 3}, SiO{sub 2} to the micro-sized PZT and polymers composition. Although the PZT material itself has excellent piezoelectric properties, PZT-based composite variety is limited. Piezoelectric properties of PZT materials can be varied with an acceptor or a donor added to the material. In addition, varieties of PZT-based sensors can be increased with doping polymers which have physical-mechanical, electrophysical, thermophysical and photoelectrical properties. The active matrix hybrid structure occurs when bringing together the unique piezoelectric properties of micro-sized PZT with electron trapping properties of nano-sized insulators (BaTiO{sub 3} or SiO{sub 2}), and their piezoelectric, mechanic and electromechanic properties significantly change. In this study, the relationship between the piezoelectric constant and the coupling factor values of microstructure (PZT–PVDF) and the hybrid structure (PZT–PVDF–BaTiO{sub 3}) composite are compared. The d{sub 33} value and the coupling factor of the hybrid structure have shown an average of 54 and 62% increase according to microstructure composite, respectively. In addition, the d{sub 33} value and the coupling factor of the hybrid structure (PZT–HDPE–SiO{sub 2}) have exhibited about 68 and 52% increase according to microstructure composite (PZT–HDPE), respectively.

  9. Electrical characterization of Ge–Sb–Te phase change nano-pillars using conductive atomic force microscopy

    International Nuclear Information System (INIS)

    Bae, Byeong-Ju; Hong, Sung-Hoon; Hwang, Seon-Yong; Hwang, Jae-Yeon; Yang, Ki-Yeon; Lee, Heon

    2009-01-01

    The electrical characteristic of phase change material was studied in nano-scale using nanoimprint lithography and a conducting atomic force microscopy measurement system. Nanoimprint lithography was used to fabricate the nano-scale phase change material pattern. A Pt-coated AFM tip was used as a top electrode to measure the electrical characteristics of the GST nano-pillar. The GST nano-pillar, which is 200 nm in diameter, was amorphized by 2 V and 5 ns reset pulse and was then brought back to the crystalline phase by applying 1.3 V and 150 ns set pulse. Using this measurement system, the GST nano-pillar was switched between the amorphous and crystalline phases more than five times. The results of the reset and the set current measurement with the GST nano-pillar sizes show that the reset and the set currents also decreased with the decrease of the GST pillar size

  10. Preparation of mixed molecularly imprinted polymer magnetic nanoparticles and its application in separation of Chinese traditional medicine

    Science.gov (United States)

    Xie, Yihui; Ma, Yajuan; Bai, Wenting; Zhu, Xiaofang; Liu, Min; Huang, Liping

    2017-08-01

    A mixed imprinted polymer which can rapidly adsorb all flavonoids from raspberry extract was prepared and recycled. The hybrid molecular surface imprinted polymers were prepared by using quercetin as the template molecule and Fe3O4 magnetic nanospheres as the carrier. The molecular imprinting polymer was prepared by using the "initial template molecule, molecularly imprinted polymer, mixed template molecule, molecularly imprint ted polymers (MIPS)". The adsorption performance and durability of the hybrid molecularly imprinted polymers were investigated by using the fingerprints of the ethyl acetate fraction of raspberry as an index. The adsorption of flavonoids from raspberry extract, lindenoside, cis-lindenin, quercetin, kaempferol and other flavonoids was completely adsorbed by mixed molecular-imprinted polymer, and the other components were basically adsorbed. When Mix-IMPs were repeatedly used 10 times, the fingerprints showed that the content and content of flavonoids were basically the same. The experimental results show that Mix-IMPs has good adsorption performance, can be recycled and used for rapid enrichment of flavonoids in raspberry.

  11. Preparation, characterization and antimicrobial property of ag+- nano Chitosan/ZSM-5: novel Hybrid Biocomposites

    Directory of Open Access Journals (Sweden)

    Maasoumeh Khatamiana

    2016-10-01

    Full Text Available Objective(s: Binary hybrids of chitosan-zeolite have many interesting applications in separation and bacteriostatic activity. Materials and Methods: Template free ZSM-5 zeolite was synthesized by hydrothermal method, physical hydrogels of nano chitosan in the colloidal domain were obtained in the absence of toxic organic solvent and then nano chitosan/ZSM-5 hybrid composites with nano chitosan contents of 0.35%, 3.5%, 35% wt.% were prepared. The as prepared hybrid composites were ion-exchanged with Ag cations. Results: XRD and FT-IR results revealed a good crystalinity of as synthesized template frees ZSM-5 with BET surface area of 307 m2g-1. Presence of chitosan in composites was confirmed by XRD patterns and FT-IR spectroscopic analysis, the chitosan content in composite was obtained with TG analysis. SEM analysis of composites shows that chitosan particles were dispersed within the nanometer scale. The antimicrobial activity of different samples was investigated and the results showed that the Ag+-exchanged samples have the highest antibacterial properties. Cancer cell line A549 cell line were cultured in designated medium treated with Ag+-exchanged samples at the concentration of 0.01 to 0.5 mg/ml. After 24 and 48 hours incubation, the efficacy of Ag+-exchanged samples to treat cancer cell lines were measured by means of cell viability test via MTT assay. Concentrations of 0.05 and 0.1 mg/ml of Ag+-exchanged samples induced a very low toxicity. Conclusion: These hybrid composite materials have potential applications on tissue engineering and antimicrobial food packaging.

  12. Nano/micro hybrid scaffold of PCL or P3HB nanofibers combined with silk fibroin for tendon and ligament tissue engineering.

    Science.gov (United States)

    Naghashzargar, Elham; Farè, Silvia; Catto, Valentina; Bertoldi, Serena; Semnani, Dariush; Karbasi, Saeed; Tanzi, Maria Cristina

    2015-07-04

    A novel biodegradable nano/micro hybrid structure was obtained by electrospinning P3HB or PCL nanofibers onto a twisted silk fibroin (SF) structure, with the aim of fabricating a suitable scaffold for tendon and ligament tissue engineering. The electrospinning (ES) processing parameters for P3HB and PCL were optimized on 2D samples, and applied to produce two different nano/micro hybrid constructs (SF/ES-PCL and SF/ES-P3HB).Morphological, chemico-physical and mechanical properties of the novel hybrid scaffolds were evaluated by SEM, ATR FT-IR, DSC, tensile and thermodynamic mechanical tests. The results demonstrated that the nanofibers were tightly wrapped around the silk filaments, and the crystallinity of the SF twisted yarns was not influenced by the presence of the electrospun polymers. The slightly higher mechanical properties of the hybrid constructs confirmed an increase of internal forces due to the interaction between nano and micro components. Cell culture tests with L929 fibroblasts, in the presence of the sample eluates or in direct contact with the hybrid structures, showed no cytotoxic effects and a good level of cytocompatibility of the nano/micro hybrid structures in term of cell viability, particularly at day 1. Cell viability onto the nano/micro hybrid structures decreased from the first to the third day of culture when compared with the control culture plastic, but appeared to be higher when compared with the uncoated SF yarns. Although additional in vitro and in vivo tests are needed, the original fabrication method here described appears promising for scaffolds suitable for tendon and ligament tissue engineering.

  13. Epitaxial Ni films, e-beam nano-patterning and BMR

    Science.gov (United States)

    Lukaszew, R. Alejandra; Zhang, Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-05-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts.

  14. Epitaxial Ni films, e-beam nano-patterning and BMR

    International Nuclear Information System (INIS)

    Lukaszew, R.A.; Zhang Zhengdong; Pearson, Dave; Zambano, Antonio

    2004-01-01

    We have attempted to clarify possible domain-wall processes present in the recently reported large ballistic magnetoresistance effects in nano-contacts. To that effect we have used e-beam lithography applied to epitaxial Ni films to fabricate nano-bridges in more controlled geometry than electrochemical deposition. Our preliminary results indicate that magnetic domains do play a role in the magneto-resistance of these nano-bridges but the order of magnitude of the observed effect is considerably smaller than the reported observations in electrochemically prepared nano-contacts

  15. Scalable fabrication of nanostructured devices on flexible substrates using additive driven self-assembly and nanoimprint lithography

    Science.gov (United States)

    Watkins, James

    2013-03-01

    Roll-to-roll (R2R) technologies provide routes for continuous production of flexible, nanostructured materials and devices with high throughput and low cost. We employ additive-driven self-assembly to produce well-ordered polymer/nanoparticle hybrid materials that can serve as active device layers, we use highly filled nanoparticle/polymer hybrids for applications that require tailored dielectric constant or refractive index, and we employ R2R nanoimprint lithography for device scale patterning. Specific examples include the fabrication of flexible floating gate memory and large area films for optical/EM management. Our newly constructed R2R processing facility includes a custom designed, precision R2R UV-assisted nanoimprint lithography (NIL) system and hybrid nanostructured materials coaters.

  16. Cones fabricated by 3D nanoimprint lithography for highly sensitive surface enhanced Raman spectroscopy

    International Nuclear Information System (INIS)

    Wu Wei; Hu Min; Ou Fungsuong; Li Zhiyong; Williams, R Stanley

    2010-01-01

    We demonstrated a cost-effective and deterministic method of patterning 3D cone arrays over a large area by using nanoimprint lithography (NIL). Cones with tip radius of less than 10 nm were successfully duplicated onto the UV-curable imprint resist materials from the silicon cone templates. Such cone structures were shown to be a versatile platform for developing reliable, highly sensitive surface enhanced Raman spectroscopy (SERS) substrates. In contrast to the silicon nanocones, the SERS substrates based on the Au coated cones made by the NIL offered significant improvement of the SERS signal. A further improvement of the SERS signal was observed when the polymer cones were imprinted onto a reflective metallic mirror surface. A sub-zeptomole detection sensitivity for a model molecule, trans-1,2-bis(4-pyridyl)-ethylene (BPE), on the Au coated NIL cone surfaces was achieved.

  17. The effect of soda immersion on nano hybrid composite resin discoloration

    Directory of Open Access Journals (Sweden)

    M. Chair Effendi

    2014-03-01

    Full Text Available Background: Composite resin is the tooth-colored restorative material which most of the people are fond of due to their aesthetic value. The composite resin discoloration may happen because of the intrinsic and extrinsic factors. Soda water is one of the beverages which can cause the composite resin discoloration. Purpose: The study was aimed to determine the effect of soda immersion on nano hybrid composite resin discoloration. Methods: The study was an experimental laboratory study using 100 shade A3 nano hybrid composite resin specimens with the diameter of 5 mm and density of 2mm. The samples were divided into 5 groups, each group was immersed in different beverages. The beverages were mineral water; lemon-flavored soda; strawberry-flavored soda; fruit punch-flavored soda; and orange-flavored soda for 3, 7, 14 and 21 days respectively, in the temperature of 37o C. The discoloration measurement utilizes Spectrophotometer, Vita Easy Shade, and uses CIEL*a*b* method. Results: The result showed that the duration of immersion in soda had an effect on the Nano hybrid composite resin discoloration. Strawberry and fruit punch- flavored soda were the most influential components toward the discoloration. Nevertheless, the generally-occurred discoloration was clinically acceptable (∆E ≤ 3,3. Conclusion: The study suggested that the soda immersion duration has effect on Nano hybrid composite resin discoloration.Latar belakang: Resin komposit adalah material sewarna gigi yang diminati masyarakat karena memiliki nilai estetik yang baik. Perubahan warna resin komposit dapat terjadi karena faktor intrinsik dan ekstrinsik. Minuman soda merupakan salah satu minuman yang dapat menyebabkan perubahan warna pada resin komposit. Tujuan: Tujuan dari penelitian ini untuk meneliti perubahan warna resin komposit nanohibrida akibat perendaman dalam minuman soda. Metode: Metode yang digunakan pada penelitian ini adalah eksperimental laboratorik dengan menggunakan

  18. Maskless, resistless ion beam lithography

    International Nuclear Information System (INIS)

    Ji, Qing

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O 2 + , BF 2 + , P + etc., for surface modification and doping applications. With optimized source condition, around 85% of BF 2 + , over 90% of O 2 + and P + have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He + beam is as high as 440 A/cm 2 · Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O 2 + ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O 2 + ions with the dose of 10 15 cm -2 . The oxide can then serve as a hard mask for patterning of the Si film. The process flow and the experimental results for directly patterned poly-Si features are presented. The formation of shallow pn-junctions in bulk silicon wafers by scanning focused P

  19. Understanding supercapacitors based on nano-hybrid materials with interfacial conjugation

    Institute of Scientific and Technical Information of China (English)

    George Z. Chen

    2013-01-01

    The recent fast development of supercapacitors, also known scientifically as electrochemical capacitors, has benefited significantly from synthesis, characterisations and electrochemistry of nanoma-terials. Herein, the principle of supercapacitors is explained in terms of performance characteristics and charge storage mechanisms, i.e. double layer (or interfacial) capacitance and pseudo-capacitance. The semiconductor band model is applied to qualitatively account for the pseudo-capacitance in association with rectangular cyclic voltammograms (CVs) and linear galvanostatic charging and discharging plots (GCDs), aiming to differentiate supercapacitors from rechargeable batteries. The invalidity of using peak shaped CVs and non-linear GCDs for capacitance measurement is highlighted. A selective review is given to the nano-hybrid materials between carbon nanotubes and redox active materials such as electronically conducting polymers and transition metal oxides. A new concept,“interfacial conjugation”, is introduced to reflect the capacitance enhancement resulting from π-π stacking interactions at the interface between two materials with highly conjugated chemical bonds. The prospects of carbon nanotubes and graphenes for supercapacitor applications are briefly compared and discussed. Hopefully, this article can help readers to understand supercapacitors and nano-hybrid materials so that further developments in materials design and synthesis, and device engineering can be more efficient and objective.

  20. Replication of cicada wing's nano-patterns by hot embossing and UV nanoimprinting

    International Nuclear Information System (INIS)

    Hong, Sung-Hoon; Hwang, Jaeyeon; Lee, Heon

    2009-01-01

    The hydrophobicity of the cicada wing originates from its naturally occurring, surface nano-structure. The nano-structure of the cicada wing consists of an array of nano-sized pillars, 100 nm in diameter and 300 nm in height. In this study, the nano-structure of the cicada wing was successfully duplicated by using hot embossing lithography and UV nanoimprint lithography (NIL). The diameter and pitch of replication were the same as those of the original cicada wing and the height was a little smaller than that of the original master. The transmittance of the hot embossed PVC film was increased by 2-6% compared with that of the bare PVC film. The hydrophobicity was measured by water contact angle measurements. The water contact angle of the replica, made of UV cured polymer, was 132 0 ± 2 0 , which was slightly lower than that of the original cicada wing (138 0 ± 2 0 ), but much higher than that of the UV cured polymer surface without any nano-sized pillars (86 0 ).

  1. The fabrication and single electron transport of Au nano-particles placed between Nb nanogap electrodes

    International Nuclear Information System (INIS)

    Nishino, T; Negishi, R; Ishibashi, K; Kawao, M; Nagata, T; Ozawa, H

    2010-01-01

    We have fabricated Nb nanogap electrodes using a combination of molecular lithography and electron beam lithography. Au nano-particles with anchor molecules were placed in the gap, the width of which could be controlled on a molecular scale (∼2 nm). Three different anchor molecules which connect the Au nano-particles and the electrodes were tested to investigate their contact resistance, and a local gate was fabricated underneath the Au nano-particles. The electrical transport measurements at liquid helium temperatures indicated single electron transistor (SET) characteristics with a charging energy of about ∼ 5 meV, and a clear indication of the effect of superconducting electrodes was not observed, possibly due to the large tunnel resistance.

  2. Highly selective solid phase extraction and preconcentration of Azathioprine with nano-sized imprinted polymer based on multivariate optimization and its trace determination in biological and pharmaceutical samples

    Energy Technology Data Exchange (ETDEWEB)

    Davarani, Saied Saeed Hosseiny, E-mail: ss-hosseiny@cc.sbu.ac.ir [Faculty of Chemistry, Shahid Beheshti University, G. C., P.O. Box 19839-4716, Tehran (Iran, Islamic Republic of); Rezayati zad, Zeinab [Faculty of Chemistry, Shahid Beheshti University, G. C., P.O. Box 19839-4716, Tehran (Iran, Islamic Republic of); Taheri, Ali Reza; Rahmatian, Nasrin [Islamic Azad University, Ilam Branch, Ilam (Iran, Islamic Republic of)

    2017-02-01

    In this research, for first time selective separation and determination of Azathioprine is demonstrated using molecularly imprinted polymer as the solid-phase extraction adsorbent, measured by spectrophotometry at λ{sub max} 286 nm. The selective molecularly imprinted polymer was produced using Azathioprine and methacrylic acid as a template molecule and monomer, respectively. A molecularly imprinted solid-phase extraction procedure was performed in column for the analyte from pharmaceutical and serum samples. The synthesized polymers were characterized by infrared spectroscopy (IR), field emission scanning electron microscopy (FESEM). In order to investigate the effect of independent variables on the extraction efficiency, the response surface methodology (RSM) based on Box–Behnken design (BBD) was employed. The analytical parameters such as precision, accuracy and linear working range were also determined in optimal experimental conditions and the proposed method was applied to analysis of Azathioprine. The linear dynamic range and limits of detection were 2.5–0.01 and 0.008 mg L{sup ‐1} respectively. The recoveries for analyte were higher than 95% and relative standard deviation values were found to be in the range of 0.83–4.15%. This method was successfully applied for the determination of Azathioprine in biological and pharmaceutical samples. - Graphical abstract: A new-nano sized imprinted polymer was synthesized and applied as sorbent in SPE in order to selective recognition, preconcentration, and determination of Azathioprine with the response surface methodology based on Box–Behnken design and was successfully investigated for the clean-up of human blood serum and pharmaceutical samples. - Highlights: • The nanosized-imprinted polymer has been synthesized by precipitation polymerization technique. • A molecularly imprinted solid-phase extraction procedure was performed for determination of Azathioprine. • The Azathioprine

  3. Highly selective solid phase extraction and preconcentration of Azathioprine with nano-sized imprinted polymer based on multivariate optimization and its trace determination in biological and pharmaceutical samples

    International Nuclear Information System (INIS)

    Davarani, Saied Saeed Hosseiny; Rezayati zad, Zeinab; Taheri, Ali Reza; Rahmatian, Nasrin

    2017-01-01

    In this research, for first time selective separation and determination of Azathioprine is demonstrated using molecularly imprinted polymer as the solid-phase extraction adsorbent, measured by spectrophotometry at λ max 286 nm. The selective molecularly imprinted polymer was produced using Azathioprine and methacrylic acid as a template molecule and monomer, respectively. A molecularly imprinted solid-phase extraction procedure was performed in column for the analyte from pharmaceutical and serum samples. The synthesized polymers were characterized by infrared spectroscopy (IR), field emission scanning electron microscopy (FESEM). In order to investigate the effect of independent variables on the extraction efficiency, the response surface methodology (RSM) based on Box–Behnken design (BBD) was employed. The analytical parameters such as precision, accuracy and linear working range were also determined in optimal experimental conditions and the proposed method was applied to analysis of Azathioprine. The linear dynamic range and limits of detection were 2.5–0.01 and 0.008 mg L ‐1 respectively. The recoveries for analyte were higher than 95% and relative standard deviation values were found to be in the range of 0.83–4.15%. This method was successfully applied for the determination of Azathioprine in biological and pharmaceutical samples. - Graphical abstract: A new-nano sized imprinted polymer was synthesized and applied as sorbent in SPE in order to selective recognition, preconcentration, and determination of Azathioprine with the response surface methodology based on Box–Behnken design and was successfully investigated for the clean-up of human blood serum and pharmaceutical samples. - Highlights: • The nanosized-imprinted polymer has been synthesized by precipitation polymerization technique. • A molecularly imprinted solid-phase extraction procedure was performed for determination of Azathioprine. • The Azathioprine-molecular imprinting

  4. Self-assembled hybrid materials based on conjugated polymers and semiconductors nano-crystals for plastic solar cells

    International Nuclear Information System (INIS)

    Girolamo, J. de

    2007-11-01

    This work is devoted to the elaboration of self-assembled hybrid materials based on poly(3- hexyl-thiophene) and CdSe nano-crystals for photovoltaic applications. For that, complementary molecular recognition units were introduced as side chain groups on the polymer and at the nano-crystals' surface. Diamino-pyrimidine groups were introduced by post-functionalization of a precursor copolymer, namely poly(3-hexyl-thiophene-co-3- bromo-hexyl-thiophene) whereas thymine groups were introduced at the nano-crystals' surface by a ligand exchange reaction with 1-(6-mercapto-hexyl)thymine. However, due to their different solubility, the mixing of the two components by solution processes is difficult. A 'one-pot' procedure was developed, but this method led to insoluble aggregates without control of the hybrid composition. To overcome the solubility problem, the layer-by-layer method was used to prepare the films. This method allows a precise control of the deposition process. Experimental parameters were tested in order to evaluate their impact on the resulting film. The films morphology was investigated by microscopy and X-Ray diffraction techniques. These analyses reveal an interpenetrated structure of nano-crystals within the polymer matrix rather than a multilayered structure. Electrochemical and spectro electrochemical studies were performed on the hybrid material deposited by the LBL process. Finally the materials were tested in a solar cell configuration and the I=f(V) curves reveals a clear photovoltaic behaviour. (author)

  5. Fabrication of Nano-Micro Hybrid Structures by Replication and Surface Treatment of Nanowires

    Directory of Open Access Journals (Sweden)

    Yeonho Jeong

    2017-07-01

    Full Text Available Nanowire structures have attracted attention in various fields, since new characteristics could be acquired in minute regions. Especially, Anodic Aluminum Oxide (AAO is widely used in the fabrication of nanostructures, which has many nanosized pores and well-organized nano pattern. Using AAO as a template for replication, nanowires with a very high aspect ratio can be fabricated. Herein, we propose a facile method to fabricate a nano-micro hybrid structure using nanowires replicated from AAO, and surface treatment. A polymer resin was coated between Polyethylene terephthalate (PET and the AAO filter, roller pressed, and UV-cured. After the removal of aluminum by using NaOH solution, the nanowires aggregated to form a micropattern. The resulting structure was subjected to various surface treatments to investigate the surface behavior and wettability. As opposed to reported data, UV-ozone treatment can enhance surface hydrophobicity because the UV energy affects the nanowire surface, thus altering the shape of the aggregated nanowires. The hydrophobicity of the surface could be further improved by octadecyltrichlorosilane (OTS coating immediately after UV-ozone treatment. We thus demonstrated that the nano-micro hybrid structure could be formed in the middle of nanowire replication, and then, the shape and surface characteristics could be controlled by surface treatment.

  6. Investigation of mechanical properties of hemp/glass fiber reinforced nano clay hybrid composites

    Science.gov (United States)

    Unki, Hanamantappa Ningappa; Shivanand, H. K.; Vidyasagar, H. N.

    2018-04-01

    Over the last twenty to thirty years composite materials have been used in engineering field. Composite materials possess high strength, high strength to weight ratio due to these facts composite materials are becoming popular among researchers and scientists. The major proportion of engineering materials consists of composite materials. Composite materials are used in vast applications ranging from day-to-day household articles to highly sophisticated applications. In this paper an attempt is made to prepare three different composite materials using e-glass and Hemp. In this present investigation hybrid composite of Hemp, Glass fiber and Nano clay will be prepared by Hand-layup technique. The glass fiber used in this present investigation is E-glass fiber bi-directional: 90˚ orientation. The composite samples will be made in the form of a Laminates. The wt% of nanoclay added in the preparation of sample is 20 gm constant. The fabricated composite Laminate will be cut into corresponding profiles as per ASTM standards for Mechanical Testing. The effect of addition of Nano clay and variation of Hemp/glass fibers will be studied. In the present work, a new Hybrid composite is developed in which Hemp, E glass fibers is reinforced with epoxy resin and with Nano clay.

  7. Electrochemical sensor for catechol and dopamine based on a catalytic molecularly imprinted polymer-conducting polymer hybrid recognition element.

    Science.gov (United States)

    Lakshmi, Dhana; Bossi, Alessandra; Whitcombe, Michael J; Chianella, Iva; Fowler, Steven A; Subrahmanyam, Sreenath; Piletska, Elena V; Piletsky, Sergey A

    2009-05-01

    One of the difficulties with using molecularly imprinted polymers (MIPs) and other electrically insulating materials as the recognition element in electrochemical sensors is the lack of a direct path for the conduction of electrons from the active sites to the electrode. We have sought to address this problem through the preparation and characterization of novel hybrid materials combining a catalytic MIP, capable of oxidizing the template, catechol, with an electrically conducting polymer. In this way a network of "molecular wires" assists in the conduction of electrons from the active sites within the MIP to the electrode surface. This was made possible by the design of a new monomer that combines orthogonal polymerizable functionality; comprising an aniline group and a methacrylamide. Conducting films were prepared on the surface of electrodes (Au on glass) by electropolymerization of the aniline moiety. A layer of MIP was photochemically grafted over the polyaniline, via N,N'-diethyldithiocarbamic acid benzyl ester (iniferter) activation of the methacrylamide groups. Detection of catechol by the hybrid-MIP sensor was found to be specific, and catechol oxidation was detected by cyclic voltammetry at the optimized operating conditions: potential range -0.6 V to +0.8 V (vs Ag/AgCl), scan rate 50 mV/s, PBS pH 7.4. The calibration curve for catechol was found to be linear to 144 microM, with a limit of detection of 228 nM. Catechol and dopamine were detected by the sensor, whereas analogues and potentially interfering compounds, including phenol, resorcinol, hydroquinone, serotonin, and ascorbic acid, had minimal effect (< or = 3%) on the detection of either analyte. Non-imprinted hybrid electrodes and bare gold electrodes failed to give any response to catechol at concentrations below 0.5 mM. Finally, the catalytic properties of the sensor were characterized by chronoamperometry and were found to be consistent with Michaelis-Menten kinetics.

  8. Synthesis of new aluminum nano hybrid composite liner for energy saving in diesel engines

    International Nuclear Information System (INIS)

    Tiruvenkadam, N.; Thyla, P.R.; Senthilkumar, M.; Bharathiraja, M.; Murugesan, A.

    2015-01-01

    Highlights: • Nano hybrid composite cylinder liner (NL) was developed to replace cast iron liner. • NL improved engine performance, combustion and reduced emissions except NO x . • Teardown analysis provides the suitability of NL for diesel engine. • The developed aluminum NL saved 43.75% of weight than cast iron cylinder liner. - Abstract: This work aims to replace the conventional cast iron cylinder liner (CL) in diesel engine by introducing lightweight aluminum (Al) 6061 nano hybrid composite cylinder liner (NL) by analyzing the performance, combustion, and emission characteristics of an engine. NL was fabricated by bottom pouring stir casting technique with nano- and micro-reinforcement materials. Experimental results proved that the use of NL increased brake thermal efficiency, in-cylinder pressure, heat release rate, and reduced carbon monoxide, hydrocarbon, and smoke emission in comparison with CL. However, oxides of nitrogen slightly increased with the use of the new liner. No differences in wear or other issues were noted during the engine teardown after 1 year of operation and 2000 h of running. Thus, NL has been recommended to replace the CL to save the energy and to reap environmental benefits

  9. Multiple electromechanically-induced-transparency windows and Fano resonances in hybrid nano-electro-optomechanics

    Science.gov (United States)

    Ullah, Kamran; Jing, Hui; Saif, Farhan

    2018-03-01

    We show multiple electromechanically-induced transparency (EMIT) windows in a hybrid nano-electro-optomechanical system in the presence of two-level atoms coupled to a single-mode cavity field. The multiple EMIT-window profile can be observed by controlling the atom field coupling as well as Coulomb coupling between the two charged mechanical resonators. We derive the analytical expression of the multiple-EMIT-windows profile and describe the splitting of multiple EMIT windows as a function of optomechanical coupling, atom-field coupling, and Coulomb coupling. In particular, we discuss the robustness of the system against the cavity decay rate. We compare the results of identical mechanical resonators to different mechanical resonators. We further show how the hybrid nano-electro-optomechanics coupled system can lead to the splitting of the multiple Fano resonances (MFR). The Fano resonances are very sensitive to decay terms in such systems, i.e., atoms, cavities, and the mechanical resonators.

  10. A versatile nanotechnology to connect individual nano-objects for the fabrication of hybrid single-electron devices

    International Nuclear Information System (INIS)

    Bernand-Mantel, A; Bouzehouane, K; Seneor, P; Fusil, S; Deranlot, C; Petroff, F; Fert, A; Brenac, A; Notin, L; Morel, R

    2010-01-01

    We report on the high yield connection of single nano-objects as small as a few nanometres in diameter to separately elaborated metallic electrodes, using a 'table-top' nanotechnology. Single-electron transport measurements validate that transport occurs through a single nano-object. The vertical geometry of the device natively allows an independent choice of materials for each electrode and the nano-object. In addition ferromagnetic materials can be used without encountering oxidation problems. The possibility of elaborating such hybrid nanodevices opens new routes for the democratization of spintronic studies in low dimensions.

  11. Nano-Structured Bio-Inorganic Hybrid Material for High Performing Oxygen Reduction Catalyst.

    Science.gov (United States)

    Jiang, Rongzhong; Tran, Dat T; McClure, Joshua P; Chu, Deryn

    2015-08-26

    In this study, we demonstrate a non-Pt nanostructured bioinorganic hybrid (BIH) catalyst for catalytic oxygen reduction in alkaline media. This catalyst was synthesized through biomaterial hemin, nanostructured Ag-Co alloy, and graphene nano platelets (GNP) by heat-treatment and ultrasonically processing. This hybrid catalyst has the advantages of the combined features of these bio and inorganic materials. A 10-fold improvement in catalytic activity (at 0.8 V vs RHE) is achieved in comparison of pure Ag nanoparticles (20-40 nm). The hybrid catalyst reaches 80% activity (at 0.8 V vs RHE) of the state-of-the-art catalyst (containing 40% Pt and 60% active carbon). Comparable catalytic stability for the hybrid catalyst with the Pt catalyst is observed by chronoamperometric experiment. The hybrid catalyst catalyzes 4-electron oxygen reduction to produce water with fast kinetic rate. The rate constant obtained from the hybrid catalyst (at 0.6 V vs RHE) is 4 times higher than that of pure Ag/GNP catalyst. A catalytic model is proposed to explain the oxygen reduction reaction at the BIH catalyst.

  12. Two-year clinical comparison of a flowable-type nano-hybrid composite and a paste-type composite in posterior restoration.

    Science.gov (United States)

    Hirata-Tsuchiya, Shizu; Yoshii, Shinji; Ichimaru-Suematsu, Miki; Washio, Ayako; Saito, Noriko; Urata, Mariko; Hanada, Kaori; Morotomi, Takahiko; Kitamura, Chiaki

    2017-08-01

    The purpose of the present study was to compare the clinical efficacy between a flowable-type nano-hybrid composite and a paste-type composite for posterior restoration. Of 62 posterior teeth in 33 patients (mean age: 34.1 years), 31 were filled with a paste-type composite (Heliomolar [HM] group), and another 31 with a flowable nano-hybrid composite (MI FIL [MI] group). Clinical efficacy was evaluated at 2 years after the restoration. There were no differences for retention, surface texture deterioration, anatomical form change, deterioration of marginal adaptation, and secondary caries, while a statistical difference was found for marginal discoloration, which was significantly greater in the HM group (P < 0.05). Furthermore, color matching in the MI group was superior to that in the HM group immediately after the restoration throughout the study period. The present 2-year clinical evaluation of different composites showed that the flowable nano-hybrid composite could be an effective esthetic material for posterior restoration. © 2016 John Wiley & Sons Australia, Ltd.

  13. Fluid management in roll-to-roll nanoimprint lithography

    Science.gov (United States)

    Jain, A.; Bonnecaze, R. T.

    2013-06-01

    The key process parameters of UV roll-to-roll nanoimprint lithography are identified from an analysis of the fluid, curing, and peeling dynamics. The process includes merging of droplets of imprint material, curing of the imprint material from a viscous liquid to elastic solid resist, and pattern replication and detachment of the resist from template. The time and distances on the web or rigid substrate over which these processes occur are determined as function of the physical properties of the uncured liquid, the cured solid, and the roller configuration. The upper convected Maxwell equation is used to model the viscoelastic liquid and to calculate the force on the substrate and the torque on the roller. The available exposure time is found to be the rate limiting parameter and it is O(√Rho /uo), where R is the radius of the roller, ho is minimum gap between the roller and web, and uo is the velocity of the web. The residual layer thickness of the resist should be larger than the gap between the roller and the substrate to ensure complete feature filling and optimal pattern replication. For lower residual layer thickness, the droplets may not merge to form a continuous film for pattern transfer.

  14. A molecularly imprinted dual-emission carbon dot-quantum dot mesoporous hybrid for ratiometric determination of anti-inflammatory drug celecoxib

    Science.gov (United States)

    Amjadi, Mohammad; Jalili, Roghayeh

    2018-02-01

    We report on a ratiometric fluorescent sensor based on dual-emission molecularly imprinted mesoporous silica embedded with carbon dots and CdTe quantum dots (mMIP@CDs/QDs) for celecoxib (CLX) as target molecule. The fluorescence of the embedded CDs is insensitive to the analyte while the green emissive QDs are selectively quenched by it. This effect is much stronger for the MIP than for the non-imprinted polymer, which indicates a good recognition ability of the mesoporous MIP. The hybrid sensor also exhibited good selectivity to CLX over other substances. The ratio of the intensity at two wavelengths (F550/F440) proportionally decreased with the increasing of CLX concentration in the range of 0.08-0.90 μM. A detection limit as low as 57 nM was achieved. Experimental results testified that this sensor was highly sensitive and selective for the detection of CLX in human serum samples.

  15. Design and Synthesis of Mono- and Bi-phasic Nano hybrids for Simultaneous Release of Two Active Agents

    International Nuclear Information System (INIS)

    Mohd Zobir Hussein; Abdul Rahman, N.S.S.; Siti Halimah Sarijo

    2011-01-01

    Organic-Inorganic nano hybrid materials, especially of host-guest types exhibit an excellent opportunity for a wide range of organic active agents for the formation of organic-inorganic nano hybrids which may find potential uses with tailor made application. A number of groups have studied the agrochemical intercalates of LDHs as potential reservoir and controlled release system. Agrochemicals, in particular herbicides such as 4-(2,4-dichlorophenoxy)butyrate (DPBA) and 2-(3- chlorophenoxy)propionate (CPPA) are commonly used in agriculture sector. Simultaneous incorporation of both phenoxy herbicides anions into Zn-Al-LDH (ZAL) have been successfully prepared by direct co-precipitation method, labeled as NCDD. Both anions were intercalated simultaneously into the inorganic ZAL interlayers and X-ray diffraction data reveal that the basal spacing increased from 8.9 to 25.1 Angstrom upon the intercalation. PXRD patterns of single anion intercalation using CPPA and DPBA labeled as NC and ND nano hybrid, respectively was simulated and found that the PXRD patterns composed of 90 % ND and 10 % NC and this show relatively similar PXRD features to that of NCDD nano hybrid. This indicates that NCDD is possibly composed of mixed phases of each of NC and ND. UV-VIS spectroscopy study shows the percentage loading of CPPA and DPBA is 2.5 % (w/w) and 41.4 % (w/w), respectively. These values are equivalent to about 5.7 % and 94.3 % contribution of CPPA and DPBA, which agree nicely with the values obtained from simulated PXRD patterns. The simultaneous release of the two herbicides from its nano hybrid exhibit different release kinetics, where DPBA shows higher percentage release than CPPA. The release process was found to be controlled by pseudo-second order kinetic. The results presented show that the intercalation and release of the dual herbicides are influenced by the anion size. The abundance of DPBA anion between the ZAL interlayer is due to its higher affinity towards LDH

  16. Imprinting.

    Science.gov (United States)

    McCabe, Brian J

    2013-07-01

    Imprinting is a type of learning by which an animal restricts its social preferences to an object after exposure to that object. Filial imprinting occurs shortly after birth or hatching and sexual imprinting, around the onset of sexual maturity; both have sensitive periods. This review is concerned mainly with filial imprinting. Filial imprinting in the domestic chick is an effective experimental system for investigating mechanisms underlying learning and memory. Extensive evidence implicates a restricted part of the chick forebrain, the intermediate and medial mesopallium (IMM), as a memory store for visual imprinting. After imprinting to a visual stimulus, neuronal responsiveness in IMM is specifically biased toward the imprinting stimulus. Both this bias and the strength of imprinting measured behaviorally depend on uninterrupted sleep shortly after training. When learning-related changes in IMM are lateralized they occur predominantly or completely on the left side. Ablation experiments indicate that the left IMM is responsible for long-term storage of information about the imprinting stimulus; the right side is also a store but additionally is necessary for extra storage outside IMM, in a region necessary for flexible use of information acquired through imprinting. Auditory imprinting gives rise to biochemical, neuroanatomical, and electrophysiological changes in the medio-rostral nidopallium/mesopallium, anterior to IMM. Auditory imprinting has not been shown to produce learning-related changes in IMM. Imprinting may be facilitated by predispositions. Similar predispositions for faces and biological motion occur in domestic chicks and human infants. WIREs Cogn Sci 2013, 4:375-390. doi: 10.1002/wcs.1231 For further resources related to this article, please visit the WIREs website. Copyright © 2013 John Wiley & Sons, Ltd.

  17. A facile molecularly imprinted polymer-based fluorometric assay for detection of histamine

    DEFF Research Database (Denmark)

    Feng, Xiaotong; Ashley, Jon; Zhou, Tongchang

    2018-01-01

    urgently needed. In this paper, we developed a facile and cost-effective molecularly imprinted polymer (MIP)-based fluorometric assay to directly quantify histamine. Histamine-specific MIP nanoparticles (nanoMIPs) were synthesized using a modified solid-phase synthesis method. They were then immobilized...

  18. Topology optimization for optical projection lithography with manufacturing uncertainties

    DEFF Research Database (Denmark)

    Zhou, Mingdong; Lazarov, Boyan Stefanov; Sigmund, Ole

    2014-01-01

    to manufacturing without additional optical proximity correction (OPC). The performance of the optimized device is robust toward the considered process variations. With the proposed unified approach, the design for photolithography is achieved by considering the optimal device performance and manufacturability......This article presents a topology optimization approach for micro-and nano-devices fabricated by optical projection lithography. Incorporating the photolithography process and the manufacturing uncertainties into the topology optimization process results in a binary mask that can be sent directly...

  19. Nanomanufacturing : nano-structured materials made layer-by-layer.

    Energy Technology Data Exchange (ETDEWEB)

    Cox, James V.; Cheng, Shengfeng; Grest, Gary Stephen; Tjiptowidjojo, Kristianto (University of New Mexico); Reedy, Earl David, Jr.; Fan, Hongyou; Schunk, Peter Randall; Chandross, Michael Evan; Roberts, Scott A.

    2011-10-01

    Large-scale, high-throughput production of nano-structured materials (i.e. nanomanufacturing) is a strategic area in manufacturing, with markets projected to exceed $1T by 2015. Nanomanufacturing is still in its infancy; process/product developments are costly and only touch on potential opportunities enabled by growing nanoscience discoveries. The greatest promise for high-volume manufacturing lies in age-old coating and imprinting operations. For materials with tailored nm-scale structure, imprinting/embossing must be achieved at high speeds (roll-to-roll) and/or over large areas (batch operation) with feature sizes less than 100 nm. Dispersion coatings with nanoparticles can also tailor structure through self- or directed-assembly. Layering films structured with these processes have tremendous potential for efficient manufacturing of microelectronics, photovoltaics and other topical nano-structured devices. This project is designed to perform the requisite R and D to bring Sandia's technology base in computational mechanics to bear on this scale-up problem. Project focus is enforced by addressing a promising imprinting process currently being commercialized.

  20. A Review on Potentiality of Nano Filler/Natural Fiber Filled Polymer Hybrid Composites

    Directory of Open Access Journals (Sweden)

    Naheed Saba

    2014-08-01

    Full Text Available The increasing demand for greener and biodegradable materials leading to the satisfaction of society requires a compelling towards the advancement of nano-materials science. The polymeric matrix materials with suitable and proper filler, better filler/matrix interaction together with advanced and new methods or approaches are able to develop polymeric composites which shows great prospective applications in constructions and buildings, automotive, aerospace and packaging industries. The biodegradability of the natural fibers is considered as the most important and interesting aspects of their utilization in polymeric materials. Nanocomposite shows considerable applications in different fields because of larger surface area, and greater aspect ratio, with fascinating properties. Being environmentally friendly, applications of nanocomposites offer new technology and business opportunities for several sectors, such as aerospace, automotive, electronics, and biotechnology industries. Hybrid bio-based composites that exploit the synergy between natural fibers in a nano-reinforced bio-based polymer can lead to improved properties along with maintaining environmental appeal. This review article intended to present information about diverse classes of natural fibers, nanofiller, cellulosic fiber based composite, nanocomposite, and natural fiber/nanofiller-based hybrid composite with specific concern to their applications. It will also provide summary of the emerging new aspects of nanotechnology for development of hybrid composites for the sustainable and greener environment.

  1. Maskless, resistless ion beam lithography

    Energy Technology Data Exchange (ETDEWEB)

    Ji, Qing [Univ. of California, Berkeley, CA (United States)

    2003-01-01

    As the dimensions of semiconductor devices are scaled down, in order to achieve higher levels of integration, optical lithography will no longer be sufficient for the needs of the semiconductor industry. Alternative next-generation lithography (NGL) approaches, such as extreme ultra-violet (EUV), X-ray, electron-beam, and ion projection lithography face some challenging issues with complicated mask technology and low throughput. Among the four major alternative NGL approaches, ion beam lithography is the only one that can provide both maskless and resistless patterning. As such, it can potentially make nano-fabrication much simpler. This thesis investigates a focused ion beam system for maskless, resistless patterning that can be made practical for high-volume production. In order to achieve maskless, resistless patterning, the ion source must be able to produce a variety of ion species. The compact FIB system being developed uses a multicusp plasma ion source, which can generate ion beams of various elements, such as O2+, BF2+, P+ etc., for surface modification and doping applications. With optimized source condition, around 85% of BF2+, over 90% of O2+ and P+ have been achieved. The brightness of the multicusp-plasma ion source is a key issue for its application to maskless ion beam lithography. It can be substantially improved by optimizing the source configuration and extractor geometry. Measured brightness of 2 keV He+ beam is as high as 440 A/cm2 • Sr, which represents a 30x improvement over prior work. Direct patterning of Si thin film using a focused O2+ ion beam has been investigated. A thin surface oxide film can be selectively formed using 3 keV O2+ ions with the dose of 1015 cm-2. The oxide can then serve as a hard mask for patterning of the Si film. The

  2. Self-assembly and transformation of hybrid nano-objects and nanostructures under equilibrium and non-equilibrium conditions

    Science.gov (United States)

    Mann, Stephen

    2009-10-01

    Understanding how chemically derived processes control the construction and organization of matter across extended and multiple length scales is of growing interest in many areas of materials research. Here we review present equilibrium and non-equilibrium self-assembly approaches to the synthetic construction of discrete hybrid (inorganic-organic) nano-objects and higher-level nanostructured networks. We examine a range of synthetic modalities under equilibrium conditions that give rise to integrative self-assembly (supramolecular wrapping, nanoscale incarceration and nanostructure templating) or higher-order self-assembly (programmed/directed aggregation). We contrast these strategies with processes of transformative self-assembly that use self-organizing media, reaction-diffusion systems and coupled mesophases to produce higher-level hybrid structures under non-equilibrium conditions. Key elements of the constructional codes associated with these processes are identified with regard to existing theoretical knowledge, and presented as a heuristic guideline for the rational design of hybrid nano-objects and nanomaterials.

  3. Optimisation of the synthesis of vancomycin-selective molecularly imprinted polymer nanoparticles using automatic photoreactor

    OpenAIRE

    Muzyka, Kateryna; Karim, Khalku; Guerreiro, Antonio; Poma, Alessandro; Piletsky, Sergey

    2014-01-01

    A novel optimized protocol for solid-state synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) with specificity for antibiotic vancomycin is described. The experimental objective was optimization of the synthesis parameters (factors) affecting the yield of obtained nanoparticles which have been synthesized using the first prototype of an automated solid-phase synthesizer. Applications of experimental design (or design of experiments) in optimization of nanoMIP yield were carri...

  4. A Novel Silicon-based Wideband RF Nano Switch Matrix Cell and the Fabrication of RF Nano Switch Structures

    Directory of Open Access Journals (Sweden)

    Yi Xiu YANG

    2011-12-01

    Full Text Available This paper presents the concept of RF nano switch matrix cell and the fabrication of RF nano switch. The nano switch matrix cell can be implemented into complex switch matrix for signal routing. RF nano switch is the decision unit for the matrix cell; in this research, it is fabricated on a tri-layer high-resistivity-silicon substrate using surface micromachining approach. Electron beam lithography is introduced to define the pattern and IC compatible deposition process is used to construct the metal layers. Silicon-based nano switch fabricated by IC compatible process can lead to a high potential of system integration to perform a cost effective system-on-a-chip solution. In this paper, simulation results of the designed matrix cell are presented; followed by the details of the nano structure fabrication and fabrication challenges optimizations; finally, measurements of the fabricated nano structure along with analytical discussions are also discussed.

  5. Evolution and Engineering of Precisely Controlled Ge Nanostructures on Scalable Array of Ordered Si Nano-pillars

    Science.gov (United States)

    Wang, Shuguang; Zhou, Tong; Li, Dehui; Zhong, Zhenyang

    2016-06-01

    The scalable array of ordered nano-pillars with precisely controllable quantum nanostructures (QNs) are ideal candidates for the exploration of the fundamental features of cavity quantum electrodynamics. It also has a great potential in the applications of innovative nano-optoelectronic devices for the future quantum communication and integrated photon circuits. Here, we present a synthesis of such hybrid system in combination of the nanosphere lithography and the self-assembly during heteroepitaxy. The precise positioning and controllable evolution of self-assembled Ge QNs, including quantum dot necklace(QDN), QD molecule(QDM) and quantum ring(QR), on Si nano-pillars are readily achieved. Considering the strain relaxation and the non-uniform Ge growth due to the thickness-dependent and anisotropic surface diffusion of adatoms on the pillars, the comprehensive scenario of the Ge growth on Si pillars is discovered. It clarifies the inherent mechanism underlying the controllable growth of the QNs on the pillar. Moreover, it inspires a deliberate two-step growth procedure to engineer the controllable QNs on the pillar. Our results pave a promising avenue to the achievement of desired nano-pillar-QNs system that facilitates the strong light-matter interaction due to both spectra and spatial coupling between the QNs and the cavity modes of a single pillar and the periodic pillars.

  6. Derivation of hybrid ES cell lines from two different strains of mice

    Directory of Open Access Journals (Sweden)

    Ho-Tak Lau

    2016-03-01

    Full Text Available Parental origin-dependent expression of the imprinted genes is essential for mammalian development. Zfp57 maintains genomic imprinting in mouse embryos and ES cells. To examine the allelic expression patterns of the imprinted genes in ES cells, we obtained multiple hybrid ES clones that were directly derived from the blastocysts generated from the cross between mice on two different genetic backgrounds. The blastocyst-derived ES clones displayed largely intact DNA methylation imprint at the tested imprinted regions. These hybrid ES clones will be useful for future studies to examine the allelic expression of the imprinted genes in ES cells and their differentiated progeny.

  7. Patterning and photoluminescent properties of perovskite-type organic/inorganic hybrid luminescent films by soft lithography

    Science.gov (United States)

    Cheng, Z. Y.; Wang, Z.; Xing, R. B.; Han, Y. C.; Lin, J.

    2003-07-01

    Perovskite-type organic/inorganic hybrid layered compound (C 6H 5C 2H 4NH 3) 2PbI 4 was synthesized. The patterning of (C 6H 5C 2H 4NH 3) 2PbI 4 thin films on silicon substrate was realized by the micromolding in capillaries (MIMIC) process, a kind of soft lithography. Bright green luminescent stripes with different widths (50, 15, 0.8 μm) have been obtained. The structure and optical properties of (C 6H 5C 2H 4NH 3) 2PbI 4 films were characterized by X-ray diffraction (XRD), UV/Vis absorption and photoluminescence excitation and emission spectra, respectively. It is shown that the organic-inorganic layered (C 6H 5C 2H 4NH 3) 2PbI 4 film was c-axis oriented, paralleling to the substrate plane. Green exciton emission at 525 nm was observed in the film, and the explanations for it were given.

  8. Synthesis of bulk ion-imprinted polymers (IIPs) embedded with oleic ...

    African Journals Online (AJOL)

    A selective and reliable method for the extraction of trace quantities of U(VI) by the use of a magnetic U(VI) ion-imprinted polymer (IIP) was developed. In this study, oleic acid (OA) coated magnetite nano-particles were incorporated into the cross-linked polymeric matrix of the selective sorbent, in order to gain the physical ...

  9. Progress in coherent lithography using table-top extreme ultraviolet lasers

    Science.gov (United States)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  10. Effect of different polishing systems on the surface roughness of nano-hybrid composites.

    Science.gov (United States)

    Patel, Brijesh; Chhabra, Naveen; Jain, Disha

    2016-01-01

    The study aimed to investigate the influence of different polishing systems on the surface roughness of nano-hybrid composite resins. Different shapes of polishing systems are available according to the site of work. To minimize variability, a new system with single shape is developed that can be utilized in both anterior as well as posterior teeth. Seventy composite discs were fabricated using Teflon well (10 mm × 3 mm). Two main group of nano-hybrid composite Group I - Filtek Z350 and Group II - Tetric N-Ceram were used (n = 35 for each group). Both groups were further divided into four subgroups. Subgroup a - OneGloss (n = 10), Subgroup b - PoGo (n = 10), Subgroup c - Sof-Lex spiral (n = 10), Subgroup d - Mylar strip (control, n = 5). Samples were polished according to the manufacturer's recommendations. Surface roughness test was performed using contact profilometer. The obtained data were analyzed using the one-way analysis of variance test. Tetric N-Ceram produced smoother surfaces than Filtek Z350 (P OneGloss" (P OneGloss" and "Sof-Lex Spiral."

  11. Combined nano-imprint and photolithography (CNP) of integrated polymer optics

    DEFF Research Database (Denmark)

    Christiansen, Mads Brøkner; Schøler, Mikkel; Kristensen, Anders

    2007-01-01

    is softened by heating, and UV exposed. Hereby the mm to /mi sized features are defined by the UV exposure through the metal mask, while nm-scale features are formed by mechanical deformation (nanoimprinting). The UV exposed (and imprinted) SU-8 is crosslinked by a post-exposure bake, before the stamp...

  12. NanoTopoChip : High-throughput nanotopographical cell instruction

    NARCIS (Netherlands)

    Hulshof, Frits F.B.; Zhao, Yiping; Vasilevich, Aliaksei; Beijer, Nick R.M.; de Boer, Meint; Papenburg, Bernke J.; van Blitterswijk, Clemens; Stamatialis, Dimitrios; de Boer, Jan

    2017-01-01

    Surface topography is able to influence cell phenotype in numerous ways and offers opportunities to manipulate cells and tissues. In this work, we develop the Nano-TopoChip and study the cell instructive effects of nanoscale topographies. A combination of deep UV projection lithography and

  13. Aluminum nano-cantilevers for high sensitivity mass sensors

    DEFF Research Database (Denmark)

    Davis, Zachary James; Boisen, Anja

    2005-01-01

    We have fabricated Al nano-cantilevers using a very simple one mask contact UV lithography technique with lateral dimensions under 500 nm and vertical dimensions of approximately 100 nm. These devices are demonstrated as highly sensitive mass sensors by measuring their dynamic properties. Further...

  14. X-ray lithography for micro and nanotechnology at RRCAT

    International Nuclear Information System (INIS)

    Shukla, Rahul; Dhamgaye, V.P.; Jain, V.K.; Lodha, G.S.

    2013-01-01

    At Indus-2 Soft and Deep X-ray Lithography beamline (BL-07) is functional and is capable of developing various high aspect ratio and high resolution structures at micro and nano scale. These micro and nano structures can be made to work as a mechanism, sensor, actuator and transducer for varieties of applications and serve as basic building blocks for the development of X-ray and IR optics, LASERs, lab-on-a-chip, micromanipulators and nanotechnology. To achieve these goals we have started developing high aspect ratio comb-drives, electrostatic micromotors, micro fluidic channels, X-ray optics and novel transducers for RF applications by Deep X-ray Lithography (DXRL). Comb-drive is one of most studied electrostatic device in MEMS (Micro Electro-Mechanical Systems). It can be used as a sensor, actuator, resonator, energy harvester and filter. Analysis and simulation shows that the comb actuator of aspect ratio 16 (air gap 50 μm) will produce nearly 1.25 μm displacement when DC voltage of 100 V is applied. For fabrication, first time in India, Polyimide X-ray mask is realized and exposure and development is done at BL-7 at RRCAT. The displacement increases as gap between comb finger decreases. Further refinement is in progress to get higher output from high aspect ratio (∼ 80) comb actuators (i.e. 1 μm at 5V). The other important design parameters like resonance frequency, capacitance will also be discussed. (author)

  15. Synthesis and characterization of (zinc-layered hydroxide-hippurate) nano hybrid by direct reaction of zinc oxide under aqueous environment

    International Nuclear Information System (INIS)

    Mohd Zobir Hussein; Samer Hasan Al Ali; Zulkarnain Zainal

    2011-01-01

    A new method for synthesis of hippurate nano hybrid has been developed. In this method, zinc oxide was added directly into aqueous solution of hippurate anions (A - ). The resulting hippurate nano hybrid (HAN) is composed of the organic moieties sandwiched between zinc layered hydroxide (ZLH) inorganic interlayers. HAN synthesized using 0.2 M hippuric acid showed the best crystallinity compared to other samples synthesized in this work. X-ray powder diffraction shows the basal spacing of the HAN was 21.3 Angstrom indicating that the monolayer of A - was arranged vertically to the ZLH interlayers. (author)

  16. ZFP57 maintains the parent-of-origin-specific expression of the imprinted genes and differentially affects non-imprinted targets in mouse embryonic stem cells

    DEFF Research Database (Denmark)

    Riso, Vincenzo; Cammisa, Marco; Kukreja, Harpreet

    2016-01-01

    ZFP57 is necessary for maintaining repressive epigenetic modifications at Imprinting control regions (ICRs). In mouse embryonic stem cells (ESCs), ZFP57 binds ICRs (ICRBS) and many other loci (non-ICRBS). To address the role of ZFP57 on all its target sites, we performed high-throughput and multi......-locus analyses of inbred and hybrid mouse ESC lines carrying different gene knockouts. By using an allele-specific RNA-seq approach, we demonstrate that ZFP57 loss results in derepression of the imprinted allele of multiple genes in the imprinted clusters. We also find marked epigenetic differences between ICRBS...... the imprinted expression over long distances. At non-ICRBS, ZFP57 inactivation results in acquisition of epigenetic features that are characteristic of poised enhancers, suggesting that another function of ZFP57 in early embryogenesis is to repress cis-acting regulatory elements whose activity is not yet...

  17. Lithography-free nanofluidic concentrator based on droplets-on-demand system

    Science.gov (United States)

    Yu, Miao; Zhou, Hongbo; Yao, Shuhuai

    2013-11-01

    Biomarkers are usually low-abundance proteins in biofluids and below detection limit of conventional biosensors. Nanofluidic concentration devices allow efficient biomolecules trapping by utilizing ion concentration polarization near nanochannels. However, once the electric field is turned off, the electrokinetic concentration plug cannot maintain its concentration status and starts to diffuse. In order to maintain the high concentration and extract the concentrated sample for further analysis, a good approach is to encapsulate these plugs into water-in-oil droplets. Here we developed a nanofluidic concentrator based on droplet-on-demand generator to encapsulate concentrated sample in nL droplets. The lithography-free nanochannels were patterned by thermal cracking on the surface of PS Petri-dish. The resulting nanochannel arrays were 30 nm in depth. In combination with microchannels on PDMS, the micro-nano hybrid chip was developed. We used FITC solution to demonstrate that the chip significantly increased the sample concentration for more than 100 folds within 5 minutes. By tuning the pulsed pressure imposed by the solenoid valve connected to the concentration channel, the system can generate a desired volume of droplet with a target sample concentration at a prescribed time. This work was supported by the Research Grants Council of Hong Kong under General Research Fund (Grant No. 621110).

  18. Micro/nano-fabrication technologies for cell biology.

    Science.gov (United States)

    Qian, Tongcheng; Wang, Yingxiao

    2010-10-01

    Micro/nano-fabrication techniques, such as soft lithography and electrospinning, have been well-developed and widely applied in many research fields in the past decade. Due to the low costs and simple procedures, these techniques have become important and popular for biological studies. In this review, we focus on the studies integrating micro/nano-fabrication work to elucidate the molecular mechanism of signaling transduction in cell biology. We first describe different micro/nano-fabrication technologies, including techniques generating three-dimensional scaffolds for tissue engineering. We then introduce the application of these technologies in manipulating the physical or chemical micro/nano-environment to regulate the cellular behavior and response, such as cell life and death, differentiation, proliferation, and cell migration. Recent advancement in integrating the micro/nano-technologies and live cell imaging are also discussed. Finally, potential schemes in cell biology involving micro/nano-fabrication technologies are proposed to provide perspectives on the future research activities.

  19. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    Science.gov (United States)

    Huan, Z.; Fratila-Apachitei, L. E.; Apachitei, I.; Duszczyk, J.

    2014-02-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  20. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    International Nuclear Information System (INIS)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-01-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel–titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO 2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces. (paper)

  1. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing.

    Science.gov (United States)

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-02-07

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  2. The nano-science of C sub 6 0 molecule

    CERN Document Server

    Rafii-Tabar, H

    2002-01-01

    Over the past few years, nano-science and its associated nano-technology have emerged into prominence in research institutions across the world. They have brought about new scientific and engineering paradigms, allowing for the manipulation of single atoms and molecules, designing and fabricating new materials, atom-by-atom, and devices that operate on significantly reduced time and length scales. One important area of research in nano-science and nano technology is carbon-based physics in the form of fullerene physics. The C sub 6 0 molecule, and other cage-like fullerenes, together with carbon nano tubes provide objects that can be combined to generate three-dimensional functional structures for use in the anticipated nano-technology of future. The unique properties of C sub 6 0 can also be exploited in designing nano-phase thin films with applications in nano-scope device technology and processes such as nano-lithography. This requires a deep understanding of the highly complex process of adsorption of thi...

  3. Fabrication of an organic field effect transistor using nano imprinting of Ag inks and semiconducting polymers

    International Nuclear Information System (INIS)

    Hu, PingAn; Li, Kun; O'Neill, William; Chen, Weilin; Peng, Li; Chu, Daping

    2010-01-01

    A simple and cheap procedure for flexible electronics fabrication was demonstrated by imprinting metallic nanoparticles (NPs) on flexible substrates. Silver NPs with an average diameter of 10 nm were prepared via an improved chemical approach and Ag Np ink was produced in α-terpineol with a concentration up to 15%. Silver micro/nanostructures with a dimension varying from nanometres to microns were produced on a flexible substrate (polyimide) by imprinting the as-prepared silver ink. The fine fluidic properties of an Ag NP/α-terpineol solution and low melting temperatures of silver nanoparticles render a low pressure and low temperature procedure, which is well suited for flexible electronics fabrication. The effects of sintering and mechanical bending on the conductivity of imprinted silver contacts were also investigated. Large area organic field effect transistors (OFET) on flexible substrates were fabricated using an imprinted silver electrode and semiconducting polymer. The OFET with silver electrodes imprinted from our prepared oleic acid stabilized Ag nanoparticle ink show an ideal ohmic contact; therefore, the OFET exhibit high performance (I on /I off ratio: 1 × 10 3 ; mobility: 0.071 cm 2 V −1 s −1 ).

  4. Inclined nanoimprinting lithography for 3D nanopatterning

    International Nuclear Information System (INIS)

    Liu Zhan; Bucknall, David G; Allen, Mark G

    2011-01-01

    We report a non-conventional shear-force-driven nanofabrication approach, inclined nanoimprint lithography (INIL), for producing 3D nanostructures of varying heights on planar substrates in a single imprinting step. Such 3D nanostructures are fabricated by exploiting polymer anisotropic dewetting where the degree of anisotropy can be controlled by the magnitude of the inclination angle. The feature size is reduced from micron scale of the template to a resultant nanoscale pattern. The underlying INIL mechanism is investigated both experimentally and theoretically. The results indicate that the shear force generated at a non-zero inclination angle induced by the INIL apparatus essentially leads to asymmetry in the polymer flow direction ultimately resulting in 3D nanopatterns with different heights. INIL removes the requirements in conventional nanolithography of either utilizing 3D templates or using multiple lithographic steps. This technique enables various 3D nanoscale devices including angle-resolved photonic and plasmonic crystals to be fabricated.

  5. Development of a molecularly imprinted polymer tailored on disposable screen-printed electrodes for dual detection of EGFR and VEGF using nano-liposomal amplification strategy.

    Science.gov (United States)

    Johari-Ahar, Mohammad; Karami, Pari; Ghanei, Mostafa; Afkhami, Abbas; Bagheri, Hasan

    2018-06-01

    This work demonstrates the development of a gold screen-printed electrode (Au-SPE)-based biosensor modified with a molecularly imprinted polymer and amplified using antibody-conjugated nano-liposomes. The developed biosensor was utilized for dual determination of epidermal growth factor receptor (EGFR) and vascular endothelial growth factor (VEGF) as cancer biomarkers. To prepare this biosensor, Au-SPE was modified with 3,3'-dithiodipropionic acid di(N-hydroxysuccinimide ester) via self-assembly method and then the target proteins (EGFR and VEGF) were covalently attached to the modified SPE. To synthesize the molecularly imprinted polymer, monomers of acrylamide and N,N'-methylenebis(acrylamide) were polymerized around the EGFR and VEGF templates, and to characterize the prepared biosensor, electrochemical impedance spectroscopy was used for analyses of surface changes in the engineered electrodes. To produce reliable electrochemical signals, nano-liposomes which were loaded with Cd(II) and Cu(II) cations and decorated with antibodies specific for EGFR and VEGF were used as an efficient tool for detection of target biomarkers. In the analysis step, potentiometric striping analysis (PSA), as an electrochemical technique, was utilized for sensitive determination of these cations. The limits of detection (LODs) of EGFR and VEGF analyses were found to be 0.01 and 0.005 pg mL -1 with the linear dynamic ranges (LDRs) of 0.05-50000 and 0.01-7000 pg mL -1 , respectively. Moreover, the proposed biosensor was successfully used for sensitive, reproducible, and specific detection of EGFR and VEGF in real samples. Due to the SPE nature of the developed biosensor, we envision that this sensing tool has capability of being integrated with lab-on-a-chip (LOC), microfluidics, and micro total analysis systems. Copyright © 2018 Elsevier B.V. All rights reserved.

  6. Nano Mechanical Machining Using AFM Probe

    Science.gov (United States)

    Mostofa, Md. Golam

    Complex miniaturized components with high form accuracy will play key roles in the future development of many products, as they provide portability, disposability, lower material consumption in production, low power consumption during operation, lower sample requirements for testing, and higher heat transfer due to their very high surface-to-volume ratio. Given the high market demand for such micro and nano featured components, different manufacturing methods have been developed for their fabrication. Some of the common technologies in micro/nano fabrication are photolithography, electron beam lithography, X-ray lithography and other semiconductor processing techniques. Although these methods are capable of fabricating micro/nano structures with a resolution of less than a few nanometers, some of the shortcomings associated with these methods, such as high production costs for customized products, limited material choices, necessitate the development of other fabricating techniques. Micro/nano mechanical machining, such an atomic force microscope (AFM) probe based nano fabrication, has, therefore, been used to overcome some the major restrictions of the traditional processes. This technique removes material from the workpiece by engaging micro/nano size cutting tool (i.e. AFM probe) and is applicable on a wider range of materials compared to the photolithographic process. In spite of the unique benefits of nano mechanical machining, there are also some challenges with this technique, since the scale is reduced, such as size effects, burr formations, chip adhesions, fragility of tools and tool wear. Moreover, AFM based machining does not have any rotational movement, which makes fabrication of 3D features more difficult. Thus, vibration-assisted machining is introduced into AFM probe based nano mechanical machining to overcome the limitations associated with the conventional AFM probe based scratching method. Vibration-assisted machining reduced the cutting forces

  7. Nano-electromechanical switch-CMOS hybrid technology and its applications.

    Science.gov (United States)

    Lee, B H; Hwang, H J; Cho, C H; Lim, S K; Lee, S Y; Hwang, H

    2011-01-01

    Si-based CMOS technology is facing a serious challenge in terms of power consumption and variability. The increasing costs associated with physical scaling have motivated a search for alternative approaches. Hybridization of nano-electromechanical (NEM)-switch and Si-based CMOS devices has shown a theoretical feasibility for power management, but a huge technical gap must be bridged before a nanoscale NEM switch can be realized due to insufficient material development and the limited understanding of its reliability characteristics. These authors propose the use of a multilayer graphene as a nanoscale cantilever material for a nanoscale NEM switchwith dimensions comparable to those of the state-of-the-art Si-based CMOS devices. The optimal thickness for the multilayer graphene (about five layers) is suggested based on an analytical model. Multilayer graphene can provide the highest Young's modulus among the known electrode materials and a yielding strength that allows more than 15% bending. Further research on material screening and device integration is needed, however, to realize the promises of the hybridization of NEM-switch and Si-based CMOS devices.

  8. Shear-bond-strength of orthodontic brackets to aged nano-hybrid composite-resin surfaces using different surface preparation.

    Science.gov (United States)

    Demirtas, Hatice Kubra; Akin, Mehmet; Ileri, Zehra; Basciftci, Faruk Ayhan

    2015-01-01

    The aim of this study was to evaluate the effects of different surface preparation methods on the shear bond strength (SBS) of orthodontic metal brackets to aged nano-hybrid resin composite surfaces in vitro. A total of 100 restorative composite resin discs, 6 mm in diameter and 3 mm thick, were obtained and treated with an ageing procedure. After ageing, the samples were randomly divided as follows according to surface preparation methods: (1)Control, (2)37% phosphoric acid gel, (3)Sandblasting, (4)Diamond bur, (5)Air-flow and 20 central incisor teeth were used for the control etched group. SBS test were applied on bonded metal brackets to all samples. SBS values and residual adhesives were evaluated. Analysis of variance showed a significant difference (porthodontic metal brackets to nano-hybrid composite resin surfaces.

  9. Polyelectrolyte mediated nano hybrid particle as a nano-sensor with outstandingly amplified specificity and sensitivity for enzyme free estimation of cholesterol.

    Science.gov (United States)

    Chebl, Mazhar; Moussa, Zeinab; Peurla, Markus; Patra, Digambara

    2017-07-01

    As a proof of concept, here it is established that curcumin integrated chitosan oligosaccharide lactate (COL) self-assembles on silica nanoparticle surface to form nano hybrid particles (NHPs). These NHPs have size in the ranges of 25-35nm with silica nanoparticle as its core and curcumin-COL as outer layer having thickness of 4-8nm. The fluorescence intensity of these NHPs are found to be quenched and emission maximum is ~50nm red shifted compared to free curcumin implying inner filter effect and/or homo-FRET between curcumin molecules present on the surface of individual nano hybrid particle. Although fluorescence of free curcumin is remarkably quenched by Hg 2+ /Cu 2+ ions due to chelation through keto-enol form, the fluorescence of NHPs is unaffected by Hg 2+ /Cu 2+ ion that boosts analytical selectivity. The fluorescence intensity is outstandingly enhanced in the presence of cholesterol but is not influenced by ascorbic acid, uric acid, glucose, albumin, lipid and other potential interfering substances that either obstruct during enzymatic reaction or affect fluorescence of free curcumin. Thus, NHPs outstandingly improve analytical specificity, selectivity and sensitivity during cholesterol estimation compared to free curcumin. The interaction between cholesterol and NHPs is found to be a combination of ground state electrostatic interaction through the free hydroxyl group of cholesterol along with hydrophobic interaction between NHPs and cholesterol and excited state interaction. The proposed cholesterol biosensor illustrates a wider linear dynamic range, 0.002-10mmolL -1 , (upper limit is due to lack of solubility of cholesterol) needed for biomedical application and better than reported values during enzymatic reaction. In addition, the NHPs are found to be photo-stable potentially making it suitable for simple, quick and cost-effective cholesterol estimation and opening an alternative approach other than enzymatic reaction using nano hybrid structure to

  10. Highly uniform residual layers for arrays of 3D nanoimprinted cavities in Fabry-Pérot-filter-array-based nanospectrometers

    Science.gov (United States)

    Memon, Imran; Shen, Yannan; Khan, Abdullah; Woidt, Carsten; Hillmer, Hartmut

    2016-04-01

    Miniaturized optical spectrometers can be implemented by an array of Fabry-Pérot (FP) filters. FP filters are composed of two highly reflecting parallel mirrors and a resonance cavity. Each filter transmits a small spectral band (filter line) depending on its individual cavity height. The optical nanospectrometer, a miniaturized FP-based spectrometer, implements 3D NanoImprint technology for the fabrication of multiple FP filter cavities in a single process step. However, it is challenging to avoid the dependency of residual layer (RL) thickness on the shape of the printed patterns in NanoImprint. Since in a nanospectrometer the filter cavities vary in height between neighboring FP filters and, thus, the volume of each cavity varies causing that the RL varies slightly or noticeably between different filters. This is one of the few disadvantages of NanoImprint using soft templates such as substrate conformal imprint lithography which is used in this paper. The advantages of large area soft templates can be revealed substantially if the problem of laterally inhomogeneous RLs can be avoided or reduced considerably. In the case of the nanospectrometer, non-uniform RLs lead to random variations in the designed cavity heights resulting in the shift of desired filter lines. To achieve highly uniform RLs, we report a volume-equalized template design with the lateral distribution of 64 different cavity heights into several units with each unit comprising four cavity heights. The average volume of each unit is kept constant to obtain uniform filling of imprint material per unit area. The imprint results, based on the volume-equalized template, demonstrate highly uniform RLs of 110 nm thickness.

  11. Genomic Imprinting Was Evolutionarily Conserved during Wheat Polyploidization.

    Science.gov (United States)

    Yang, Guanghui; Liu, Zhenshan; Gao, Lulu; Yu, Kuohai; Feng, Man; Yao, Yingyin; Peng, Huiru; Hu, Zhaorong; Sun, Qixin; Ni, Zhongfu; Xin, Mingming

    2018-01-01

    Genomic imprinting is an epigenetic phenomenon that causes genes to be differentially expressed depending on their parent of origin. To evaluate the evolutionary conservation of genomic imprinting and the effects of ploidy on this process, we investigated parent-of-origin-specific gene expression patterns in the endosperm of diploid ( Aegilops spp), tetraploid, and hexaploid wheat ( Triticum spp) at various stages of development via high-throughput transcriptome sequencing. We identified 91, 135, and 146 maternally or paternally expressed genes (MEGs or PEGs, respectively) in diploid, tetraploid, and hexaploid wheat, respectively, 52.7% of which exhibited dynamic expression patterns at different developmental stages. Gene Ontology enrichment analysis suggested that MEGs and PEGs were involved in metabolic processes and DNA-dependent transcription, respectively. Nearly half of the imprinted genes exhibited conserved expression patterns during wheat hexaploidization. In addition, 40% of the homoeolog pairs originating from whole-genome duplication were consistently maternally or paternally biased in the different subgenomes of hexaploid wheat. Furthermore, imprinted expression was found for 41.2% and 50.0% of homolog pairs that evolved by tandem duplication after genome duplication in tetraploid and hexaploid wheat, respectively. These results suggest that genomic imprinting was evolutionarily conserved between closely related Triticum and Aegilops species and in the face of polyploid hybridization between species in these genera. © 2018 American Society of Plant Biologists. All rights reserved.

  12. Evaluation of structural and mechanical properties of electrospun nano-micro hybrid of poly hydroxybutyrate-chitosan/silk scaffold for cartilage tissue engineering.

    Science.gov (United States)

    Karbasi, Saeed; Fekrat, Farnoosh; Semnani, Daryoush; Razavi, Shahnaz; Zargar, Elham Naghash

    2016-01-01

    One of the new methods of scaffold fabrication is a nano-micro hybrid structure in which the properties of the scaffold are improved by introducing nanometer and micrometer structures. This method could be suitable for scaffold designing if some features improve. In this study, electrospun nanofibers of 9% weight solution of poly (3-hydroxybutyrate) (P3HB) and a 15% weight of chitosan by trifluoroacetic acid were coated on both the surface of a silk knitted substrate in the optimum condition to improve the mechanical properties of scaffolds for cartilage tissue engineering application. These hybrid nano-micro fibrous scaffolds were characterized by structural and mechanical evaluation methods. Scanning electron microscopy values and porosity analysis showed that average diameter of nanofibers was 584.94 nm in electrospinning part and general porosity was more than 80%. Fourier transform infrared spectroscopy results indicated the presence of all elements without pollution. The tensile test also stated that by electrospinning, as well as adding chitosan, both maximum strength and maximum elongation increased to 187 N and 10 mm. It means that the microfibrous part of scaffold could affect mechanical properties of nano part of the hybrid scaffold, significantly. It could be concluded that P3HB-chitosan/silk hybrid scaffolds can be a good candidate for cartilage tissue engineering.

  13. Micro/Nano manufacturing

    DEFF Research Database (Denmark)

    Tosello, Guido

    2017-01-01

    Micro- and nano-scale manufacturing has been the subject of an increasing amount of interest and research effort worldwide in both academia and industry over the past 10 years.Traditional (MEMS) manufacturing, but also precision manufacturing technologies have been developed to cover micro......-scale dimensions and accuracies. Furthermore, these fundamentally different technology ecosystems are currently combined in order to exploit strengths of both platforms. One example is the use of lithography-based technologies to establish nanostructures that are subsequently transferred to 3D geometries via...

  14. Flexible, Transparent, Thickness-Controllable SWCNT/PEDOT:PSS Hybrid Films Based on Coffee-Ring Lithography for Functional Noncontact Sensing Device

    KAUST Repository

    Tai, Yanlong

    2015-12-08

    Flexible transparent conductive films (FTCFs) as the essential components of the next generation of functional circuits and devices are presently attracting more attention. Here, a new strategy has been demonstrated to fabricate thickness-controllable FTCFs through coffee ring lithography (CRL) of single-wall carbon nanotube (SWCNT)/poly(3,4-ethylenedioxythiophene)-polystyrenesulfonate (PEDOT:PSS) hybrid ink. The influence of ink concentration and volume on the thickness and size of hybrid film has been investigated systematically. Results show that the final FTCFs present a high performance, including a homogeneous thickness of 60-65 nm, a sheet resistance of 1.8 kohm/sq, a visible/infrared-range transmittance (79%, PET = 90%), and a dynamic mechanical property (>1000 cycle, much better than ITO film), respectively, when SWCNT concentration is 0.2 mg/mL, ink volume is 0.4 μL, drying at room temperature. Moreover, the benefits of these kinds of FTCFs have been verified through a full transparent, flexible noncontact sensing panel (3 × 4 sensing pixels) and a flexible battery-free wireless sensor based on a humidity sensing mechanism, showing excellent human/machine interaction with high sensitivity, good stability, and fast response/recovery ability. © 2015 American Chemical Society.

  15. Flexible, Transparent, Thickness-Controllable SWCNT/PEDOT:PSS Hybrid Films Based on Coffee-Ring Lithography for Functional Noncontact Sensing Device

    KAUST Repository

    Tai, Yanlong; Yang, Zhen Guo

    2015-01-01

    Flexible transparent conductive films (FTCFs) as the essential components of the next generation of functional circuits and devices are presently attracting more attention. Here, a new strategy has been demonstrated to fabricate thickness-controllable FTCFs through coffee ring lithography (CRL) of single-wall carbon nanotube (SWCNT)/poly(3,4-ethylenedioxythiophene)-polystyrenesulfonate (PEDOT:PSS) hybrid ink. The influence of ink concentration and volume on the thickness and size of hybrid film has been investigated systematically. Results show that the final FTCFs present a high performance, including a homogeneous thickness of 60-65 nm, a sheet resistance of 1.8 kohm/sq, a visible/infrared-range transmittance (79%, PET = 90%), and a dynamic mechanical property (>1000 cycle, much better than ITO film), respectively, when SWCNT concentration is 0.2 mg/mL, ink volume is 0.4 μL, drying at room temperature. Moreover, the benefits of these kinds of FTCFs have been verified through a full transparent, flexible noncontact sensing panel (3 × 4 sensing pixels) and a flexible battery-free wireless sensor based on a humidity sensing mechanism, showing excellent human/machine interaction with high sensitivity, good stability, and fast response/recovery ability. © 2015 American Chemical Society.

  16. The nano-science of C60 molecule

    International Nuclear Information System (INIS)

    Rafii-Tabar, H.

    2002-01-01

    Over the past few years, nano-science and its associated nano-technology have emerged into prominence in research institutions across the world. They have brought about new scientific and engineering paradigms, allowing for the manipulation of single atoms and molecules, designing and fabricating new materials, atom-by-atom, and devices that operate on significantly reduced time and length scales. One important area of research in nano-science and nano technology is carbon-based physics in the form of fullerene physics. The C 6 0 molecule, and other cage-like fullerenes, together with carbon nano tubes provide objects that can be combined to generate three-dimensional functional structures for use in the anticipated nano-technology of future. The unique properties of C 6 0 can also be exploited in designing nano-phase thin films with applications in nano-scope device technology and processes such as nano-lithography. This requires a deep understanding of the highly complex process of adsorption of this molecule on a variety of substrates. We review the field of nano-scale nucleation and growth of C 6 0 molecules on some of the technologically important substrates. In addition to experimental results, the results of a set of highly accurate computational simulations are also reported

  17. Order quantification of hexagonal periodic arrays fabricated by in situ solvent-assisted nanoimprint lithography of block copolymers

    International Nuclear Information System (INIS)

    Simão, Claudia; Khunsin, Worawut; Kehagias, Nikolaos; Sotomayor Torres, Clivia M; Salaun, Mathieu; Zelsmann, Marc; Morris, Michael A

    2014-01-01

    Directed self-assembly of block copolymer polystyrene-b-polyethylene oxide (PS-b-PEO) thin film was achieved by a one-pot methodology of solvent vapor assisted nanoimprint lithography (SAIL). Simultaneous solvent-anneal and imprinting of a PS-b-PEO thin film on silicon without surface pre-treatments yielded a 250 nm line grating decorated with 20 nm diameter nanodots array over a large surface area of up to 4′ wafer scale. The grazing-incidence small-angle x-ray scattering diffraction pattern showed the fidelity of the NIL stamp pattern replication and confirmed the periodicity of the BCP of 40 nm. The order of the hexagonally arranged nanodot lattice was quantified by SEM image analysis using the opposite partner method and compared to conventionally solvent-annealed block copolymer films. The imprint-based SAIL methodology thus demonstrated an improvement in ordering of the nanodot lattice of up to 50%, and allows significant time and cost reduction in the processing of these structures. (papers)

  18. Metrology for Grayscale Lithography

    International Nuclear Information System (INIS)

    Murali, Raghunath

    2007-01-01

    Three dimensional microstructures find applications in diffractive optical elements, photonic elements, etc. and can be efficiently fabricated by grayscale lithography. Good process control is important for achieving the desired structures. Metrology methods for grayscale lithography are discussed. Process optimization for grayscale e-beam lithography is explored and various process parameters that affect the grayscale process are discussed

  19. Fabrication of high-aspect-ratio nano structures using a nano x-ray shadow mask

    International Nuclear Information System (INIS)

    Kim, Yong Chul; Lee, Seung S

    2008-01-01

    This paper describes a novel method for the fabrication of high-aspect-ratio nano structures (HAR-nano structures) using a nano x-ray shadow mask and deep x-ray lithography (DXRL). The nano x-ray shadow mask is fabricated by depositing an x-ray absorber layer (Au, 3 µm) onto the back side of a nano shadow mask. The nano shadow mask is produced with nano-sized apertures whose dimensions are reduced to several tens of nanometers by the accumulation of low-stress silicon nitride (Si x N y ) using the LPCVD process on the shadow mask. A shadow mask containing apertures with a size of 1 µm is fabricated on a bulk micromachined Si x N y membrane. The thickness of an absorber layer must be in the range of several tens of micrometers in order to obtain a contrast of more than 100 for the conventional DXRL process at the Pohang Light Source (PLS). However, a 3 µm thick absorber layer can provide a sufficient contrast if the modified DXRL of the central beam-stop method is used, which blocks high-energy x-rays. A nano shadow mask with 30 nm sized apertures is fabricated and a nano x-ray shadow mask with 250 nm sized apertures is fabricated by depositing a 3 µm thick absorber layer on a nano shadow mask with 500 nm sized apertures. HAR-nano structures (circles with a diameter of 420 nm and lines with a width of 274 nm) with aspect ratios of over 10:1 on a 3.2 µm SU-8 are successfully fabricated by using the nano x-ray shadow mask and the central beam-stop method

  20. Silicon Nano fabrication by Atomic Force Microscopy-Based Mechanical Processing

    International Nuclear Information System (INIS)

    Miyake, Sh.; Wang, M.; Kim, J.

    2014-01-01

    This paper reviews silicon nano fabrication processes using atomic force microscopy (AFM). In particular, it summarizes recent results obtained in our research group regarding AFM-based silicon nano fabrication through mechanochemical local oxidation by diamond tip sliding, as well as mechanical, electrical, and electromechanical processing using an electrically conductive diamond tip. Microscopic three-dimensional manufacturing mainly relies on etching, deposition, and lithography. Therefore, a special emphasis was placed on nano mechanical processes, mechanochemical reaction by potassium hydroxide solution etching, and mechanical and electrical approaches. Several important surface characterization techniques consisting of scanning tunneling microscopy and related techniques, such as scanning probe microscopy and AFM, were also discussed.

  1. Molecularly imprinted polymer nanoparticle-based assay (MINA): application for fumonisin B1 determination.

    Science.gov (United States)

    Munawar, Hasim; Smolinska-Kempisty, Katarzyna; Cruz, Alvaro Garcia; Canfarotta, Francesco; Piletska, Elena; Karim, Khalku; Piletsky, Sergey A

    2018-06-20

    The enzyme-linked immunosorbent assay (ELISA) has been used as a standard tool for monitoring food and animal feed contamination from the carcinogenic fumonisin B1 (FB1). Unfortunately, ELISA is not always efficient due to the instability of the antibody and enzyme components in the immunoassay, the presence of natural enzyme inhibitors in the samples and the high levels of non-specific protein binding. Additionally, the production of antibodies for ELISA can be time-consuming and costly, due to the involvement of animals in the manufacturing process. To overcome these limiting factors, a molecularly imprinted nanoparticle based assay (MINA) has been developed, where the molecularly imprinted nanoparticles (nanoMIPs) replace the primary antibody used in a competitive ELISA. Herein, computational modelling was used to design the nanoMIPs by selecting monomers that specifically interact with FB1. The affinity of the monomers to FB1 was verified by measuring their binding in affinity chromatography experiments. The nanoMIPs were produced by solid phase synthesis and the results showed that nanoMIPs had a hydrodynamic diameter of around 249 ± 29 nm. The assay tested in model samples is highly selective and does not show cross-reactivity with other mycotoxins such as fumonisin B2 (FB2), aflatoxin B1 (AFB1), citrinin (CTT), zearalenone (ZEA), and deoxynivalenol (DON). The MINA allows the detection of FB1 in the concentration range of 10 pM-10 nM with a detection limit of 1.9 pM and a recovery of 108.13-113.76%.

  2. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    Science.gov (United States)

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  3. New potentiometric sensor based on molecularly imprinted nanoparticles for cocaine detection.

    Science.gov (United States)

    Smolinska-Kempisty, K; Ahmad, O Sheej; Guerreiro, A; Karim, K; Piletska, E; Piletsky, S

    2017-10-15

    Here we present a potentiometric sensor for cocaine detection based on molecularly imprinted polymer nanoparticles (nanoMIPs) produced by the solid-phase imprinting method. The composition of polymers with high affinity for cocaine was optimised using molecular modelling. Four compositions were selected and polymers prepared using two protocols: chemical polymerisation in water and UV-initiated polymerisation in organic solvent. All synthesised nanoparticles had very good affinity to cocaine with dissociation constants between 0.6nM and 5.3nM. Imprinted polymers produced in organic solvent using acrylamide as a functional monomer demonstrated the highest yield and affinity, and so were selected for further sensor development. For this, nanoparticles were incorporated within a PVC matrix which was then used to prepare an ion-selective membrane integrated with a potentiometric transducer. It was demonstrated that the sensor was able to quantify cocaine in blood serum samples in the range of concentrations between 1nM and 1mM. Copyright © 2017 Elsevier B.V. All rights reserved.

  4. Editorial Emerging Multifunctional Nano structures

    International Nuclear Information System (INIS)

    Fan, H.; Lu, Y.; Ramanath, G.; Pomposo, J.A.

    2009-01-01

    The interest in emerging nano structures is growing exponentially since they are promising building blocks for advanced multifunctional nano composites. In recent years, an evolution from the controlled synthesis of individual monodisperse nanoparticles to the tailored preparation of hybrid spherical and also unsymmetrical multiparticle nano structures is clearly observed. As a matter of fact, the field of nano structures built around a nano species such as inside, outside, and next to a nanoparticle is becoming a new evolving area of research and development with potential applications in improved drug delivery systems, innovative magnetic devices, biosensors, and highly efficient catalysts, among several others Emerging nano structures with improved magnetic, conducting and smart characteristics are currently based on the design, synthesis, characterization and modeling of multifunctional nano object-based materials. In fact, core-shell nanoparticles and other related complex nano architectures covering a broad spectrum of materials (from metal and metal oxide to fused carbon, synthetic polymer, and bio polymer structures) to nano structure morphologies (spherical, cylindrical, star-like, etc.) are becoming the main building blocks for next generation of drug delivery systems, advanced sensors and biosensors, or improved nano composites. The five papers presented in this special issue examine the preparation and characterization of emerging multifunctional materials, covering from hybrid asymmetric structures to engineering nano composites.

  5. Effect of different polishing systems on the surface roughness of nano-hybrid composites

    OpenAIRE

    Brijesh Patel; Naveen Chhabra; Disha Jain

    2016-01-01

    Objective: The study aimed to investigate the influence of different polishing systems on the surface roughness of nano-hybrid composite resins. Background: Different shapes of polishing systems are available according to the site of work. To minimize variability, a new system with single shape is developed that can be utilized in both anterior as well as posterior teeth. Materials and Methods: Seventy composite discs were fabricated using Teflon well (10 mm × 3 mm). Two main group of...

  6. Few layered vanadyl phosphate nano sheets-MWCNT hybrid as an electrode material for supercapacitor application

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, Shibsankar; De, Sukanta, E-mail: sukanta.physics@presiuniv.ac.in [Department of physics, Presidency University, Kolkata-700073 (India)

    2016-05-06

    It have been already seen that 2-dimensional nano materials are the suitable choice for the supercapacitor application due to their large specific surface area, electrochemical active sites, micromechanical flexibility, expedite ion migration channel properties. Free standing hybrid films of functionalized MWCNT (– COOH group) and α-Vanadyl phosphates (VOPO{sub 4}2H{sub 2}O) are prepared by vacuum filtering. The surface morphology and microstructure of the samples are studied by transmission electron microscope, field emission scanning electron microscope, XRD, Electrochemical properties of hybrid films have been investigated systematically in 1M Na{sub 2}SO{sub 4} aqueous electrolyte. The hybrid material exhibits a high specific capacitance 236 F/g with high energy density of 65.6 Wh/Kg and a power density of 1476 W/Kg.

  7. Organic-inorganic hybrid polyionic liquid based polyoxometalate as nano porous material for selective oxidation of sulfides

    Science.gov (United States)

    Rafiee, Ezzat; Shahebrahimi, Shabnam

    2017-07-01

    Organic-inorganic hybrid nano porous materials based on poly(ionic liquid)-polyoxometalate (PIL-POM) were reported. These hybrid materials were synthesized by the reaction of 4-vinyl pyridine with 1,3-propanesultone, followed by the polymerization and also sulfonate-functionalized cross-linked poly(4-vinylpyridine) and combining these polymers with H5PMo10V2O40 (PMo10V2). Activity of prepared PIL-PMo10V2 hybrids were investigated as catalysts for oxidation of sulfides with H2O2 as oxidant. For understanding catalytic activities of the PIL-PMo10V2 hybrids in oxidation of sulfides, effect of catalyst composition, substrate, and reaction conditions were studied. The results show that the PIL-PMo10V2 hybrids are active as selective heterogeneous catalysts for oxidation of sulfides and can be recovered and reused. The catalyst was characterized by FT-IR, TGA-DSC, XRD, SEM/EDX, BET, CV and zeta potential measurement. Also, average molecular weight of prepared catalysts were measured.

  8. Nano-honeycomb structured transparent electrode for enhanced light extraction from organic light-emitting diodes

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Xiao-Bo; Qian, Min; Wang, Zhao-Kui, E-mail: zkwang@suda.edu.cn, E-mail: lsliao@suda.edu.cn; Liao, Liang-Sheng, E-mail: zkwang@suda.edu.cn, E-mail: lsliao@suda.edu.cn [Jiangsu Key Laboratory for Carbon-Based Functional Materials and Devices, Institute of Functional Nano and Soft Materials (FUNSOM), and Collaborative Innovation Center of Suzhou Nano Science and Technology, Soochow University, Suzhou, Jiangsu 215123 (China)

    2015-06-01

    A universal nano-sphere lithography method has been developed to fabricate nano-structured transparent electrode, such as indium tin oxide (ITO), for light extraction from organic light-emitting diodes (OLEDs). Perforated SiO{sub 2} film made from a monolayer colloidal crystal of polystyrene spheres and tetraethyl orthosilicate sol-gel is used as a template. Ordered nano-honeycomb pits on the ITO electrode surface are obtained by chemical etching. The proposed method can be utilized to form large-area nano-structured ITO electrode. More than two folds' enhancement in both current efficiency and power efficiency has been achieved in a red phosphorescent OLED which was fabricated on the nano-structured ITO substrate.

  9. Laser Interference Lithography

    NARCIS (Netherlands)

    van Wolferen, Hendricus A.G.M.; Abelmann, Leon; Hennessy, Theodore C.

    In this chapter we explain how submicron gratings can be prepared by Laser Interference Lithography (LIL). In this maskless lithography technique, the standing wave pattern that exists at the intersection of two coherent laser beams is used to expose a photosensitive layer. We show how to build the

  10. Luminescent Eosin Y–SiO2 hybrid nano and microrods prepared by sol–gel template method

    International Nuclear Information System (INIS)

    Secu, M.; Secu, C.E.; Sima, M.; Negrea, R.F.; Bartha, C.; Dinescu, M.; Damian, V.

    2013-01-01

    Sol–gel chemistry within the pores of a polycarbonate template membrane was used for the preparation of Eosin Y–SiO 2 hybrid nano- and microrods, using tetraethylorthosilicate [TEOS, Si(OC 2 H 5 ) 4 ] as the precursor in the presence of trifluoroacetic acid (TFA) catalyst. The ethanolic solution of Eosin-Y was added to the silica sol to trap dye molecules inside the SiO 2 gel network during the gelation. Structural and morphological characterization using scanning electron microscopy (SEM) and luminescence microscopy have shown the formation of rods with 200 nm and 1.2 μm diameter and about 30 μm length, exhibiting luminescence properties. Spectroscopic characterization has shown that the luminescence is due to Eosin-Y molecule in the xerogel porous network, surrounded by a solvation shell given mainly by the water. -- Highlights: • Sol–gel template method was used to prepare Eosin Y–SiO 2 hybrid rods-type structures. • Morphological characterization has shown nano- and microrods with luminescent properties. • Luminescence is due to Eosin-Y molecule surrounded by a solvation shell given by water

  11. Effect of stacking sequence and surface treatment on the thermal conductivity of multilayered hybrid nano-composites

    Science.gov (United States)

    Papanicolaou, G. C.; Pappa, E. J.; Portan, D. V.; Kotrotsos, A.; Kollia, E.

    2018-02-01

    The aim of the present investigation was to study the effect of both the stacking sequence and surface treatment on the thermal conductivity of multilayered hybrid nano-composites. Four types of multilayered hybrid nanocomposites were manufactured and tested: Nitinol- CNTs (carbon nanotubes)- Acrylic resin; Nitinol- Acrylic resin- CNTs; Surface treated Nitinol- CNTs- Acrylic resin and Surface treated Nitinol- Acrylic resin- CNTs. Surface treatment of Nitinol plies was realized by means of the electrochemical anodization. Surface topography of the anodized nitinol sheets was investigated through Scanning Electron Microscopy (SEM). It was found that the overall thermal response of the manufactured multilayered nano-composites was greatly influenced by both the anodization and the stacking sequence. A theoretical model for the prediction of the overall thermal conductivity has been developed considering the nature of the different layers, their stacking sequence as well as the interfacial thermal resistance. Thermal conductivity and Differential Scanning Calorimetry (DSC) measurements were conducted, to verify the predicted by the model overall thermal conductivities. In all cases, a good agreement between theoretical predictions and experimental results was found.

  12. Gold nano particle decorated graphene core first generation PAMAM dendrimer for label free electrochemical DNA hybridization sensing.

    Science.gov (United States)

    Jayakumar, K; Rajesh, R; Dharuman, V; Venkatasan, R; Hahn, J H; Pandian, S Karutha

    2012-01-15

    A novel first generation (G1) poly(amidoamine) dendrimer (PAMAM) with graphene core (GG1PAMAM) was synthesized for the first time. Single layer of GG1PAMAM was immobilized covalently on mercaptopropionic acid (MPA) monolayer on Au transducer. This allows cost effective and easy deposition of single layer graphene on the Au transducer surface than the advanced vacuum techniques used in the literature. Au nano particles (17.5 nm) then decorated the GG1PAMAM and used for electrochemical DNA hybridization sensing. The sensor discriminates selectively and sensitively the complementary double stranded DNA (dsDNA, hybridized), non-complementary DNA (ssDNA, un-hybridized) and single nucleotide polymorphism (SNP) surfaces. Interactions of the MPA, GG1PAMAM and the Au nano particles were characterized by Ultra Violet (UV), Fourier Transform Infrared (FTIR), Raman spectroscopy (RS), Thermo gravimetric analysis (TGA), Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Cyclic Voltmetric (CV), Impedance spectroscopy (IS) and Differntial Pulse Voltammetry (DPV) techniques. The sensor showed linear range 1×10(-6) to 1×10(-12) M with lowest detection limit 1 pM which is 1000 times lower than G1PAMAM without graphene core. Copyright © 2011 Elsevier B.V. All rights reserved.

  13. Characterisation of nano-interdigitated electrodes

    Energy Technology Data Exchange (ETDEWEB)

    Skjolding, L H D; Ribayrol, A; Montelius, L [Division of Solid State Physics, Lund University, Box 118, SE-221 00 Lund (Sweden); Spegel, C [Department of Analytical Chemistry Lund University, Box 124, SE-221 00 Lund (Sweden); Emneus, J [MIC - Department of Micro and Nanotechnology, DTU - Building 345 East, DK-2800 Kgs. Lyngby (Denmark)], E-mail: lars_henrik.daehli_skjolding@ftf.lth.se

    2008-03-15

    Interdigitated electrodes made up of two individually addressable interdigitated comb-like electrode structures have frequently been suggested as ultra sensitive electrochemical biosensors. Since the signal enhancement effects due to cycling of the reduced and oxidized species are strongly dependent on the inter electrode distances, since the nature of the enhancement is due to overlying diffusion layers, interdigitated electrodes with an electrode separation of less then one micrometer are desired for maximum signal amplification. Fabrication of submicron structures can only be made by advanced lithography techniques. By use of electron beam lithography we have fabricated arrays of interdigitated electrodes with an electrode separation distance of 200 nm and an electrode finger width of likewise 200 nm. The entire electrode structure is 100 micrometre times 100 micrometre, and the active electrode area is dictated by the opening in the passivation layer, that is defined by UV lithography. Here we report measurements of redox cycling of ferrocyanide by coupled cyclic voltammograms, where the potential at one of the working electrodes are varied and either an oxidising or reducing potential is applied to the complimentary interdigitated electrode. The measurements show fast conversion and high collection efficiency round 87% as expected for nano-interdigitated electrodes.

  14. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    Science.gov (United States)

    Aksu, Serap

    fabricated low cost infrared nanoantenna arrays for plasmonically enhanced vibrational biospectroscopy is presented. Detection of immunologically important protein monolayers with thickness as small as 3 nm, and antibody assays are demonstrated using nanoantenna arrays fabricated with reusable nanostencils. The results presented indicate that nanostencil lithography is a promising method for reducing the nano manufacturing cost while enhancing the performance of biospectroscopy tools for biology and medicine. As a single step and low cost nanofabrication technique, NSL could facilitate the manufacturing of biophotonic technologies for real-world applications.

  15. Direct modification of silicon surface by nanosecond laser interference lithography

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dapeng [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Wang, Zuobin, E-mail: wangz@cust.edu.cn [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Zhang, Ziang [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); Yue, Yong [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Li, Dayou [JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom); Maple, Carsten [JR3CN and CNM (Changchun University of Science and Technology), Changchun 130022 (China); JR3CN and IRAC (University of Bedfordshire), Luton LU1 3JU (United Kingdom)

    2013-10-01

    Periodic and quasi-periodic structures on silicon surface have numerous significant applications in photoelectronics and surface engineering. A number of technologies have been developed to fabricate the structures in various research fields. In this work, we take the strategy of direct nanosecond laser interference lithography technology, and focus on the silicon material to create different well-defined surface structures based on theoretical analysis of the formation of laser interference patterns. Two, three and four-beam laser interference systems were set up to fabricate the grating, regular triangle and square structures on silicon surfaces, respectively. From the AFM micrographs, the critical features of structures have a dependence on laser fluences. For a relative low laser fluence, grating and dot structures formed with bumps due to the Marangoni Effect. With the increase of laser fluences, melt and evaporation behaviors can be responsible for the laser modification. By properly selecting the process parameters, well-defined grating and dot structures can been achieved. It can be demonstrated that direct laser interference lithography is a facile and efficient technology with the advantage of a single process procedure over macroscale areas for the fabrication of micro and nano structures.

  16. Patterning lead zirconate titanate nanostructures at sub-200-nm resolution by soft confocal imprint lithography and nanotransfer molding

    NARCIS (Netherlands)

    Khan, Sajid; Göbel, Ole; Blank, David H.A.; ten Elshof, Johan E.

    2009-01-01

    Patterned sol-gel-derived lead zirconate titanate (PZT) thin films with lateral resolutions down to 100 nm on silicon are reported. Both an imprint and a transfer-molding method were employed. The formed patterns after annealing were characterized with scanning electron microscopy, atomic force

  17. A mechanically enhanced hybrid nano-stratified barrier with a defect suppression mechanism for highly reliable flexible OLEDs.

    Science.gov (United States)

    Jeong, Eun Gyo; Kwon, Seonil; Han, Jun Hee; Im, Hyeon-Gyun; Bae, Byeong-Soo; Choi, Kyung Cheol

    2017-05-18

    Understanding the mechanical behaviors of encapsulation barriers under bending stress is important when fabricating flexible organic light-emitting diodes (FOLEDs). The enhanced mechanical characteristics of a nano-stratified barrier were analyzed based on a defect suppression mechanism, and then experimentally demonstrated. Following the Griffith model, naturally-occurring cracks, which were caused by Zn etching at the interface of the nano-stratified structure, can curb the propagation of defects. Cross-section images after bending tests provided remarkable evidence to support the existence of a defect suppression mechanism. Many visible cracks were found in a single Al 2 O 3 layer, but not in the nano-stratified structure, due to the mechanism. The nano-stratified structure also enhanced the barrier's physical properties by changing the crystalline phase of ZnO. In addition, experimental results demonstrated the effect of the mechanism in various ways. The nano-stratified barrier maintained a low water vapor transmission rate after 1000 iterations of a 1 cm bending radius test. Using this mechanically enhanced hybrid nano-stratified barrier, FOLEDs were successfully encapsulated without losing mechanical or electrical performance. Finally, comparative lifetime measurements were conducted to determine reliability. After 2000 hours of constant current driving and 1000 iterations with a 1 cm bending radius, the FOLEDs retained 52.37% of their initial luminance, which is comparable to glass-lid encapsulation, with 55.96% retention. Herein, we report a mechanically enhanced encapsulation technology for FOLEDs using a nano-stratified structure with a defect suppression mechanism.

  18. A novel approach to nano topology via neutrosophic sets

    OpenAIRE

    M. Lellis Thivagar; Saeid Jafari; V. Sutha Devi; V. Antonysamy

    2018-01-01

    The main objective of this study is to introduce a new hybrid intelligent structure called Neutrosophic nano topology. Fuzzy nano topology and intuitionistic nano topology can also be deduced from the neutrosophic nano topology. Based on the neutrosophic nano approximations we have classified neutrosophic nano topology. Some properties like neutrosophic nano interior and neutrosophic nano closure are derived.

  19. Wettability transition of plasma-treated polystyrene micro/nano pillars-aligned patterns

    Directory of Open Access Journals (Sweden)

    2010-12-01

    Full Text Available This paper reports the wettability transition of plasma-treated polystyrene (PS micro/nano pillars-aligned patterns. The micro/nano pillars were prepared using hot embossing on silicon microporous template and alumina nanoporous template, which were fabricated by ultraviolet (UV lithography and inductive coupled plasma (ICP etching, and two-step anodic oxidation, respectively. The results indicate that the combination of micro/nano patterning and plasma irradiation can easily regulate wettabilities of PS surfaces, i.e. from hydrophilicity to hydrophobicity, or from hydrophobicity to superhydrophilicity. During the wettability transition from hydrophobicity to hydrophilicity there is only mild hydrophilicity loss. After plasma irradiation, moreover, the wettability of PS micro/nano pillars-aligned patterns is more stable than that of flat PS surfaces. The observed wettability transition and wettability stability of PS micro/nano pillars-aligned patterns are new phenomena, which may have potential in creating programmable functional polymer surfaces.

  20. A PDMS/paper/glass hybrid microfluidic biochip integrated with aptamer-functionalized graphene oxide nano-biosensors for one-step multiplexed pathogen detection

    OpenAIRE

    Zuo, Peng; Li, XiuJun; Dominguez, Delfina C.; Ye, Bang-Ce

    2013-01-01

    Infectious pathogens often cause serious public health concerns throughout the world. There is an increasing demand for simple, rapid and sensitive approaches for multiplexed pathogen detection. In this paper we have developed a polydimethylsiloxane (PDMS)/paper/glass hybrid microfluidic system integrated with aptamer-functionalized graphene oxide (GO) nano-biosensors for simple, one-step, multiplexed pathogen detection. The paper substrate used in this hybrid microfluidic system facilitated ...

  1. Voltammetric determination of ultratrace levels of cerium(III) using a carbon paste electrode modified with nano-sized cerium-imprinted polymer and multiwalled carbon nanotubes

    International Nuclear Information System (INIS)

    Alizadeh, Taher; Ganjali, Mohammad Reza; Akhoundian, Maede; Norouzi, Parviz

    2016-01-01

    A carbon paste electrode was modified with a Ce(III)-imprinted polymer (Ce-IP) and used for voltammetric determination of Ce(III) ions in real water samples. Precipitation polymerization was used for synthesis of the nano-sized Ce-IP from vinylpyridine and methacrylic acid (acting as the complexing ligands and functional monomers), divinylbenzene (cross-linker) and AIBN as the radical starter. The Ce-IP was characterized by scanning electron microscopy and zeta potentials. A carbon paste electrode (CPE) was then impregnated with the Ce-IP and used for the extraction and subsequent determination of Ce(III). Oxidative square wave voltammetry showed the electrode to give a significantly better response than an electrode modified with the non-imprinted polymer. The addition of multiwalled carbon nanotubes to the Ce-IP-modified electrode further improves the signal, thereby increasing the sensitivity of the method. The effects of electrode composition, extraction pH value, volume and time were optimized. The electrode, if operated at a voltage of 1.05 V (vs. Ag/AgCl), displays a linear response to Ce(III) in the 1.0 μM to 25 pM concentration range, and the detection limit is 10 pM (at an S/N ratio of 3). The relative standard deviation of 5 separate determinations is 3.1 %. The method was successfully applied to the determination of Ce(III) in the spiked samples of drinking water and sea water. (author)

  2. Dual pH/redox responsive and CD44 receptor targeting hybrid nano-chrysalis based on new oligosaccharides of hyaluronan conjugates.

    Science.gov (United States)

    Chen, Daquan; Dong, Xue; Qi, Mengjiao; Song, Xiaoyan; Sun, Jingfang

    2017-02-10

    A smart hybrid microenvironment-mediated dual pH/redox-responsive polymeric nanoparticles combined with inorganic calcium phosphate (CaP) was fabricated, which we term as armored nano-chrysalis inspired by butterfly pupa. The nano-chrysalis has an inner core composed of specially designed oligosaccharides of hyaluronan (oHA) targeting CD44 receptor. The inner core has two functions, i.e., the dual pH/redox responsive polymeric conjugate and the fluorescent curcumin-prodrug function. The prepared nano-chrysalis possessed a smaller size (102.5±4.6nm) than the unarmored nano-chrysalis (122.5±6.6nm). Interestingly, while the nano-chrysalis were stable under pH 7.4, when incubated under the tumor acidic conditions (pH 6.5) the outer CaP armor would dissolve in a pH-dependent, sustained manner. Moreover, nano-chrysalis was demonstrated to present the most effective antitumor efficacy than other formulations. This study provides a promising smart nano-carrier platform to enhance the stability, decrease the side effects, and improve the therapeutic efficacy of anticancer drugs. Copyright © 2016 Elsevier Ltd. All rights reserved.

  3. Phytosterol Recognition via Rationally Designed Molecularly Imprinted Polymers

    Directory of Open Access Journals (Sweden)

    Lachlan J. Schwarz

    2018-02-01

    Full Text Available Molecularly imprinted polymers (MIPs prepared via a semi-covalent imprinting strategy using stigmasteryl methacrylate as a polymerisable template have been evaluated by static binding methods for their ability to selectively capture other valuable phytosterol targets, including campesterol and brassicasterol. Design criteria based on molecular modelling procedures and interaction energy calculations were employed to aid the selection of the co-monomer type, as well as the choice of co-monomer:template ratios for the formation of the pre-polymerisation complex. These novel hybrid semi-covalently imprinted polymers employed N,N′-dimethylacryl-amide (N,N′-DMAAM as the functional co-monomer and displayed specific binding capacities in the range 5.2–5.9 mg sterol/g MIP resin. Their binding attributes and selectivities towards phytosterol compounds were significantly different to the corresponding MIPs prepared via non-covalent procedures or when compared to non-imprinted polymers. Cross-reactivity studies using stigmasterol, ergosterol, cholesterol, campesterol, and brassicasterol as single analytes revealed the importance of the A-ring C-3-β-hydroxyl group and the orientational preferences of the D-ring alkyl chain structures in their interaction in the templated cavity with the N,N′-dimethylamide functional groups of the MIP. Finally, to obtain useful quantities of both campersterol and brassicasterol for these investigations, improved synthetic routes have been developed to permit the conversion of the more abundant, lower cost stigmasterol via a reactive aldehyde intermediate to these other sterols.

  4. Effect of nano-fillers on the thermal conductivity of epoxy composites with micro-Al2O3 particles

    International Nuclear Information System (INIS)

    Gao, Zhifang; Zhao, Lei

    2015-01-01

    Highlights: • Nano-fillers were synthesized by a simple urea process. • Ternary filler system with synthesized nano-hybrid fillers was investigated. • Using of nano-hybrid filler for prevent nanofiller aggregation was presented. - Abstract: Nano-AlN particles, AlN/graphene nano-hybrids (AlN/GE) and AlN/carbon nanotubes nano-hybrids (AlN/CNTs) were prepared. The structures, morphologies of synthesized nano-materials were examined by X-ray diffraction (XRD), scanning electron microscope (SEM) and transmission electron microscope (TEM). The results showed that the morphologies of the synthesized nano-materials were obviously different. In addition, the thermal conductivity of epoxy composites could be effectively improved by adding the produced nano-fillers. Especially, the epoxy composite with AlN/GE nano-hybrids had the highest enhancement in thermal conductivity comparison to the pure epoxy. Moreover, the density of epoxy composites with the synthesized nano-fillers was decreased and the corresponding thermal stability was enhanced

  5. Self-assembled hybrid materials based on conjugated polymers and semiconductors nano-crystals for plastic solar cells; Architectures hybrides auto-assemblees a base de systemes polyconjugues et de nanocristaux de semi-conducteurs pour le photovoltaique plastique

    Energy Technology Data Exchange (ETDEWEB)

    Girolamo, J. de

    2007-11-15

    This work is devoted to the elaboration of self-assembled hybrid materials based on poly(3- hexyl-thiophene) and CdSe nano-crystals for photovoltaic applications. For that, complementary molecular recognition units were introduced as side chain groups on the polymer and at the nano-crystals' surface. Diamino-pyrimidine groups were introduced by post-functionalization of a precursor copolymer, namely poly(3-hexyl-thiophene-co-3- bromo-hexyl-thiophene) whereas thymine groups were introduced at the nano-crystals' surface by a ligand exchange reaction with 1-(6-mercapto-hexyl)thymine. However, due to their different solubility, the mixing of the two components by solution processes is difficult. A 'one-pot' procedure was developed, but this method led to insoluble aggregates without control of the hybrid composition. To overcome the solubility problem, the layer-by-layer method was used to prepare the films. This method allows a precise control of the deposition process. Experimental parameters were tested in order to evaluate their impact on the resulting film. The films morphology was investigated by microscopy and X-Ray diffraction techniques. These analyses reveal an interpenetrated structure of nano-crystals within the polymer matrix rather than a multilayered structure. Electrochemical and spectro electrochemical studies were performed on the hybrid material deposited by the LBL process. Finally the materials were tested in a solar cell configuration and the I=f(V) curves reveals a clear photovoltaic behaviour. (author)

  6. Oxide-Free Bonding of III-V-Based Material on Silicon and Nano-Structuration of the Hybrid Waveguide for Advanced Optical Functions

    Directory of Open Access Journals (Sweden)

    Konstantinos Pantzas

    2015-10-01

    Full Text Available Oxide-free bonding of III-V-based materials for integrated optics is demonstrated on both planar Silicon (Si surfaces and nanostructured ones, using Silicon on Isolator (SOI or Si substrates. The hybrid interface is characterized electrically and mechanically. A hybrid InP-on-SOI waveguide, including a bi-periodic nano structuration of the silicon guiding layer is demonstrated to provide wavelength selective transmission. Such an oxide-free interface associated with the nanostructured design of the guiding geometry has great potential for both electrical and optical operation of improved hybrid devices.

  7. Does size matter? Study of performance of pseudo-ELISAs based on molecularly imprinted polymer nanoparticles prepared for analytes of different sizes.

    Science.gov (United States)

    Cáceres, C; Canfarotta, F; Chianella, I; Pereira, E; Moczko, E; Esen, C; Guerreiro, A; Piletska, E; Whitcombe, M J; Piletsky, S A

    2016-02-21

    The aim of this work is to evaluate whether the size of the analyte used as template for the synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) can affect their performance in pseudo-enzyme linked immunosorbent assays (pseudo-ELISAs). Successful demonstration of a nanoMIPs-based pseudo-ELISA for vancomycin (1449.3 g mol(-1)) was demonstrated earlier. In the present investigation, the following analytes were selected: horseradish peroxidase (HRP, 44 kDa), cytochrome C (Cyt C, 12 kDa) biotin (244.31 g mol(-1)) and melamine (126.12 g mol(-1)). NanoMIPs with a similar composition for all analytes were synthesised by persulfate-initiated polymerisation in water. In addition, core-shell nanoMIPs coated with polyethylene glycol (PEG) and imprinted for melamine were produced in organics and tested. The polymerisation of the nanoparticles was done using a solid-phase approach with the correspondent template immobilised on glass beads. The performance of the nanoMIPs used as replacement for antibodies in direct pseudo-ELISA (for the enzymes) and competitive pseudo-ELISA for the smaller analytes was investigated. For the competitive mode we rely on competition for the binding to the nanoparticles between free analyte and corresponding analyte-HRP conjugate. The results revealed that the best performances were obtained for nanoMIPs synthesised in aqueous media for the larger analytes. In addition, this approach was successful for biotin but completely failed for the smallest template melamine. This problem was solved using nanoMIP prepared by UV polymerisation in an organic media with a PEG shell. This study demonstrates that the preparation of nanoMIP by solid-phase approach can produce material with high affinity and potential to replace antibodies in ELISA tests for both large and small analytes. This makes this technology versatile and applicable to practically any target analyte and diagnostic field.

  8. Atom lithography of Fe

    NARCIS (Netherlands)

    Sligte, te E.; Smeets, B.; van der Stam, K.M.R.; Herfst, R.W.; Straten, van der P.; Beijerinck, H.C.W.; Leeuwen, van K.A.H.

    2004-01-01

    Direct write atom lithography is a technique in which nearly resonant light is used to pattern an atom beam. Nanostructures are formed when the patterned beam falls onto a substrate. We have applied this lithography scheme to a ferromagnetic element, using a 372 nm laser light standing wave to

  9. Gold surface supported spherical liposome-gold nano-particle nano-composite for label free DNA sensing.

    Science.gov (United States)

    Bhuvana, M; Narayanan, J Shankara; Dharuman, V; Teng, W; Hahn, J H; Jayakumar, K

    2013-03-15

    Immobilization of 1,2-dioleoyl-sn-glycero-3-phosphoethanolamine (DOPE) liposome-gold nano-particle (DOPE-AuNP) nano-composite covalently on 3-mercaptopropionic acid (MPA) on gold surface is demonstrated for the first time for electrochemical label free DNA sensing. Spherical nature of the DOPE on the MPA monolayer is confirmed by the appearance of sigmoidal voltammetric profile, characteristic behavior of linear diffusion, for the MPA-DOPE in presence of [Fe(CN)(6)](3-/4-) and [Ru(NH(3))(6)](3+) redox probes. The DOPE liposome vesicle fusion is prevented by electroless deposition of AuNP on the hydrophilic amine head groups of the DOPE. Immobilization of single stranded DNA (ssDNA) is made via simple gold-thiol linkage for DNA hybridization sensing in the presence of [Fe(CN)(6)](3-/4-). The sensor discriminates the hybridized (complementary target hybridized), un-hybridized (non-complementary target hybridized) and single base mismatch target hybridized surfaces sensitively and selectively without signal amplification. The lowest target DNA concentration detected is 0.1×10(-12)M. Cyclic voltammetry (CV), electrochemical impedance (EIS), differential pulse voltammetry (DPV) and quartz crystal microbalance (QCM) techniques are used for DNA sensing on DOPE-AuNP nano-composite. Transmission Electron Microscopy (TEM), Fourier Transform Infrared Spectroscopy (FTIR), Atomic Force Microscopy (AFM), Dynamic Light Scattering (DLS) and Ultraviolet-Visible (UV) spectroscopic techniques are used to understand the interactions between the DOPE, AuNP and ssDNA. The results indicate the presence of an intact and well defined spherical DOPE-AuNP nano-composite on the gold surface. The method could be applied for fabrication of the surface based liposome-AuNP-DNA composite for cell transfection studies at reduced reagents and costs. Copyright © 2012 Elsevier B.V. All rights reserved.

  10. Performance of hybrid nano-micro reinforced mg metal matrix composites brake calliper: simulation approach

    Science.gov (United States)

    Fatchurrohman, N.; Chia, S. T.

    2017-10-01

    Most commercial vehicles use brake calliper made of grey cast iron (GCI) which possesses heavy weight. This contributes to the total weight of the vehicle which can lead to higher fuel consumption. Another major problem is GCI calliper tends to deflect during clamping action, known as “bending of bridge”. This will result in extended pedal travel. Magnesium metal matrix composites (Mg-MMC) has a potential application in the automotive industry since it having a lower density, higher strength and very good modulus of elasticity as compared to GCI. This paper proposed initial development of hybrid Mg-MMC brake calliper. This was achieved by analyzing the performance of hybrid nano-micro reinforced Mg-MMC and comparing with the conventional GCI brake calliper. It was performed using simulation in ANSYS, a finite element analysis (FEA) software. The results show that hybrid Mg-MMC has better performance in terms of reduction the weight of the brake calliper, reduction in total deformation/deflection and better ability to withstand equivalent elastic strain.

  11. Hydrophilic/hydrophobic surface modification impact on colloid lithography: Schottky-like defects, dislocation, and ideal distribution

    Science.gov (United States)

    Burtsev, Vasilii; Marchuk, Valentina; Kugaevskiy, Artem; Guselnikova, Olga; Elashnikov, Roman; Miliutina, Elena; Postnikov, Pavel; Svorcik, Vaclav; Lyutakov, Oleksiy

    2018-03-01

    Nano-spheres lithography is actually considered as a powerful tool to manufacture various periodic structures with a wide potential in the field of nano- and micro-fabrication. However, during self-assembling of colloid microspheres, various defects and mismatches can appear. In this work the size and quality of single-domains of closed-packed polystyrene (PS), grown up on thin Au layers modified by hydrophilic or hydrophobic functional groups via diazonium chemistry was studied. The effects of the surface modification on the quality and single-domain size of polystyrene (PS) microspheres array were investigated and discussed. Modified surfaces were characterized using the AFM and wettability tests. PS colloidal suspension was deposited using the drop evaporation method. Resulted PS microspheres array was characterized using the SEM, AFM and confocal microscopy technique.

  12. Congenital imprinting disorders

    DEFF Research Database (Denmark)

    Eggermann, Thomas; Netchine, Irène; Temple, I Karen

    2015-01-01

    Imprinting disorders (IDs) are a group of eight rare but probably underdiagnosed congenital diseases affecting growth, development and metabolism. They are caused by similar molecular changes affecting regulation, dosage or the genomic sequence of imprinted genes. Each ID is characterised...... by specific clinical features, and, as each appeared to be associated with specific imprinting defects, they have been widely regarded as separate entities. However, they share clinical characteristics and can show overlapping molecular alterations. Nevertheless, IDs are usually studied separately despite...... EUCID.net (European network of congenital imprinting disorders) now aims to promote better clinical care and scientific investigation of imprinting disorders by establishing a concerted multidisciplinary alliance of clinicians, researchers, patients and families. By encompassing all IDs and establishing...

  13. Design for manufacturability with advanced lithography

    CERN Document Server

    Yu, Bei

    2016-01-01

    This book introduces readers to the most advanced research results on Design for Manufacturability (DFM) with multiple patterning lithography (MPL) and electron beam lithography (EBL).  The authors describe in detail a set of algorithms/methodologies to resolve issues in modern design for manufacturability problems with advanced lithography.  Unlike books that discuss DFM from the product level, or physical manufacturing level, this book describes DFM solutions from a circuit design level, such that most of the critical problems can be formulated and solved through combinatorial algorithms. Enables readers to tackle the challenge of layout decompositions for different patterning techniques; Presents a coherent framework, including standard cell compliance and detailed placement, to enable Triple Patterning Lithography (TPL) friendly design; Includes coverage of the design for manufacturability with E-Beam lithography.

  14. Track-etch membranes enabled nano-/microtechnology: A review

    International Nuclear Information System (INIS)

    Chakarvarti, S.K.

    2009-01-01

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  15. Track-etch membranes enabled nano-/microtechnology: A review

    Energy Technology Data Exchange (ETDEWEB)

    Chakarvarti, S.K., E-mail: skchakarvarti@gmail.co [Department of Physics, National Institute of Technology, Institution of National Importance, Kurukshetra 136 119 (India)

    2009-10-15

    The art and science of fabricating structures with nano-/micrometric dimensions as well as precision is of the immense concern to any one investigating into nano-/microtechnology. The synergetic support of radiation and its potential in combining radiation effects with nano-/micromaterials has been recognized from the very early stages of nano-science research. In the myriad of applications and uses of nano-/microstructures, and nano particles in particular, from filtration, fabrication of biosensors, a chemical catalysis, magnetic structures, nano-electronics, MEMS, mechano-chemical conversion, quantum computing etc to name a few, radiation can play a significant role. One such potential application is track-etch membranes- a spin-off from the matter-radiation interaction. In the recent years, there has been a tremendous leap in the potential applications of metallic as well as non-metallic nano-/microstructures and materials. Nanotechnology has initiated a big hop and appears to be all set for bringing in revolution in the development and advancement of techniques involved in the synthesis and fabrication of sensors and devices. The conventional techniques for fabrication of very low dimensional wires - say quantum wires, include wet chemistry, electron beam lithography, focused ion beam techniques and atomic-beam lithography but for certain drawbacks and problems mentioned further. That has shown the ways for adopting newer alternative approaches which are relatively inexpensive, easier to handle and synergistically adorned with high efficacy. It is now well known that size of the devices and components dictate many unusual traits where quantum effects become more predominant. Quasi-one-dimensional nanostructures and materials like nanowires, fibres, tubules etc, having high aspect ratio would provide unusual and uncommon properties. Some properties like strength and hardness enhancement, dramatic changes in electrical conduction, field-ion-emission through

  16. Au Based Nanocomposites Towards Plasmonic Applications

    Science.gov (United States)

    Panniello, A.; Curri, M. L.; Placido, T.; Reboud, V.; Kehagias, N.; Sotomayor Torres, C. M.; Mecerreyes, D.; Agostiano, A.; Striccoli, M.

    2010-06-01

    Incorporation of nano-sized metals in polymers can transfer their unique features to the host matrix, providing nanocomposite materials with improved optical, electric, magnetic and mechanical properties. In this work, colloidal Au nanorods have been incorporated into PMMA based random co-polymer, properly functionalized with amino groups and the optical and morphological properties of the resulting nanocomposite have been investigated by spectroscopic and AFM measurements. Au nanorods have demonstrated to preserve the plasmon absorption and to retain morphological features upon the incorporation, thus making the final metal modified polymer composite exploitable for the fabrication of plasmonic devices. The prepared nanocomposites have been then patterned by Nano Imprint Lithography technique in order to demonstrate the viability of the materials towards optical applications.

  17. Luminescent Eosin Y–SiO{sub 2} hybrid nano and microrods prepared by sol–gel template method

    Energy Technology Data Exchange (ETDEWEB)

    Secu, M., E-mail: msecu@infim.ro [National Institute for Materials Physics, P.O. Box MG-7, Bucharest–Magurele, 077125 (Romania); Secu, C.E.; Sima, M.; Negrea, R.F.; Bartha, C. [National Institute for Materials Physics, P.O. Box MG-7, Bucharest–Magurele, 077125 (Romania); Dinescu, M.; Damian, V. [National Institute for Laser, Plasma and Radiation, P.O. Box MG-36, Bucharest–Magurele 077125 (Romania)

    2013-11-15

    Sol–gel chemistry within the pores of a polycarbonate template membrane was used for the preparation of Eosin Y–SiO{sub 2} hybrid nano- and microrods, using tetraethylorthosilicate [TEOS, Si(OC{sub 2}H{sub 5}){sub 4}] as the precursor in the presence of trifluoroacetic acid (TFA) catalyst. The ethanolic solution of Eosin-Y was added to the silica sol to trap dye molecules inside the SiO{sub 2} gel network during the gelation. Structural and morphological characterization using scanning electron microscopy (SEM) and luminescence microscopy have shown the formation of rods with 200 nm and 1.2 μm diameter and about 30 μm length, exhibiting luminescence properties. Spectroscopic characterization has shown that the luminescence is due to Eosin-Y molecule in the xerogel porous network, surrounded by a solvation shell given mainly by the water. -- Highlights: • Sol–gel template method was used to prepare Eosin Y–SiO{sub 2} hybrid rods-type structures. • Morphological characterization has shown nano- and microrods with luminescent properties. • Luminescence is due to Eosin-Y molecule surrounded by a solvation shell given by water.

  18. All-silica nanofluidic devices for DNA-analysis fabricated by imprint of sol-gel silica with silicon stamp

    DEFF Research Database (Denmark)

    Mikkelsen, Morten Bo Lindholm; Letailleur, Alban A; Søndergård, Elin

    2011-01-01

    We present a simple and cheap method for fabrication of silica nanofluidic devices for single-molecule studies. By imprinting sol-gel materials with a multi-level stamp comprising micro- and nanofeatures, channels of different depth are produced in a single process step. Calcination of the imprin......We present a simple and cheap method for fabrication of silica nanofluidic devices for single-molecule studies. By imprinting sol-gel materials with a multi-level stamp comprising micro- and nanofeatures, channels of different depth are produced in a single process step. Calcination...... of the imprinted hybrid sol-gel material produces purely inorganic silica, which has very low autofluorescence and can be fusion bonded to a glass lid. Compared to top-down processing of fused silica or silicon substrates, imprint of sol-gel silica enables fabrication of high-quality nanofluidic devices without...

  19. Imprinting disorders

    DEFF Research Database (Denmark)

    Eggermann, Thomas; Perez de Nanclares, Guiomar; Maher, Eamonn R

    2015-01-01

    Congenital imprinting disorders (IDs) are characterised by molecular changes affecting imprinted chromosomal regions and genes, i.e. genes that are expressed in a parent-of-origin specific manner. Recent years have seen a great expansion in the range of alterations in regulation, dosage or DNA...... sequence shown to disturb imprinted gene expression, and the correspondingly broad range of resultant clinical syndromes. At the same time, however, it has become clear that this diversity of IDs has common underlying principles, not only in shared molecular mechanisms, but also in interrelated clinical...

  20. Multilength Scale Patterning of Functional Layers by Roll-to-Roll Ultraviolet-Light-Assisted Nanoimprint Lithography.

    Science.gov (United States)

    Leitgeb, Markus; Nees, Dieter; Ruttloff, Stephan; Palfinger, Ursula; Götz, Johannes; Liska, Robert; Belegratis, Maria R; Stadlober, Barbara

    2016-05-24

    Top-down fabrication of nanostructures with high throughput is still a challenge. We demonstrate the fast (>10 m/min) and continuous fabrication of multilength scale structures by roll-to-roll UV-nanoimprint lithography on a 250 mm wide web. The large-area nanopatterning is enabled by a multicomponent UV-curable resist system (JRcure) with viscous, mechanical, and surface properties that are tunable over a wide range to either allow for usage as polymer stamp material or as imprint resist. The adjustable elasticity and surface chemistry of the resist system enable multistep self-replication of structured resist layers. Decisive for defect-free UV-nanoimprinting in roll-to-roll is the minimization of the surface energies of stamp and resist, and the stepwise reduction of the stiffness from one layer to the next is essential for optimizing the reproduction fidelity especially for nanoscale features. Accordingly, we demonstrate the continuous replication of 3D nanostructures and the high-throughput fabrication of multilength scale resist structures resulting in flexible polyethylenetherephtalate film rolls with superhydrophobic properties. Moreover, a water-soluble UV-imprint resist (JRlift) is introduced that enables residue-free nanoimprinting in roll-to-roll. Thereby we could demonstrate high-throughput fabrication of metallic patterns with only 200 nm line width.

  1. Semi-synthetic biotin imprinting onto avidin crosslinked gold-silver nanoparticles

    Energy Technology Data Exchange (ETDEWEB)

    At Latin-Small-Letter-Dotless-I l Latin-Small-Letter-Dotless-I r Oezcan, Ayca, E-mail: aatilir@anadolu.edu.tr; Ersoez, Arzu; Huer, Deniz; Y Latin-Small-Letter-Dotless-I lmaz, Filiz [Anadolu University, Department of Chemistry (Turkey); Gueltekin, Aytac [Karamanoglu Mehmetbey University, Department of Engineering of Energy Systems (Turkey); Denizli, Adil [Hacettepe University, Department of Chemistry (Turkey); Say, R Latin-Small-Letter-Dotless-I dvan [Anadolu University, Department of Chemistry (Turkey)

    2012-06-15

    This study is a different and new application of molecular imprinted polymers (MIPs) based on sensor technologies. In this study, semi-synthetic biotin imprinted polymeric shell has been decorated onto the surface of avidin crosslinked Au/Ag nanoclusters using bis (2-2 Prime -bipyridyl) MATyr-MATrp-ruthenium(II) (MATyr-Ru-MATrp) as photosensitive monomer. The synthesized nanoclusters have been used the recognition of biotin by flourometric method. Synthesis of the photosensitive monomers has been realized by AmiNoAcid (monomer) Decorated and Light Underpinning Conjugation Approach (ANADOLUCA) method. This method provides a strategy for the preparation of photosensitive ruthenium based aminoacid monomers and oligomers, aminoacid monomer-protein crosslinking using photosensitation and conjugation approach on micro and nano-structures by ruthenium-chelate based monomers. The affinity constant (K{sub a}) of biotin imprinted Au/Ag nanoclusters has been determined using the Scatchard method and found to be 3.89 Multiplication-Sign 10{sup 5} M{sup -1}. The obtained calibration graph is linear for the range of 0.051 and 2.50 {mu}M of biotin. The detection limit of biotin has been found to be 15 nM. Also, the reusability of these nanoclusters has been investigated and it has been observed that the same clusters could be used 10 times during a long period without any binding capacity decreasing.

  2. Semi-synthetic biotin imprinting onto avidin crosslinked gold–silver nanoparticles

    International Nuclear Information System (INIS)

    Atılır Özcan, Ayça; Ersöz, Arzu; Hür, Deniz; Yılmaz, Filiz; Gültekin, Aytaç; Denizli, Adil; Say, Rıdvan

    2012-01-01

    This study is a different and new application of molecular imprinted polymers (MIPs) based on sensor technologies. In this study, semi-synthetic biotin imprinted polymeric shell has been decorated onto the surface of avidin crosslinked Au/Ag nanoclusters using bis (2-2′-bipyridyl) MATyr-MATrp-ruthenium(II) (MATyr-Ru-MATrp) as photosensitive monomer. The synthesized nanoclusters have been used the recognition of biotin by flourometric method. Synthesis of the photosensitive monomers has been realized by AmiNoAcid (monomer) Decorated and Light Underpinning Conjugation Approach (ANADOLUCA) method. This method provides a strategy for the preparation of photosensitive ruthenium based aminoacid monomers and oligomers, aminoacid monomer-protein crosslinking using photosensitation and conjugation approach on micro and nano-structures by ruthenium-chelate based monomers. The affinity constant (K a ) of biotin imprinted Au/Ag nanoclusters has been determined using the Scatchard method and found to be 3.89 × 10 5 M −1 . The obtained calibration graph is linear for the range of 0.051 and 2.50 μM of biotin. The detection limit of biotin has been found to be 15 nM. Also, the reusability of these nanoclusters has been investigated and it has been observed that the same clusters could be used 10 times during a long period without any binding capacity decreasing.

  3. Efficiency increase in flexible bulk heterojunction solar cells with a nano-patterned indium zinc oxide anode

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Dong Hwan; Seifter, Jason; Heeger, Alan J. [Center for Polymers and Organic Solids, University of California at Santa Barbara, Santa Barbara, California 93106-5090 (United States); Park, Jong Hyeok [School of Chemical Engineering and SAINT, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of); Choi, Dae-Geun [Nano-Mechanical Systems Research Division, Korea Institute of Machinery and Materials (KIMM), 171 Jang-dong, Yuseong-gu, Daejeon, 305-343 (Korea, Republic of)

    2012-11-15

    Efficient flexible bulk-heterojunction polymer solar cells based on PCDTBT/PC{sub 70}BM were successfully fabricated by a simple nano-imprint technique. The flexible nano-patterned IZO anode with ordered periodic dot structures led to improved light absorption and increased interfacial contact area between the anode and polymer as well as between the polymer and cathode. (Copyright copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  4. Solid-Phase Synthesis of Molecularly Imprinted Polymer Nanoparticles with a Reusable Template - "Plastic Antibodies".

    Science.gov (United States)

    Poma, Alessandro; Guerreiro, Antonio; Whitcombe, Michael J; Piletska, Elena V; Turner, Anthony P F; Piletsky, Sergey A

    2013-06-13

    Molecularly Imprinted Polymers (MIPs) are generic alternatives to antibodies in sensors, diagnostics and separations. To displace biomolecules without radical changes in infrastructure in device manufacture, MIPs should share their characteristics (solubility, size, specificity and affinity, localized binding domain) whilst maintaining the advantages of MIPs (low-cost, short development time and high stability) hence the interest in MIP nanoparticles. Herein we report a reusable solid-phase template approach (fully compatible with automation) for the synthesis of MIP nanoparticles and their precise manufacture using a prototype automated UV photochemical reactor. Batches of nanoparticles (30-400 nm) with narrow size distributions imprinted with: melamine (d = 60 nm, K d = 6.3 × 10 -8 m), vancomycin (d = 250 nm, K d = 3.4 × 10 -9 m), a peptide (d = 350 nm, K d = 4.8 × 10 -8 m) and proteins have been produced. Our instrument uses a column packed with glass beads, bearing the template. Process parameters are under computer control, requiring minimal manual intervention. For the first time we demonstrate the reliable re-use of molecular templates in the synthesis of MIPs (≥ 30 batches of nanoMIPs without loss of performance). NanoMIPs are produced template-free and the solid-phase acts both as template and affinity separation medium.

  5. Quasi-monodimensional polyaniline nanostructures for enhanced molecularly imprinted polymer-based sensing.

    Science.gov (United States)

    Berti, Francesca; Todros, Silvia; Lakshmi, Dhana; Whitcombe, Michael J; Chianella, Iva; Ferroni, Matteo; Piletsky, Sergey A; Turner, Anthony P F; Marrazza, Giovanna

    2010-10-15

    Recent advances in nanotechnology have allowed significant progress in utilising cutting-edge techniques associated with nanomaterials and nano-fabrication to expand the scope and capability of biosensors to a new level of novelty and functionality. The aim of this work was the development and characterisation of conductive polyaniline (PANI) nanostructures for applications in electrochemical biosensing. We explore a simple, inexpensive and fast route to grow PANI nanotubes, arranged in an ordered structure directly on an electrode surface, by electrochemical polymerisation using alumina nanoporous membranes as a 'nano-mould'. The deposited nanostructures have been characterised electrochemically and morphologically prior to grafting with a molecularly imprinted polymer (MIP) receptor in order to create a model sensor for catechol detection. In this way, PANI nanostructures resulted in a conductive nanowire system which allowed direct electrical connection between the electrode and the synthetic receptor (MIP). To our knowledge, this is the first example of integration between molecularly imprinted polymers and PANI nanostructured electrodes. The advantages of using nanostructures in this particular biosensing application have been evaluated by comparing the analytical performance of the sensor with an analogous non-nanostructured MIP-sensor for catechol detection that was previously developed. A significantly lower limit of detection for catechol has been obtained (29 nM, one order of magnitude), thus demonstrating that the nanostructures are capable of improving the analytical performance of the sensor. Copyright © 2010 Elsevier B.V. All rights reserved.

  6. Comparison on exfoliated graphene nano-sheets and triturated graphite nano-particles for mode-locking the Erbium-doped fibre lasers

    Science.gov (United States)

    Yang, Chun-Yu; Lin, Yung-Hsiang; Wu, Chung-Lun; Cheng, Chih-Hsien; Tsai, Din-Ping; Lin, Gong-Ru

    2018-06-01

    Comparisons on exfoliated graphene nano-sheets and triturated graphite nano-particles for mode-locking the Erbium-doped fiber lasers (EDFLs) are performed. As opposed to the graphite nano-particles obtained by physically triturating the graphite foil, the tri-layer graphene nano-sheets is obtained by electrochemically exfoliating the graphite foil. To precisely control the size dispersion and the layer number of the exfoliated graphene nano-sheet, both the bias of electrochemical exfoliation and the speed of centrifugation are optimized. Under a threshold exfoliation bias of 3 volts and a centrifugation at 1000 rpm, graphene nano-sheets with an average diameter of 100  ±  40 nm can be obtained. The graphene nano-sheets with an area density of 15 #/µm2 are directly imprinted onto the end-face of a single-mode fiber made patchcord connector inside the EDFL cavity. Such electrochemically exfoliated graphene nano-sheets show comparable saturable absorption with standard single-graphene and perform the self-amplitude modulation better than physically triturated graphite nano-particles. The linear transmittance and modulation depth of the inserted graphene nano-sheets are 92.5% and 53%, respectively. Under the operation with a power gain of 21.5 dB, the EDFL can be passively mode-locked to deliver a pulsewidth of 454.5 fs with a spectral linewidth of 5.6 nm. The time-bandwidth product of 0.31 is close to the transform limit. The Kelly sideband frequency spacing of 1.34 THz is used to calculate the chirp coefficient as  ‑0.0015.

  7. Nanostructuring steel for injection molding tools

    DEFF Research Database (Denmark)

    Al-Azawi, A.; Smistrup, Kristian; Kristensen, Anders

    2014-01-01

    The production of nanostructured plastic items by injection molding with ridges down to 400 nm in width, which is the smallest line width replicated from nanostructured steel shims, is presented. Here we detail a micro-fabrication method where electron beam lithography, nano-imprint lithography...... and ion beam etching are combined to nanostructure the planar surface of a steel wafer. Injection molded plastic parts with enhanced surface properties, like anti-reflective, superhydrophobic and structural colors can be achieved by micro-and nanostructuring the surface of the steel molds. We investigate...... the minimum line width that can be realized by our fabrication method and the influence of etching angle on the structure profile during the ion beam etching process. Trenches down to 400 nm in width have been successfully fabricated into a 316 type electro-polished steel wafer. Afterward a plastic replica...

  8. Hybrid reflection type metasurface of nano-antennas designed for optical needle field generation

    Science.gov (United States)

    Wang, Shiyi; Zhan, Qiwen

    2015-03-01

    We propose a reflection type metal-insulator-metal (MIM) metasurface composed of hybrid optical antennas for comprehensive spatial engineering the properties of optical fields. Its capability is illustrated with an example to create a radially polarized vectorial beam for optical needle field generation. Functioning as local quarter-wave-plates (QWP), the MIM metasurface is designed to convert circularly polarized incident into local linear polarization to create an overall radial polarization with corresponding binary phases and desired normalized amplitude modulation ranged from 0.07 to 1. To obtain enough degrees of freedom, the optical-antenna layer comprises periodic arrangements of double metallic nano-bars with perpendicular placement and single nano-bars respectively for different amplitude modulation requirements. Both of the antennas enable to introduce π/2 retardation while reaching the desired modulation range both for phase and amplitude. Through adjusting the antennas' geometry and array carefully, we shift the gap-surface plasmon resonances facilitated by optical antennas to realize the manipulation of vectorial properties. Designed at 1064 nm wavelength, the particularly generated vectorial light output can be further tightly focused by a high numerical aperture objective to obtain longitudinally polarized flat-top focal field. The so-called optical needle field is a promising candidate for novel applications that transcend disciplinary boundaries. The proposed metasurface establishes a new class of compact optical components based on nano-scale structures, leading to compound functions for vectorial light generation.

  9. [Neurobiology of imprinting].

    Science.gov (United States)

    Ohki-Hamazaki, Hiroko

    2012-06-01

    Imprinting is an example of learning and memory acquisition in infancy. In the case of precocial birds, such as geese, ducks, and chickens, the baby birds learn the characteristics of the first moving object that they see within a critical period, and they imprint on it and follow it around. We analyzed the neural basis of this behavior in order to understand the neural mechanism of learning and memory in infancy. Information pertaining to a visual imprinting stimulus is recognized and processed in the visual Wulst, a region that corresponds to the mammalian visual cortex. It is then transmitted to the posterior region of the telencephalon, followed by the core region of the hyperpallium densocellulare (HDCo), periventricular region of the hyperpallium densocellulare (HDPe), and finally, the intermediate medial mesopallium (IMM), a region similar to the mammalian association cortex. Memory is stored in the IMM. After imprint training, plastic changes are observed in the visual Wulst as well as in the neurons of this circuit. HDCo cells, located at the center of this circuit, express N-methyl-D-aspartate (NMDA) receptors containing the NMDA receptor (NR) 2B subunit; the expression of this receptor increased after the imprint training. Inhibition of this receptor in the cells of the HDCo region leads to failure of imprinting and inactivation of this circuit. Thus, NMDA receptors bearing the NR2B subunit play a critical role in plastic changes in this circuit and in induction of imprinting.

  10. Electron beam mask writer EBM-9500 for logic 7nm node generation

    Science.gov (United States)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  11. Chemically Designed Metallic/Insulating Hybrid Nanostructures with Silver Nanocrystals for Highly Sensitive Wearable Pressure Sensors.

    Science.gov (United States)

    Kim, Haneun; Lee, Seung-Wook; Joh, Hyungmok; Seong, Mingi; Lee, Woo Seok; Kang, Min Su; Pyo, Jun Beom; Oh, Soong Ju

    2018-01-10

    With the increase in interest in wearable tactile pressure sensors for e-skin, researches to make nanostructures to achieve high sensitivity have been actively conducted. However, limitations such as complex fabrication processes using expensive equipment still exist. Herein, simple lithography-free techniques to develop pyramid-like metal/insulator hybrid nanostructures utilizing nanocrystals (NCs) are demonstrated. Ligand-exchanged and unexchanged silver NC thin films are used as metallic and insulating components, respectively. The interfaces of each NC layer are chemically engineered to create discontinuous insulating layers, i.e., spacers for improved sensitivity, and eventually to realize fully solution-processed pressure sensors. Device performance analysis with structural, chemical, and electronic characterization and conductive atomic force microscopy study reveals that hybrid nanostructure based pressure sensor shows an enhanced sensitivity of higher than 500 kPa -1 , reliability, and low power consumption with a wide range of pressure sensing. Nano-/micro-hierarchical structures are also designed by combining hybrid nanostructures with conventional microstructures, exhibiting further enhanced sensing range and achieving a record sensitivity of 2.72 × 10 4 kPa -1 . Finally, all-solution-processed pressure sensor arrays with high pixel density, capable of detecting delicate signals with high spatial selectivity much better than the human tactile threshold, are introduced.

  12. Manipulation of novel nano-prodrug composed of organic pigment-based hybrid network and its optical uses

    Energy Technology Data Exchange (ETDEWEB)

    Zhou, Zhan [College of Chemistry and Chemical Engineering, Henan Key Laboratory of Function-Oriented Porous Materials, Luoyang Normal University, Luoyang 471934 (China); Zheng, Yuhui [Key Laboratory of Theoretical Chemistry of Environment, Ministry of Education, School of Chemistry & Environment, South China Normal University, Guangzhou 510006 (China); Cheng, Cheng Zhang [Departments of Physiology and Developmental Biology, University of Texas, Southwestern Medical Center, Dallas, TX 75390-9133 (United States); Wen, Jiajia [Key Laboratory of Theoretical Chemistry of Environment, Ministry of Education, School of Chemistry & Environment, South China Normal University, Guangzhou 510006 (China); Wang, Qianming, E-mail: qmwang@scnu.edu.cn [Key Laboratory of Theoretical Chemistry of Environment, Ministry of Education, School of Chemistry & Environment, South China Normal University, Guangzhou 510006 (China); Guangzhou Key Laboratory of Materials for Energy Conversion and Storage, Guangzhou 510006 (China)

    2017-01-01

    Here we developed the first case of pyropheophorbide-a-loaded PEGylated-hybrid carbon nanohorns (CNH-Pyro) to study tumor targeting therapy. During incubation with living cells, CNH-Pyro exhibited very intense red emissions. The intracellular imaging results were carried out by flow cytometry based on four different kinds of cell lines (including three adherent cell lines and one suspension cell line). Compared with free pyropheophorbide-a, CNH-Pyro demonstrated enhanced photodynamic tumor ablation efficiency during in vitro experiments due to improved biocompatibility of the hybrid nanomaterial and the photothermal therapy effect derived from carbon-network structure. Trypan blue staining experiments supported that the cell fate was dependent on the synergistic effects of both CNH-Pyro and laser irradiations. These results indicated that the chlorin-entrapped carbon nanohorns could provide powerful delivery vehicles for increasing photodynamic efficacy and possess early identification of the disease. - Graphical abstract: This nano-sized medicine can be defined as the development of pyropheophorbide-a-formulated hybrid carbon nano-horns (abbreviated as CNH-Pyro) with the capability of tumor targeting therapy. The unfavorable fluorescence quenching features of the organic pigments in PBS buffer have been converted into desirable luminescence in cellular medium. The intracellular imaging results were carried out by flow cytometry based on four different kinds of cell lines (including three adherent cell lines and one suspension cell line). In contrast to pure pyropheophorbide-a, the therapeutic efficiency of CNH-Pyro has been enhanced during in vitro experiments. - Highlights: • CNH-Pyro showed red emissions within cellular medium. • Intracellular imaging evaluation has been explored by four cell lines. • Photodynamic therapy has been carried out in vitro.

  13. Flexible and disposable plasmonic refractive index sensor using nanoimprint lithography

    Science.gov (United States)

    Mohapatra, Saswat; Moirangthem, Rakesh S.

    2018-03-01

    Nanostructure based plasmonic sensors are highly demanding in various areas due to their label-free and real-time detection capability. In this work, we developed an inexpensive flexible plasmonic sensor using optical disc nanograting via soft UV-nanoimprint lithography (UV-NIL). The polydimethylsiloxane (PDMS) stamp was used to transfer the nanograting structure from digital versatile discs (DVDs) to flexible and transparent polyethylene terephthalate (PET) substrate. Further, the plasmonic sensing substrate was obtained after coating a gold thin film on the top of the imprinted sample. The surface plasmon resonance (SPR) modes excited on gold coated nanograting structure appeared as a dip in the reflectance spectra measured at normal incident of white light in ambient air medium. Electromagnetic simulation based on finite element method (FEM) was used to understand and analyze the excited SPR modes and it is a very close agreement with the experimental results. The bulk refractive index (RI) sensing was performed by the sensor chip using water-glycerol mixture with different concentrations. Experimentally, the bulk RI sensitivity was found to be 797+/-17 nm/RIU.

  14. A hybrid molecularly imprinted polymer coated quantum dot nanocomposite optosensor for highly sensitive and selective determination of salbutamol in animal feeds and meat samples.

    Science.gov (United States)

    Raksawong, Phannika; Chullasat, Kochaporn; Nurerk, Piyaluk; Kanatharana, Proespichaya; Davis, Frank; Bunkoed, Opas

    2017-08-01

    A hybrid molecularly imprinted polymer (MIP)-coated quantum dot (QD) nanocomposite was synthesized and applied as a fluorescence probe for the highly sensitive and selective determination of salbutamol. The hybrid MIP-coated QD nanocomposite was synthesized via a copolymerization process in the presence of thioglycolic acid capped CdTe QDs with salbutamol as a template, 3-aminopropyltriethoxysilane as the functional monomer, and tetraethyl orthosilicate as a cross-linker. The optimum molar ratio of template, monomer, and cross-linker was 1:6:20. The fluorescence intensity of the hybrid MIP-coated QDs was efficiently quenched after salbutamol rebound to the recognition sites, as a result of charge transfer from QDs to salbutamol. The synthesized hybrid MIP-coated QD nanocomposite showed high sensitivity and good selectivity toward salbutamol. Under the optimal recognition conditions, the fluorescence intensity was quenched linearly with increasing concentration of salbutamol in the range from 0.10 to 25.0 μg L -1 , with a detection limit of 0.034 μg L -1 . The hybrid optosensor developed was successfully applied in the determination of salbutamol in animal feeds and meat samples. Satisfactory recoveries were obtained in the range from 85% to 98%, with a standard deviation of less than 8%. Furthermore, the accuracy of the hybrid MIP-coated QD nanocomposite was investigated by comparison with a conventional high-performance liquid chromatography method, with the results obtained with two methods agreeing well with each other. The advantages of this sensing method are simplicity, rapidity, cost-effectiveness, high sensitivity, and good selectivity. Graphical Abstract The synthesis of hybrid MIP-coated QDs nanocomposite.

  15. Thermal and radiation process for nano-/micro-fabrication of crosslinked PTFE

    International Nuclear Information System (INIS)

    Kobayashi, Akinobu; Oshima, Akihiro; Okubo, Satoshi; Tsubokura, Hidehiro; Takahashi, Tomohiro; Oyama, Tomoko Gowa; Tagawa, Seiichi; Washio, Masakazu

    2013-01-01

    Nano-/micro-fabrication process of crosslinked poly(tetrafluoroethylene) (RX-PTFE) is proposed as a novel method using combined process which is thermal and radiation process for fabrication of RX-PTFE (TRaf process). Nano- and micro-scale patterns of silicon wafers fabricated by EB lithography were used as the molds for TRaf process. Poly(tetrafluoroethylene) (PTFE) dispersion was dropped on the fabricated molds, and then PTFE was crosslinked with doses from 105 kGy to 1500 kGy in its molten state at 340 °C in nitrogen atmosphere. The obtained nano- and micro-structures by TRaf process were compared with those by the conventional thermal fabrication process. Average surface roughness (R a ) of obtained structures was evaluated with atomic force microscope (AFM) and scanning electron microscope (SEM). R a of obtained structures with the crosslinking dose of 600 kGy showed less than 1.2 nm. The fine nano-/micro-structures of crosslinked PTFE were successfully obtained by TRaf process

  16. Functional patterns obtained by nanoimprinting lithography and subsequent growth of polymer brushes

    International Nuclear Information System (INIS)

    Genua, A; AlduncIn, J A; Pomposo, J A; Grande, H; Kehagias, N; Reboud, V; Sotomayor, C; Mondragon, I; Mecerreyes, D

    2007-01-01

    In this work the growth of polymer brushes was combined with nanoimprint lithography (NIL) in order to obtain new functional nanopatterns. First, a functional thermoplastic methacrylic copolymer poly(methyl methacrylate-co-2-bromoisobutyryl-oxy-ethyl methacrylate) was synthesized. This copolymer was successfully patterned by NIL using a silicon stamp at 160 deg. C and 60 bar. Next, hydrophilic polymer brushes based on poly(3-sulfopropylmethacrylate) and hydrophobic polymer brushes based on a poly(fluorinated methacrylate) were grown on the imprinted surfaces. The surface properties of the patterned polymer were accordingly modified and, as a consequence, the water contact angle was modified from 80.3 deg. to 32.5 deg. in the case of the hydrophilic brushes and to 118.1 deg. in the case of the hydrophobic brushes. As an application we demonstrated the use of hydrophobic polymer brushes in order to modify the surface of polymeric stamps for NIL with self-demoulding properties

  17. NATO Advanced Study Institute on Nano-Optics : Principles Enabling Basic Research and Applications

    CERN Document Server

    Collins, John; Silvestri, Luciano

    2017-01-01

    This book provides a comprehensive overview of nano-optics, including basic theory, experiment and applications, particularly in nanofabrication and optical characterization. The contributions clearly demonstrate how advances in nano-optics and photonics have stimulated progress in nanoscience and -fabrication, and vice versa. Their expert authors address topics such as three-dimensional optical lithography and microscopy beyond the Abbe diffraction limit, optical diagnostics and sensing, optical data- and telecommunications, energy-efficient lighting, and efficient solar energy conversion. Nano-optics emerges as a key enabling technology of the 21st century. This work will appeal to a wide readership, from physics through chemistry, to biology and engineering. The contributions that appear in this volume were presented at a NATO Advanced Study Institute held in Erice, 4-19 July, 2015.

  18. NanoTopoChip: High-throughput nanotopographical cell instruction.

    Science.gov (United States)

    Hulshof, Frits F B; Zhao, Yiping; Vasilevich, Aliaksei; Beijer, Nick R M; de Boer, Meint; Papenburg, Bernke J; van Blitterswijk, Clemens; Stamatialis, Dimitrios; de Boer, Jan

    2017-10-15

    Surface topography is able to influence cell phenotype in numerous ways and offers opportunities to manipulate cells and tissues. In this work, we develop the Nano-TopoChip and study the cell instructive effects of nanoscale topographies. A combination of deep UV projection lithography and conventional lithography was used to fabricate a library of more than 1200 different defined nanotopographies. To illustrate the cell instructive effects of nanotopography, actin-RFP labeled U2OS osteosarcoma cells were cultured and imaged on the Nano-TopoChip. Automated image analysis shows that of many cell morphological parameters, cell spreading, cell orientation and actin morphology are mostly affected by the nanotopographies. Additionally, by using modeling, the changes of cell morphological parameters could by predicted by several feature shape parameters such as lateral size and spacing. This work overcomes the technological challenges of fabricating high quality defined nanoscale features on unprecedented large surface areas of a material relevant for tissue culture such as PS and the screening system is able to infer nanotopography - cell morphological parameter relationships. Our screening platform provides opportunities to identify and study the effect of nanotopography with beneficial properties for the culture of various cell types. The nanotopography of biomaterial surfaces can be modified to influence adhering cells with the aim to improve the performance of medical implants and tissue culture substrates. However, the necessary knowledge of the underlying mechanisms remains incomplete. One reason for this is the limited availability of high-resolution nanotopographies on relevant biomaterials, suitable to conduct systematic biological studies. The present study shows the fabrication of a library of nano-sized surface topographies with high fidelity. The potential of this library, called the 'NanoTopoChip' is shown in a proof of principle HTS study which

  19. Integrating nanosphere lithography in device fabrication

    Science.gov (United States)

    Laurvick, Tod V.; Coutu, Ronald A.; Lake, Robert A.

    2016-03-01

    This paper discusses the integration of nanosphere lithography (NSL) with other fabrication techniques, allowing for nano-scaled features to be realized within larger microelectromechanical system (MEMS) based devices. Nanosphere self-patterning methods have been researched for over three decades, but typically not for use as a lithography process. Only recently has progress been made towards integrating many of the best practices from these publications and determining a process that yields large areas of coverage, with repeatability and enabled a process for precise placement of nanospheres relative to other features. Discussed are two of the more common self-patterning methods used in NSL (i.e. spin-coating and dip coating) as well as a more recently conceived variation of dip coating. Recent work has suggested the repeatability of any method depends on a number of variables, so to better understand how these variables affect the process a series of test vessels were developed and fabricated. Commercially available 3-D printing technology was used to incrementally alter the test vessels allowing for each variable to be investigated individually. With these deposition vessels, NSL can now be used in conjunction with other fabrication steps to integrate features otherwise unattainable through current methods, within the overall fabrication process of larger MEMS devices. Patterned regions in 1800 series photoresist with a thickness of ~700nm are used to capture regions of self-assembled nanospheres. These regions are roughly 2-5 microns in width, and are able to control the placement of 500nm polystyrene spheres by controlling where monolayer self-assembly occurs. The resulting combination of photoresist and nanospheres can then be used with traditional deposition or etch methods to utilize these fine scale features in the overall design.

  20. Selective vancomycin detection using optical fibre long period gratings functionalised with molecularly imprinted polymer nanoparticles.

    Science.gov (United States)

    Korposh, Sergiy; Chianella, Iva; Guerreiro, Antonio; Caygill, Sarah; Piletsky, Sergey; James, Stephen W; Tatam, Ralph P

    2014-05-07

    An optical fibre long period grating (LPG) sensor modified with molecularly imprinted polymer nanoparticles (nanoMIPs) for the specific detection of antibiotics is presented. The operation of the sensor is based on the measurement of changes in refractive index induced by the interaction of nanoMIPs deposited onto the cladding of the LPG with free vancomycin (VA). The binding of nanoMIPs to vancomycin was characterised by a binding constant of 4.3 ± 0.1 × 10(-8) M. The lowest concentration of analyte measured by the fibre sensor was 10 nM. In addition, the sensor exhibited selectivity, as much smaller responses were obtained for high concentrations (∼700 μM) of other commonly prescribed antibiotics such as amoxicillin, bleomycin and gentamicin. In addition, the response of the sensor was characterised in a complex matrix, porcine plasma, spiked with 10 μM of VA.

  1. Book Review: Nano physics & Nano technology

    Directory of Open Access Journals (Sweden)

    Abdolkhaled Zaree

    2012-12-01

    Full Text Available During last decades, there are a lot of emphases on studying material behavior in atomic scale. In most scientific and engineering fields, one can see the effect of nanotechnology. The aim of nanoscience is to design and fabrication of new and applicable materials. Nowadays, Nano is a popular science which chemists, physicist, doctors, engineers, financial managers and environment's fans for creating a good life via nanoscience have a great cooperation with each others. Materials in nano scale such as nanotubes and nanowires have extraordinary properties which by optimization of these properties in nano scale and then develop these properties to macro scale, they've been challenging issues. For instance, materials in nano scale improve mechanical properties of polymers and metallic materials via nano particles and on the other hand by producing a thin film on surfaces improve surface hardening. Besides, nanotechnology is in hi-tech industries such as magnetic devices, surface coating, and biomaterial, material having sensors, polymers, gels, ceramics and intelligent membrane. Nano-carbon tubes are considered intelligent due to the fact that they couple electrochemical and elastic properties simultaneously, hence have greater activation energy density in comparison with other intelligent materials. Studying nanoscience is important because it causes the life to be better. Future Materials and structures will have a lot of outstanding properties. Intelligent machines can repair, recycle and reconstruct themselves. All these features are only possible in nano zone. Nano in engineering science can provide the possibility of making light missiles for exploring space. The reduced weight can be achieved by replacing traditional materials with hybrid nanocomposites.

  2. The Effect of Drawing Ratio on Mechanical Property of Nano-Hybrid Polyimide Composite Films

    OpenAIRE

    CHEN Hao; YANG Rui-xiao; WU Chuan-gang; FAN Yong

    2017-01-01

    In order to investigate the impact of drawing ratio of inorganic nano-hybrid polyamide three-layer composite films,the stretched composite films with different draw ratio were prepared by drawing partial imido polyamide film and then through the ring closing reaction in the high temperature,and the draw ratio was 0% ,2% , 4% ,6% ,8% ,10% ,12% ,14% etc. Under the same conditions,we made different draw ratio of three-layer composite film tensile test with the electronic universal material testi...

  3. Sub-10 nm colloidal lithography for circuit-integrated spin-photo-electronic devices

    Directory of Open Access Journals (Sweden)

    Adrian Iovan

    2012-12-01

    Full Text Available Patterning of materials at sub-10 nm dimensions is at the forefront of nanotechnology and employs techniques of various complexity, efficiency, areal scale, and cost. Colloid-based patterning is known to be capable of producing individual sub-10 nm objects. However, ordered, large-area nano-arrays, fully integrated into photonic or electronic devices have remained a challenging task. In this work, we extend the practice of colloidal lithography to producing large-area sub-10 nm point-contact arrays and demonstrate their circuit integration into spin-photo-electronic devices. The reported nanofabrication method should have broad application areas in nanotechnology as it allows ballistic-injection devices, even for metallic materials with relatively short characteristic relaxation lengths.

  4. Extension of optical lithography by mask-litho integration with computational lithography

    Science.gov (United States)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  5. Lithography requirements in complex VLSI device fabrication

    International Nuclear Information System (INIS)

    Wilson, A.D.

    1985-01-01

    Fabrication of complex very large scale integration (VLSI) circuits requires continual advances in lithography to satisfy: decreasing minimum linewidths, larger chip sizes, tighter linewidth and overlay control, increasing topography to linewidth ratios, higher yield demands, increased throughput, harsher device processing, lower lithography cost, and a larger part number set with quick turn-around time. Where optical, electron beam, x-ray, and ion beam lithography can be applied to judiciously satisfy the complex VLSI circuit fabrication requirements is discussed and those areas that are in need of major further advances are addressed. Emphasis will be placed on advanced electron beam and storage ring x-ray lithography

  6. Comparative study on the mechanical and microstructural characterisation of AA 7075 nano and hybrid nanocomposites produced by stir and squeeze casting.

    Science.gov (United States)

    Kannan, C; Ramanujam, R

    2017-07-01

    In this research work, a comparative evaluation on the mechanical and microstructural characteristics of aluminium based single and hybrid reinforced nanocomposites was carried out. The manufacture of a single reinforced nanocomposite was conducted with the distribution of 2 wt.% nano alumina particles (avg. particle size 30-50 nm) in the molten aluminium alloy of grade AA 7075; while the hybrid reinforced nanocomposites were produced with of 4 wt.% silicon carbide (avg. particle size 5-10 µm) and 2 wt.%, 4 wt.% nano alumina particles. Three numbers of single reinforced nanocomposites were manufactured through stir casting with reinforcements preheated to different temperatures viz. 400 °C, 500 °C, and 600 °C. The stir cast procedure was extended to fabricate two hybrid reinforced nanocomposites with reinforcements preheated to 500 °C prior to their inclusion. A single reinforced nanocomposite was also developed by squeeze casting with a pressure of 101 MPa. Mechanical and physical properties such as density, hardness, ultimate tensile strength, and impact strength were evaluated on all the developed composites. The microstructural observation was carried out using optical and scanning electron microscopy. On comparison with base alloy, an improvement of 63.7% and 81.1% in brinell hardness was observed for single and hybrid reinforced nanocomposites respectively. About 16% higher ultimate tensile strength was noticed with the squeeze cast single reinforced nanocomposite over the stir cast.

  7. Solid-Phase Synthesis of Molecularly Imprinted Polymer Nanoparticles with a Reusable Template – “Plastic Antibodies”

    Science.gov (United States)

    Poma, Alessandro; Guerreiro, Antonio; Whitcombe, Michael J.; Piletska, Elena V.; Turner, Anthony P.F.; Piletsky, Sergey A.

    2016-01-01

    Molecularly Imprinted Polymers (MIPs) are generic alternatives to antibodies in sensors, diagnostics and separations. To displace biomolecules without radical changes in infrastructure in device manufacture, MIPs should share their characteristics (solubility, size, specificity and affinity, localized binding domain) whilst maintaining the advantages of MIPs (low-cost, short development time and high stability) hence the interest in MIP nanoparticles. Herein we report a reusable solid-phase template approach (fully compatible with automation) for the synthesis of MIP nanoparticles and their precise manufacture using a prototype automated UV photochemical reactor. Batches of nanoparticles (30-400 nm) with narrow size distributions imprinted with: melamine (d = 60 nm, Kd = 6.3 × 10−8 m), vancomycin (d = 250 nm, Kd = 3.4 × 10−9 m), a peptide (d = 350 nm, Kd = 4.8 × 10−8 m) and proteins have been produced. Our instrument uses a column packed with glass beads, bearing the template. Process parameters are under computer control, requiring minimal manual intervention. For the first time we demonstrate the reliable re-use of molecular templates in the synthesis of MIPs (≥ 30 batches of nanoMIPs without loss of performance). NanoMIPs are produced template-free and the solid-phase acts both as template and affinity separation medium. PMID:26869870

  8. Control of the Nano-Particle Weight Ratio in Stainless Steel Micro and Nano Powders by Radio Frequency Plasma Treatment

    OpenAIRE

    Dong-Yeol Yang; Youngja Kim; Min Young Hur; Hae June Lee; Yong-Jin Kim; Tae-Soo Lim; Ki-Bong Kim; Sangsun Yang

    2015-01-01

    This study describes how to make stainless steel hybrid micro-nano-powders (a mixture of micro-powder and nano-powder) using an in situ one-step process via radio frequency (RF) thermal plasma treatment. Nano-particles attached to micro-powders were successfully prepared by RF thermal plasma treatment of stainless steel powder with an average size of 35 μm. The ratio of nano-powders is estimated with a two-dimensional fluid simulation that calculates the temperature profile influencing the r...

  9. Immersion lithography defectivity analysis at DUV inspection wavelength

    Science.gov (United States)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  10. The evolution of imprinting: chromosomal mapping of orthologues of mammalian imprinted domains in monotreme and marsupial mammals

    Directory of Open Access Journals (Sweden)

    Dunham Ian

    2007-09-01

    Full Text Available Abstract Background The evolution of genomic imprinting, the parental-origin specific expression of genes, is the subject of much debate. There are several theories to account for how the mechanism evolved including the hypothesis that it was driven by the evolution of X-inactivation, or that it arose from an ancestrally imprinted chromosome. Results Here we demonstrate that mammalian orthologues of imprinted genes are dispersed amongst autosomes in both monotreme and marsupial karyotypes. Conclusion These data, along with the similar distribution seen in birds, suggest that imprinted genes were not located on an ancestrally imprinted chromosome or associated with a sex chromosome. Our results suggest imprinting evolution was a stepwise, adaptive process, with each gene/cluster independently becoming imprinted as the need arose.

  11. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    Science.gov (United States)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  12. Plasmonic-photonic crystal coupled nanolaser

    International Nuclear Information System (INIS)

    Zhang, Taiping; Callard, Ségolène; Jamois, Cécile; Chevalier, Céline; Feng, Di; Belarouci, Ali

    2014-01-01

    We propose and demonstrate a hybrid photonic-plasmonic nanolaser that combines the light harvesting features of a dielectric photonic crystal cavity with the extraordinary confining properties of an optical nano-antenna. For this purpose, we developed a novel fabrication method based on multi-step electron-beam lithography. We show that it enables the robust and reproducible production of hybrid structures, using a fully top-down approach to accurately position the antenna. Coherent coupling of the photonic and plasmonic modes is highlighted and opens up a broad range of new hybrid nanophotonic devices. (paper)

  13. Direct nanoimprint lithography of Al2O3 using a chelated monomer-based precursor

    International Nuclear Information System (INIS)

    Ganesan, Ramakrishnan; Dinachali, Saman Safari; Lim, Su Hui; Saifullah, M S M; He, Chaobin; Low, Hong Yee; Chong, Wee Tit; Lim, Andrew H H; Yong, Jin Jie; Thian, Eng San

    2012-01-01

    Nanostructuring of Al 2 O 3 is predominantly achieved by the anodization of aluminum film and is limited to obtaining porous anodized aluminum oxide (AAO). One of the main restrictions in developing approaches for direct fabrication of various types of Al 2 O 3 patterns, such as lines, pillars, holes, etc, is the lack of a processable aluminum-containing resist. In this paper, we demonstrate a stable precursor prepared by reacting aluminum tri-sec-butoxide with 2-(methacryloyloxy)ethyl acetoacetate, a chelating monomer, which can be used for large area direct nanoimprint lithography of Al 2 O 3 . Chelation in the precursor makes it stable against hydrolysis whilst the presence of a reactive methacrylate group renders it polymerizable. The precursor was mixed with a cross-linker and their in situ thermal free-radical co-polymerization during nanoimprinting rigidly shaped the patterns, trapped the metal atoms, reduced the surface energy and strengthened the structures, thereby giving a ∼100% yield after demolding. The imprinted structures were heat-treated, leading to the loss of organics and their subsequent shrinkage. Amorphous Al 2 O 3 patterns with line-widths as small as 17 nm were obtained. Our process utilizes the advantages of sol–gel and methacrylate routes for imprinting and at the same time alleviates the disadvantages associated with both these methods. With these benefits, the chelating monomer route may be the harbinger of the universal scheme for direct nanoimprinting of metal oxides. (paper)

  14. Sub-10-nm suspended nano-web formation by direct laser writing

    Science.gov (United States)

    Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.

    2018-06-01

    A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).

  15. Molecular LEGO by domain-imprinting of cytochrome P450 BM3.

    Science.gov (United States)

    Jetzschmann, K J; Yarman, A; Rustam, L; Kielb, P; Urlacher, V B; Fischer, A; Weidinger, I M; Wollenberger, U; Scheller, F W

    2018-04-01

    Electrosynthesis of the MIP nano-film after binding of the separated domains or holo-cytochrome BM3 via an engineered anchor should result in domain-specific cavities in the polymer layer. Both the two domains and the holo P450 BM3 have been bound prior polymer deposition via a N-terminal engineered his6-anchor to the electrode surface. Each step of MIP preparation was characterized by cyclic voltammetry of the redox-marker ferricyanide. Rebinding after template removal was evaluated by quantifying the suppression of the diffusive permeability of the signal for ferricyanide and by the NADH-dependent reduction of cytochrome c by the reductase domain (BMR). The working hypothesis is verified by the discrimination of the two domains by the respective MIPs: The holoenzyme P450 BM3 was ca. 5.5 times more effectively recognized by the film imprinted with the oxidase domain (BMO) as compared to the BMR-MIP or the non-imprinted polymer (NIP). Obviously, a cavity is formed during the imprinting process around the his 6 -tag-anchored BMR which cannot accommodate the broader BMO or the P450 BM3. The affinity of the MIP towards P450 BM3 is comparable with that to the monomer in solution. The his 6 -tagged P450 BM3 binds (30 percent) stronger which shows the additive effect of the interaction with the MIP and the binding to the electrode. Copyright © 2018. Published by Elsevier B.V.

  16. Nano Antenna Integrated Diode (Rectenna) For Infrared Energy Harvesting

    KAUST Repository

    Gadalla, Mena N.

    2013-01-01

    40% without it) which in turn improves the coupled power by 40 times. Nano antennas were fabricated in house using Electron beam lithography with a precise gap of 50nm. In addition, THz diode was designed, fabricated and integrated to the nano antennas to rectify the enhanced THz signal. The integration of the nano diode required a precise overlap of the two arms of the antenna in the rage of 100nm. In order to overcome two arms overlap fabrication challenges, three layer alignment technique was used to produce precise overlap.The THz rectifier was electrically tested and shown high sensitivity and rectification ability without any bias. Finally, nano antenna integrated diode is under optical testing using   a   10.6μm   𝐶𝑜2 laser at Electro-Optics Lab, Prince Sultan Advanced Technologies Research Institute (PSATRI), King Saud University due to the unavailability of the measurement setup in KAUST.

  17. Data sharing system for lithography APC

    Science.gov (United States)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  18. Epigenetic Mechanisms of Genomic Imprinting: Common Themes in the Regulation of Imprinted Regions in Mammals, Plants, and Insects

    Directory of Open Access Journals (Sweden)

    William A. MacDonald

    2012-01-01

    Full Text Available Genomic imprinting is a form of epigenetic inheritance whereby the regulation of a gene or chromosomal region is dependent on the sex of the transmitting parent. During gametogenesis, imprinted regions of DNA are differentially marked in accordance to the sex of the parent, resulting in parent-specific expression. While mice are the primary research model used to study genomic imprinting, imprinted regions have been described in a broad variety of organisms, including other mammals, plants, and insects. Each of these organisms employs multiple, interrelated, epigenetic mechanisms to maintain parent-specific expression. While imprinted genes and imprint control regions are often species and locus-specific, the same suites of epigenetic mechanisms are often used to achieve imprinted expression. This review examines some examples of the epigenetic mechanisms responsible for genomic imprinting in mammals, plants, and insects.

  19. Hybrid molecularly imprinted polymers synthesized with 3-aminopropyltriethoxysilane-methacrylic acid monomer for miniaturized solid-phase extraction: A new and economical sample preparation strategy for determination of acyclovir in urine.

    Science.gov (United States)

    Yan, Hongyuan; Wang, Mingyu; Han, Yehong; Qiao, Fengxia; Row, Kyung Ho

    2014-06-13

    The miniaturized molecularly imprinted solid-phase extraction (mini-MISPE) coupled with high-performance liquid chromatography was proposed for the determination of acyclovir in urine. 1.5-mL tapered plastic centrifuge tube filled with hybrid molecularly imprinted polymers (HMIPs) was used as the cartridge of mini-MISPE, and the HMIPs synthesized with 3-aminopropyltriethoxy silane-methacrylic acid as monomer exhibited good recognition and selectivity for acyclovir. Under the optimized condition, good linear calibration was obtained in a range of 0.5-15μgmL(-1) with the correlation coefficient of 0.9994, and the recoveries at three spiked levels were 91.6-103.3% in urine with the relative standard deviation (RSD) of ≤3.5%. Excellent intra-day and inter-day repeatability were achieved with RSD of ≤2.6% and 4.0% in three different concentrations. This method combined the advantages of HMIPs and mini-MISPE, and it could become an alternative tool for analyzing the residues of acyclovir in complex urine matrices. Copyright © 2014 Elsevier B.V. All rights reserved.

  20. Organic-inorganic hybrid material SUNCONNECT® for photonic integrated circuit

    Science.gov (United States)

    Nawata, Hideyuki; Oshima, Juro; Kashino, Tsubasa

    2018-02-01

    In this paper, we report the feature and properties about organic-inorganic hybrid material, "SUNCONNECT®" for photonic integrated circuit. "SUNCONNECT®" materials have low propagation loss at 1310nm (0.29dB/cm) and 1550nm (0.45dB/cm) respectively. In addition, the material has high thermal resistance both high temperature annealing test at 300°C and also 260°C solder heat resistance test. For actual device application, high reliability is required. 85°C /85% test was examined by using multi-mode waveguide. As a result, it indicated that variation of insertion loss property was not changed significantly after high temperature / high humidity test. For the application to photonic integrated circuit, it was demonstrated to fabricate polymer optical waveguide by using three different methods. Single-micron core pattern can be fabricated on cladding layer by using UV lithography with proximity gap exposure. Also, single-mode waveguide can be also fabricated with over cladding. On the other hands, "Mosquito method" and imprint method can be applied to fabricate polymer optical waveguide. Remarkably, these two methods can fabricate gradedindex type optical waveguide without using photo mask. In order to evaluate the optical performance, NFP's observation, measurement of insertion loss and propagation loss by cut-back methods were carried out by using each waveguide sample.

  1. Silica-based microstructures on nonplanar substrates by femtosecond laser-induced nonlinear lithography

    International Nuclear Information System (INIS)

    Mizoshiri, M; Nishiyama, H; Hirata, Y; Nishii, J

    2009-01-01

    We developed a technique for the formation of nonplanar surfaces of inorganic optical materials by a combined process of nonlinear lithography and plasma etching. This technique can be used to fabricate structures even on non-flat substrates, which is difficult using current semiconductor technology. Three-dimensional patterns were written directly inside a positive-tone photoresist using femtosecond laser-induced nonlinear optical absorption. The patterns were then transferred to underlying nonplanar substrates by the ion beam etching technique. For the lithographic process, we obtained a minimum feature size of 900 nm, which is below the diffraction limit. We demonstrated the fabrication of silica-based hybrid diffractive-refractive lenses. Fresnel zone plates with smooth surfaces were obtained on convex microlenses. When a 633-nm-wavelength He-Ne laser was coupled normally to the hybrid lens, the primary focal length was measured as 630 μm. This hybridization shifted the focal length by 200 μm, which agreed with the theoretical value. Our process is useful for the precise fabrication of nonplanar structures based on inorganic materials.

  2. Nano-Protrusive Gold Nanoparticle-Hybridized Polymer Thin Film as a Sensitive, Multipatternable, and Antifouling Biosensor Platform.

    Science.gov (United States)

    Lee, Jeong-Hoon; Park, Byung-Soo; Ghang, Hyun-Gu; Song, Hyunjoon; Yang, Sung Yun

    2018-04-25

    Hybrid films consisting of anisotropic octahedral gold nanoparticles (AuNPs) and polymers had their surfaces functionalized and were immobilized on surface plasmon resonance (SPR) sensors for biomolecule detection. Specifically, carboxylated octahedral AuNPs (C-Oh-AuNPs) and poly(allylamine hydrochloride) (PAH) were assembled as ultrathin films by using a layer-by-layer process. The ionic strength generated from the functional groups of C-Oh-AuNP and PAH influenced the composition, its surface morphology, and the reactivity of the film toward further chemical reactions such as the synthesis of spherical AuNPs (S-AuNPs). We were thus able to control the size and the structure of the C-Oh-AuNP and S-AuNPs converted to nano-raspberry-shaped particles. This hierarchical AuNP hybrid film exhibits much more sensitive and stable detection of biomolecules than regular flat chip systems, and this result may be due to the SPR of the AuNP at its surface being able to markedly enhance the local optical field of the chip. The micropatterning of the hybrid coating was also studied by using a soft lithographic patterning method. We, in particular, worked on creating multiplex patterns having different combinations of shapes and fluorescent colors. We expect our hybrid coating system with multicode biomolecular arrays to be used as a powerful platform for biosensor applications.

  3. Design exploration of emerging nano-scale non-volatile memory

    CERN Document Server

    Yu, Hao

    2014-01-01

    This book presents the latest techniques for characterization, modeling and design for nano-scale non-volatile memory (NVM) devices.  Coverage focuses on fundamental NVM device fabrication and characterization, internal state identification of memristic dynamics with physics modeling, NVM circuit design, and hybrid NVM memory system design-space optimization. The authors discuss design methodologies for nano-scale NVM devices from a circuits/systems perspective, including the general foundations for the fundamental memristic dynamics in NVM devices.  Coverage includes physical modeling, as well as the development of a platform to explore novel hybrid CMOS and NVM circuit and system design.   • Offers readers a systematic and comprehensive treatment of emerging nano-scale non-volatile memory (NVM) devices; • Focuses on the internal state of NVM memristic dynamics, novel NVM readout and memory cell circuit design, and hybrid NVM memory system optimization; • Provides both theoretical analysis and pr...

  4. Nanoimprint system development and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Hiura, Hiromi; Takabayashi, Yukio; Takashima, Tsuneo; Emoto, Keiji; Choi, Jin; Schumaker, Phil

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. For imprint lithography, recent attention has been given to the areas of overlay, throughput, defectivity, and mask replication. This paper reviews progress in these critical areas. Recent demonstrations have proven that mix and match overlay of less than 5nm can achieved. Further reductions require a higher order correction system. Modeling and experimental data are presented which provide a path towards reducing the overlay errors to less than 3nm. Throughput is mainly impacted by the fill time of the relief images on the mask. Improvement in resist materials provides a solution that allows 15 wafers per hour per station, or a tool throughput of 60 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. Finally, on the mask side, a new replication tool, the FPA-1100NR2 is

  5. Manipulation of heat-diffusion channel in laser thermal lithography.

    Science.gov (United States)

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  6. Molecular scale modeling of polymer imprint nanolithography.

    Science.gov (United States)

    Chandross, Michael; Grest, Gary S

    2012-01-10

    We present the results of large-scale molecular dynamics simulations of two different nanolithographic processes, step-flash imprint lithography (SFIL), and hot embossing. We insert rigid stamps into an entangled bead-spring polymer melt above the glass transition temperature. After equilibration, the polymer is then hardened in one of two ways, depending on the specific process to be modeled. For SFIL, we cross-link the polymer chains by introducing bonds between neighboring beads. To model hot embossing, we instead cool the melt to below the glass transition temperature. We then study the ability of these methods to retain features by removing the stamps, both with a zero-stress removal process in which stamp atoms are instantaneously deleted from the system as well as a more physical process in which the stamp is pulled from the hardened polymer at fixed velocity. We find that it is necessary to coat the stamp with an antifriction coating to achieve clean removal of the stamp. We further find that a high density of cross-links is necessary for good feature retention in the SFIL process. The hot embossing process results in good feature retention at all length scales studied as long as coated, low surface energy stamps are used.

  7. Preparation of chitosan/nano hydroxyapatite organic-inorganic hybrid microspheres for bone repair.

    Science.gov (United States)

    Chen, Jingdi; Pan, Panpan; Zhang, Yujue; Zhong, Shengnan; Zhang, Qiqing

    2015-10-01

    In this work, we encapsulated icariin (ICA) into chitosan (CS)/nano hydroxyapatite (nHAP) composite microspheres to form organic-inorganic hybrid microspheres for drug delivery carrier. The composition and morphology of composite microspheres were characterized by X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FT-IR), scanning electron microscopy (SEM) and differential scanning calorimetry- thermogravimetric analysis (DSC-TGA). Moreover, we further studied the performance of swelling properties, degradation properties and drug release behavior of the microspheres. ICA, the extract of traditional Chinese medicine-epimedium, was combined to study drug release properties of the microspheres. ICA loaded microspheres take on a sustained release behavior, which can be not only ascribed to electrostatic interaction between reactive negative hydroxyl (OH) of ICA and positive amine groups (NH₂) of CS, but also depended on the homogeneous dispersion of HAP nanoparticles inside CS organic matrix. In addition, the adhesion and morphology of osteoblasts were detected by inverted fluorescence microscopy. The biocompatibility of CS/nHAP/ICA microspheres was evaluated by the MTT cytotoxicity assay, Hoechst 33258 and PI fluorescence staining. These studies demonstrate that composite microspheres provide a suitable microenvironment for osteoblast attachment and proliferation. It can be speculated that the ICA loaded CS-based organic-inorganic hybrid microspheres might have potential applications in drug delivery systems. Copyright © 2015 Elsevier B.V. All rights reserved.

  8. SOR Lithography in West Germany

    Science.gov (United States)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  9. Improved performance of dye-sensitized solar cells: An TiO{sub 2}-nano-SiO{sub 2} hybrid photoanode with post-treatment of TiCl{sub 4} aqueous solution

    Energy Technology Data Exchange (ETDEWEB)

    Liu Ling; Niu Haihong; Zhang Shouwei; Wan Lei [School of Electrical Engineering and Automation, Hefei University of Technology (HFUT), School of Chemical Engineering, HFUT, Hefei 230009 (China); Miao Shiding, E-mail: miaosd@iccas.ac.cn [School of Electrical Engineering and Automation, Hefei University of Technology (HFUT), School of Chemical Engineering, HFUT, Hefei 230009 (China); Xu Jinzhang, E-mail: xujz@hfut.edu.cn [School of Electrical Engineering and Automation, Hefei University of Technology (HFUT), School of Chemical Engineering, HFUT, Hefei 230009 (China)

    2012-11-15

    Highlights: Black-Right-Pointing-Pointer A TiO{sub 2}-nano-SiO{sub 2} hybrid film was prepared by depositing a paste of TiO{sub 2} (P{sub 25}) incorporated with SiO{sub 2}. Black-Right-Pointing-Pointer The optimal concentration of TiCl{sub 4} solution was found to be 75 mM for the post-treatment. Black-Right-Pointing-Pointer A photoelectron conversion efficiency of 6.39% was achieved for the prepared dye-sensitized solar cells (DSSCs). Black-Right-Pointing-Pointer SiO{sub 2} gives a significant improvement in the performance of the DSSCs. - Abstract: A TiO{sub 2}-nano-SiO{sub 2} hybrid film was prepared on a conductive F-doped tin oxide (FTO) substrate by depositing a mixture paste of TiO{sub 2} (P{sub 25}) and nano-sized SiO{sub 2} particles. The hybrid film was further treated by a titanium tetrachloride (TiCl{sub 4}) aqueous solution with different concentrations before it was assembled as a photoanode in dye sensitized solar cells (DSSCs). We studied the performance of DSSCs by using the dye molecule of cis-bis(isothiocy-anato)-bis-(2,2 Prime -bipyridyl-4,4 Prime -dicarboxylato) -ruthenium(II) bis-tetrabutylammonium (N719) as sensitizer. Results suggested that the post-treatment using TiCl{sub 4} could enhance the dye adsorption. The thin TiO{sub 2} layer hydrolyzed from TiCl{sub 4} could fill gaps between nanoparticles in the composite film, leading to a better electron transport than non-treated films, and improve the light harvesting efficiency. The optimal concentration was found to be 75 mM for the post-treatment of TiO{sub 2}-SiO{sub 2} hybrid film by TiCl{sub 4} solution. A photoelectron conversion efficiency of 6.39% was achieved in the back-side illuminated dye-sensitized solar cells, which is {approx}105% higher than the basic efficiency of the bare TiO{sub 2} sensitized sample. TiO{sub 2}-nano-SiO{sub 2} hybrid photoanode was prepared by incorporation of nano-sized SiO{sub 2} in the TiO{sub 2} film. The introduced SiO{sub 2} as a wide band

  10. Rational Synthesis of Imprinted Organofunctional Sol-Gel Materials for Toxic Metal Separation - Final Report - 09/15/1997 - 09/14/2001

    International Nuclear Information System (INIS)

    Xue, Ziling; Barnes, Craig E.; Dai, Shang

    2001-01-01

    Current cost estimates for the environmental remediation of contaminated installations under the auspices of the Department of Energy (DOE) are staggering. On this basis alone, there is a critical need to develop the scientific basis for new approaches to the treatment and disposal of toxic metal ions from wastes or contaminated areas at many DOE sites. The overall goal of this project is to rationally design and synthesize imprinted, hybrid inorganic-organic sol-gel materials containing metal binding sites through template approaches, and to develop a scientific basis for metal ion binding and recognition by such tailored hybrid inorganic-organic materials. After removal of the template M, functionalized cavities are created which contain both grafted binding sites and functionality inherent to the silica network (Si-OH, Si-O-Si). These cavities are expected to 'recognize' and bind the target metal ions through the high affinities between the binding sites and M, and their retained shapes. Our approaches utilize both the metal ion binding and the tailored impressions of the template metal ions in the imprinted cavities. Such imprinted organofunctional sol-gel networks are expected to exhibit both high selectivity and capacity for binding targeted ions in fluid waste streams. The principles of sol-gel chemistry and imprinting techniques will guide our approaches to optimize the chemical and physical properties of the imprinted organofunctional sol-gel materials. Cold isotopes or non-radioactive surrogate ions of similar size and charge will be used in imprinting investigations to minimize hazardous waste production. The design strategy we will follow is based on imprinted binding sites cross-linked by rigid, hydrophilic inorganic SiO2 or M'O2 networks. These hydrophilic metal oxide-based materials are expected to exhibit fast ion mass transfer and binding kinetics in comparison to functionalized hydrophobic organic polymers. Success in this research will lead to a

  11. Rational Synthesis of Imprinted Organofunctional Sol-Gel Materials for Toxic Metal Separation - Final Report - 09/15/1997 - 09/14/2001

    Energy Technology Data Exchange (ETDEWEB)

    Xue, Ziling (Ben); Barnes, Craig E.; Dai, Shang

    2001-09-14

    Current cost estimates for the environmental remediation of contaminated installations under the auspices of the Department of Energy (DOE) are staggering. On this basis alone, there is a critical need to develop the scientific basis for new approaches to the treatment and disposal of toxic metal ions from wastes or contaminated areas at many DOE sites. The overall goal of this project is to rationally design and synthesize imprinted, hybrid inorganic-organic sol-gel materials containing metal binding sites through template approaches, and to develop a scientific basis for metal ion binding and recognition by such tailored hybrid inorganic-organic materials. After removal of the template M, functionalized cavities are created which contain both grafted binding sites and functionality inherent to the silica network (Si-OH, Si-O-Si). These cavities are expected to ''recognize'' and bind the target metal ions through the high affinities between the binding sites and M, and their retained shapes. Our approaches utilize both the metal ion binding and the tailored impressions of the template metal ions in the imprinted cavities. Such imprinted organofunctional sol-gel networks are expected to exhibit both high selectivity and capacity for binding targeted ions in fluid waste streams. The principles of sol-gel chemistry and imprinting techniques will guide our approaches to optimize the chemical and physical properties of the imprinted organofunctional sol-gel materials. Cold isotopes or non-radioactive surrogate ions of similar size and charge will be used in imprinting investigations to minimize hazardous waste production. The design strategy we will follow is based on imprinted binding sites cross-linked by rigid, hydrophilic inorganic SiO{sub 2} or MiO{sub 2} networks. These hydrophilic metal oxide-based materials are expected to exhibit fast ion mass transfer and binding kinetics in comparison to functionalized hydrophobic organic polymers

  12. Advances on research epigenetic change of hybrid and polyploidy ...

    African Journals Online (AJOL)

    MERCY

    2011-09-07

    Sep 7, 2011 ... evolution is speculated as a simulation of the evolutionary steps that .... Molecular studies indicate that epigenetic events are important in ...... Patterns of hybrid loss of imprinting reveal tissue- and cluster-specific regulation.

  13. Applications and Nano toxicity of Carbon Nano tubes and Graphene in Biomedicine Caitlin Fisher

    International Nuclear Information System (INIS)

    Rider, A.E.; Han, Z.J.; Kumar, S.; Levchenko, L.; Ostrikov, K.K.

    2012-01-01

    Owing to their unique mechanical, electrical, optical, and thermal properties, carbon nano structures including carbon nano tubes and graphenes show great promise for advancing the fields of biology and medicine. Many reports have demonstrated the promise of these carbon nano structures and their hybrid structures (composites with polymers, ceramics, and metal nanoparticles, etc.) for a variety of biomedical areas ranging from bio sensing, drug delivery, and diagnostics, to cancer treatment, tissue engineering, and bio terrorism prevention. However, the issue of the safety and toxicity of these carbon nano structures, which is vital to their use as diagnostic and therapeutic tools in biomedical fields, has not been completely resolved. This paper aims to provide a summary of the features of carbon nano tube and graphene-based materials and current research progress in biomedical applications. We also highlight the current opinions within the scientific community on the toxicity and safety of these carbon structures

  14. Solid-phase synthesis of molecularly imprinted nanoparticles.

    Science.gov (United States)

    Canfarotta, Francesco; Poma, Alessandro; Guerreiro, Antonio; Piletsky, Sergey

    2016-03-01

    Molecularly imprinted polymers (MIPs) are synthetic materials, generally based on acrylic or methacrylic monomers, that are polymerized in the presence of a specific target molecule called the 'template' and capable of rebinding selectively to this target molecule. They have the potential to be low-cost and robust alternatives to biomolecules such as antibodies and receptors. When prepared by traditional synthetic methods (i.e., with free template in solution), their usefulness has been limited by high binding site heterogeneity, the presence of residual template and the fact that the production methods are complex and difficult to standardize. To overcome some of these limitations, we developed a method for the synthesis of MIP nanoparticles (nanoMIPs) using an innovative solid-phase approach, which relies on the covalent immobilization of the template molecules onto the surface of a solid support (glass beads). The obtained nanoMIPs are virtually free of template and demonstrate high affinity for the target molecule (e.g., melamine and trypsin in our published work). Because of an affinity separation step performed on the solid phase after polymerization, poor binders and unproductive polymer are removed, so the final product has more uniform binding characteristics. The overall protocol, starting from the immobilization of the template onto the solid phase and including the purification and characterization of the nanoparticles, takes up to 1 week.

  15. Magnetic iron oxide nanoparticles (MIONs) cross-linked natural polymer-based hybrid gel beads: Controlled nano anti-TB drug delivery application.

    Science.gov (United States)

    Kesavan, Mookkandi Palsamy; Ayyanaar, Srinivasan; Vijayakumar, Vijayaparthasarathi; Dhaveethu Raja, Jeyaraj; Annaraj, Jamespandi; Sakthipandi, Kathiresan; Rajesh, Jegathalaprathaban

    2018-04-01

    The nanosized rifampicin (RIF) has been prepared to increase the solubility in aqueous solution, which leads to remarkable enhancement of its bioavailability and their convenient delivery system studied by newly produced nontoxic, biodegradable magnetic iron oxide nanoparticles (MIONs) cross-linked polyethylene glycol hybrid chitosan (mCS-PEG) gel beads. The functionalization of both nano RIF and mCS-PEG gel beads were studied using various spectroscopic and microscopic techniques. The size of prepared nano RIF was found to be 70.20 ± 3.50 nm. The mechanical stability and swelling ratio of the magnetic gel beads increased by the addition of PEG with a maximum swelling ratio of 38.67 ± 0.29 g/g. Interestingly, this magnetic gel bead has dual responsive assets in the nano drug delivery application (pH and the magnetic field). As we expected, magnetic gel beads show higher nano drug releasing efficacy at acidic medium (pH = 5.0) with maximum efficiency of 71.00 ± 0.87%. This efficacy may also be tuned by altering the external magnetic field and the weight percentage (wt%) of PEG. These results suggest that such a dual responsive magnetic gel beads can be used as a potential system in the nano drug delivery applications. © 2017 Wiley Periodicals, Inc. J Biomed Mater Res Part A: 106A: 1039-1050, 2018. © 2017 Wiley Periodicals, Inc.

  16. Development of Nb nanoSQUIDs based on SNS junctions for operation in high magnetic fields

    Energy Technology Data Exchange (ETDEWEB)

    Morosh, Viacheslav; Kieler, Oliver; Weimann, Thomas; Zorin, Alexander [Physikalisch-Technische Bundesanstalt (PTB), Braunschweig (Germany); Mueller, Benedikt; Martinez-Perez, Maria Jose; Kleiner, Reinhold; Koelle, Dieter [Physikalisches Institut and Center for Quantum Science in LISA+, Universitaet Tuebingen (Germany)

    2016-07-01

    Investigation of the magnetization reversal of single magnetic nanoparticles requires SQUIDs with high spatial resolution, high spin sensitivity (a few Bohr magneton μ{sub B}) and at the same time sufficient stability in high magnetic fields. We fabricated dc nanoSQUIDs comprising overdamped SNS sandwich-type (Nb/HfTi/Nb) Josephson junctions using optimized technology based on combination of electron beam lithography and chemical-mechanical polishing. Our nanoSQUIDs have Josephson junctions with lateral dimensions ≤ 150 nm x 150 nm, effective loop areas < 0.05 μm{sup 2} and the distance between the Josephson junctions ≤ 100 nm. The feeding strip lines of the width ≤ 200 nm have been realized. The nanoSQUIDs have shown stable operation in external magnetic fields at least up to 250 mT. Sufficiently low level of flux noise resulting in spin sensitivity of few tens μ{sub B}/Hz{sup 1/2} has been demonstrated. A further reduction of the nanoSQUID size using our technology is possible.

  17. Molecular Imprinting of Macromolecules for Sensor Applications.

    Science.gov (United States)

    Saylan, Yeşeren; Yilmaz, Fatma; Özgür, Erdoğan; Derazshamshir, Ali; Yavuz, Handan; Denizli, Adil

    2017-04-19

    Molecular recognition has an important role in numerous living systems. One of the most important molecular recognition methods is molecular imprinting, which allows host compounds to recognize and detect several molecules rapidly, sensitively and selectively. Compared to natural systems, molecular imprinting methods have some important features such as low cost, robustness, high recognition ability and long term durability which allows molecularly imprinted polymers to be used in various biotechnological applications, such as chromatography, drug delivery, nanotechnology, and sensor technology. Sensors are important tools because of their ability to figure out a potentially large number of analytical difficulties in various areas with different macromolecular targets. Proteins, enzymes, nucleic acids, antibodies, viruses and cells are defined as macromolecules that have wide range of functions are very important. Thus, macromolecules detection has gained great attention in concerning the improvement in most of the studies. The applications of macromolecule imprinted sensors will have a spacious exploration according to the low cost, high specificity and stability. In this review, macromolecules for molecularly imprinted sensor applications are structured according to the definition of molecular imprinting methods, developments in macromolecular imprinting methods, macromolecular imprinted sensors, and conclusions and future perspectives. This chapter follows the latter strategies and focuses on the applications of macromolecular imprinted sensors. This allows discussion on how sensor strategy is brought to solve the macromolecules imprinting.

  18. Optimisation of the synthesis of vancomycin-selective molecularly imprinted polymer nanoparticles using automatic photoreactor

    Science.gov (United States)

    Muzyka, Kateryna; Karim, Khalku; Guerreiro, Antonio; Poma, Alessandro; Piletsky, Sergey

    2014-03-01

    A novel optimized protocol for solid-state synthesis of molecularly imprinted polymer nanoparticles (nanoMIPs) with specificity for antibiotic vancomycin is described. The experimental objective was optimization of the synthesis parameters (factors) affecting the yield of obtained nanoparticles which have been synthesized using the first prototype of an automated solid-phase synthesizer. Applications of experimental design (or design of experiments) in optimization of nanoMIP yield were carried out using MODDE 9.0 software. The factors chosen in the model were the amount of functional monomers in the polymerization mixture, irradiation time, temperature during polymerization, and elution temperature. In general, it could be concluded that the irradiation time is the most important and the temperature was the least important factor which influences the yield of nanoparticles. Overall, the response surface methodology proved to be an effective tool in reducing time required for optimization of complex experimental conditions.

  19. 21 CFR 206.10 - Code imprint required.

    Science.gov (United States)

    2010-04-01

    ... 21 Food and Drugs 4 2010-04-01 2010-04-01 false Code imprint required. 206.10 Section 206.10 Food...: GENERAL IMPRINTING OF SOLID ORAL DOSAGE FORM DRUG PRODUCTS FOR HUMAN USE § 206.10 Code imprint required... imprint that, in conjunction with the product's size, shape, and color, permits the unique identification...

  20. The role of printing parameters and scaffold biopolymer properties in the efficacy of a new hybrid nano-bioprinting system

    Energy Technology Data Exchange (ETDEWEB)

    Buyukhatipoglu, Kivilcim; Jo, Wonjin; Sun Wei; Clyne, Alisa Morss, E-mail: asm67@drexel.ed [Mechanical Engineering and Mechanics, Drexel University, 3141 Chestnut Street, Philadelphia, PA 19104 (United States)

    2009-09-15

    We created a hybrid nano-bioprinting system, which combines the initial patterning capabilities of direct cell writing with the active patterning capabilities of superparamagnetic nanoparticles. Biofabrication conditions, including printing parameters and scaffold biopolymer properties, may affect cell viability, nanoparticle manipulation and patterning capabilities. Nanoparticles were printed under varied conditions either in the biopolymer or loaded inside cells. Cell viability, alginate viscosity, nanoparticle movement and printing resolution were measured. We now show that while nanoparticles decreased cell viability, nozzle size had no significant effect. High printing pressure decreased cell viability, but viability loss was not accentuated by nanoparticles. High nanoparticle concentrations increased alginate viscosity at higher alginate concentrations. Nanoparticle velocity in response to a magnetic field was a function of nanoparticle diameter and scaffold viscosity, which agreed with a mathematical model of nanoparticle movement. Finally, the nano-bioprinting system resolution and patterning precision were not affected by nanoparticles in the prepolymer solution. These data suggest that nanoparticle incorporation in solid freeform fabrication does not change biofabrication parameters unless high nanoparticle concentrations are used. Future work includes developing vascularized tissue engineering constructs using the nano-bioprinting system.

  1. The role of printing parameters and scaffold biopolymer properties in the efficacy of a new hybrid nano-bioprinting system

    International Nuclear Information System (INIS)

    Buyukhatipoglu, Kivilcim; Jo, Wonjin; Sun Wei; Clyne, Alisa Morss

    2009-01-01

    We created a hybrid nano-bioprinting system, which combines the initial patterning capabilities of direct cell writing with the active patterning capabilities of superparamagnetic nanoparticles. Biofabrication conditions, including printing parameters and scaffold biopolymer properties, may affect cell viability, nanoparticle manipulation and patterning capabilities. Nanoparticles were printed under varied conditions either in the biopolymer or loaded inside cells. Cell viability, alginate viscosity, nanoparticle movement and printing resolution were measured. We now show that while nanoparticles decreased cell viability, nozzle size had no significant effect. High printing pressure decreased cell viability, but viability loss was not accentuated by nanoparticles. High nanoparticle concentrations increased alginate viscosity at higher alginate concentrations. Nanoparticle velocity in response to a magnetic field was a function of nanoparticle diameter and scaffold viscosity, which agreed with a mathematical model of nanoparticle movement. Finally, the nano-bioprinting system resolution and patterning precision were not affected by nanoparticles in the prepolymer solution. These data suggest that nanoparticle incorporation in solid freeform fabrication does not change biofabrication parameters unless high nanoparticle concentrations are used. Future work includes developing vascularized tissue engineering constructs using the nano-bioprinting system.

  2. The Drosophila homolog of the mammalian imprint regulator, CTCF, maintains the maternal genomic imprint in Drosophila melanogaster

    Directory of Open Access Journals (Sweden)

    Rasheva Vanya

    2010-07-01

    Full Text Available Abstract Background CTCF is a versatile zinc finger DNA-binding protein that functions as a highly conserved epigenetic transcriptional regulator. CTCF is known to act as a chromosomal insulator, bind promoter regions, and facilitate long-range chromatin interactions. In mammals, CTCF is active in the regulatory regions of some genes that exhibit genomic imprinting, acting as insulator on only one parental allele to facilitate parent-specific expression. In Drosophila, CTCF acts as a chromatin insulator and is thought to be actively involved in the global organization of the genome. Results To determine whether CTCF regulates imprinting in Drosophila, we generated CTCF mutant alleles and assayed gene expression from the imprinted Dp(1;fLJ9 mini-X chromosome in the presence of reduced CTCF expression. We observed disruption of the maternal imprint when CTCF levels were reduced, but no effect was observed on the paternal imprint. The effect was restricted to maintenance of the imprint and was specific for the Dp(1;fLJ9 mini-X chromosome. Conclusions CTCF in Drosophila functions in maintaining parent-specific expression from an imprinted domain as it does in mammals. We propose that Drosophila CTCF maintains an insulator boundary on the maternal X chromosome, shielding genes from the imprint-induced silencing that occurs on the paternally inherited X chromosome. See commentary: http://www.biomedcentral.com/1741-7007/8/104

  3. Control of the Nano-Particle Weight Ratio in Stainless Steel Micro and Nano Powders by Radio Frequency Plasma Treatment

    Directory of Open Access Journals (Sweden)

    Dong-Yeol Yang

    2015-11-01

    Full Text Available This study describes how to make stainless steel hybrid micro-nano-powders (a mixture of micro-powder and nano-powder using an in situ one-step process via radio frequency (RF thermal plasma treatment. Nano-particles attached to micro-powders were successfully prepared by RF thermal plasma treatment of stainless steel powder with an average size of 35 μm. The ratio of nano-powders is estimated with a two-dimensional fluid simulation that calculates the temperature profile influencing the rate of surface evaporation. The simulation is conducted to determine the variation of the input power and the distance from the plasma torch to the feeding nozzle. It was demonstrated experimentally that the nano-powder ratio in the micro-nano-powder mixture can be controlled by adjusting the feeding rate, plasma power, feeding position and quenching effect during plasma treatment. The ratio of nano-particles in the micro-nano-powder mixture was controlled in a range from 0.1 (wt. % to 30.7 (wt. %.

  4. Look@NanoSIMS--a tool for the analysis of nanoSIMS data in environmental microbiology.

    Science.gov (United States)

    Polerecky, Lubos; Adam, Birgit; Milucka, Jana; Musat, Niculina; Vagner, Tomas; Kuypers, Marcel M M

    2012-04-01

    We describe an open-source freeware programme for high throughput analysis of nanoSIMS (nanometre-scale secondary ion mass spectrometry) data. The programme implements basic data processing and analytical functions, including display and drift-corrected accumulation of scanned planes, interactive and semi-automated definition of regions of interest (ROIs), and export of the ROIs' elemental and isotopic composition in graphical and text-based formats. Additionally, the programme offers new functions that were custom-designed to address the needs of environmental microbiologists. Specifically, it allows manual and automated classification of ROIs based on the information that is derived either from the nanoSIMS dataset itself (e.g. from labelling achieved by halogen in situ hybridization) or is provided externally (e.g. as a fluorescence in situ hybridization image). Moreover, by implementing post-processing routines coupled to built-in statistical tools, the programme allows rapid synthesis and comparative analysis of results from many different datasets. After validation of the programme, we illustrate how these new processing and analytical functions increase flexibility, efficiency and depth of the nanoSIMS data analysis. Through its custom-made and open-source design, the programme provides an efficient, reliable and easily expandable tool that can help a growing community of environmental microbiologists and researchers from other disciplines process and analyse their nanoSIMS data. © 2012 Society for Applied Microbiology and Blackwell Publishing Ltd.

  5. Uniform molecularly imprinted microspheres and nanoparticles prepared by precipitation polymerization: The control of particle size suitable for different analytical applications

    International Nuclear Information System (INIS)

    Yoshimatsu, Keiichi; Reimhult, Kristina; Krozer, Anatol; Mosbach, Klaus; Sode, Koji; Ye Lei

    2007-01-01

    Molecularly imprinted polymers (MIPs) are being increasingly used as selective adsorbents in different analytical applications. To satisfy the different application purposes, MIPs with well controlled physical forms in different size ranges are highly desirable. For examples, MIP nanoparticles are very suitable to be used to develop binding assays and for microfluidic separations, whereas MIP beads with diameter of 1.5-3 μm can be more appropriate to use in new analytical liquid chromatography systems. Previous studies have demonstrated that imprinted microspheres and nanoparticles can be synthesized using a simple precipitation polymerization method. Despite that the synthetic method is straightforward, the final particle size obtained has been difficult to adjust for a given template. In this work, we initiated to study new synthetic conditions to obtain MIP beads with controllable size in the nano- to micro-meter range, using racemic propranolol as a model template. Varying the composition of the cross-linking monomer allowed the particle size of the MIP beads to be altered in the range of 130 nm to 2.4 μm, whereas the favorable binding property of the imprinted beads remained intact. The chiral recognition sites were further characterized with equilibrium binding analysis using tritium-labeled (S)-propranolol as a tracer. In general, the imprinted sites displayed a high chiral selectivity: the apparent affinity of the (S)-imprinted sites for (S)-propranolol was 20 times that of for (R)-propranolol. Compared to previously reported irregular particles, the chiral selectivity of competitive radioligand binding assays developed from the present imprinted beads has been increased by six to seven folds in an optimized aqueous solvent

  6. Hybrid micro-/nano-particle image velocimetry for 3D3C multi-scale velocity field measurement in microfluidics

    International Nuclear Information System (INIS)

    Min, Young Uk; Kim, Kyung Chun

    2011-01-01

    The conventional two-dimensional (2D) micro-particle image velocimetry (micro-PIV) technique has inherent bias error due to the depth of focus along the optical axis to measure the velocity field near the wall of a microfluidics device. However, the far-field measurement of velocity vectors yields good accuracy for micro-scale flows. Nano-PIV using the evanescent wave of total internal reflection fluorescence microscopy can measure near-field velocity vectors within a distance of around 200 nm from the solid surface. A micro-/nano-hybrid PIV system is proposed to measure both near- and far-field velocity vectors simultaneously in microfluidics. A near-field particle image can be obtained by total internal reflection fluorescence microscopy using nanoparticles, and the far-field velocity vectors are measured by three-hole defocusing micro-particle tracking velocimetry (micro-PTV) using micro-particles. In order to identify near- and far-field particle images, lasers of different wavelengths are adopted and tested in a straight microchannel for acquiring the three-dimensional three-component velocity field. We found that the new technique gives superior accuracy for the velocity profile near the wall compared to that of conventional nano-PIV. This method has been successfully applied to precisely measure wall shear stress in 2D microscale Poiseulle flows

  7. Construction of organic–inorganic hybrid nano-coatings containing α-zirconium phosphate with high efficiency for reducing fire hazards of flexible polyurethane foam

    International Nuclear Information System (INIS)

    Pan, Ying; Pan, Haifeng; Yuan, Bihe; Hong, Ningning; Zhan, Jing; Wang, Bibo; Song, Lei; Hu, Yuan

    2015-01-01

    Nano-architecture on the flexible polyurethane foam (FPUF) was built by layer by layer (LbL) self-assembling of α-zirconium phosphate (α-ZrP) and two biopolymers. Through electrostatic attraction and hydrogen bonding between α-ZrP, chitosan and alginate, the nano-coatings were successfully deposited on the substrate. The LbL self-assembly coatings were characterized by X-ray diffraction, UV–vis absorption spectroscopy, attenuated total reflection Fourier transform infrared spectroscopy and scanning electron microscopy. This loaded nano-coating endowed FPUF with excellent flame retardancy. Compared with pure FPUF, the reduction in the peak heat release rate of the modified foam with 12.3 wt% weight gain was achieved 71%, and the melt-dripping during combustion disappeared. Meanwhile, the thermal degradation of coated FPUF under nitrogen atmosphere was obviously retarded compared with pure FPUF. Additionally, the mechanical properties of the treated FPUFs were investigated. After loaded with 12.3 wt% nano-coating, the tensile and tear strength were enhanced by 13% and 54%, respectively. These investigations indicated that the study has great potential to add new dimensions in the fire retardancy modification of FPUF. - Highlights: • The nano-coatings containing α-ZrP and two biopolymers were successfully loaded on the FPUF by LbL self-assembly method. • The hybrid nano-coatings exhibited marked reduction in the peak heat release rate of the foam. • The coating resulted in enhanced tensile and tear strength of the foam

  8. Construction of organic–inorganic hybrid nano-coatings containing α-zirconium phosphate with high efficiency for reducing fire hazards of flexible polyurethane foam

    Energy Technology Data Exchange (ETDEWEB)

    Pan, Ying [State Key Laboratory of Fire Science, University of Science and Technology of China, Hefei, Anhui 230026 (China); Pan, Haifeng; Yuan, Bihe [State Key Laboratory of Fire Science, University of Science and Technology of China, Hefei, Anhui 230026 (China); Suzhou Key Laboratory of Urban Public Safety, Suzhou Institute for Advanced Study, University of Science and Technology of China, Suzhou, Jiangsu 215123 (China); Hong, Ningning; Zhan, Jing; Wang, Bibo [State Key Laboratory of Fire Science, University of Science and Technology of China, Hefei, Anhui 230026 (China); Song, Lei, E-mail: leisong@ustc.edu.cn [State Key Laboratory of Fire Science, University of Science and Technology of China, Hefei, Anhui 230026 (China); Hu, Yuan, E-mail: yuanhu@ustc.edu.cn [State Key Laboratory of Fire Science, University of Science and Technology of China, Hefei, Anhui 230026 (China); Suzhou Key Laboratory of Urban Public Safety, Suzhou Institute for Advanced Study, University of Science and Technology of China, Suzhou, Jiangsu 215123 (China)

    2015-08-01

    Nano-architecture on the flexible polyurethane foam (FPUF) was built by layer by layer (LbL) self-assembling of α-zirconium phosphate (α-ZrP) and two biopolymers. Through electrostatic attraction and hydrogen bonding between α-ZrP, chitosan and alginate, the nano-coatings were successfully deposited on the substrate. The LbL self-assembly coatings were characterized by X-ray diffraction, UV–vis absorption spectroscopy, attenuated total reflection Fourier transform infrared spectroscopy and scanning electron microscopy. This loaded nano-coating endowed FPUF with excellent flame retardancy. Compared with pure FPUF, the reduction in the peak heat release rate of the modified foam with 12.3 wt% weight gain was achieved 71%, and the melt-dripping during combustion disappeared. Meanwhile, the thermal degradation of coated FPUF under nitrogen atmosphere was obviously retarded compared with pure FPUF. Additionally, the mechanical properties of the treated FPUFs were investigated. After loaded with 12.3 wt% nano-coating, the tensile and tear strength were enhanced by 13% and 54%, respectively. These investigations indicated that the study has great potential to add new dimensions in the fire retardancy modification of FPUF. - Highlights: • The nano-coatings containing α-ZrP and two biopolymers were successfully loaded on the FPUF by LbL self-assembly method. • The hybrid nano-coatings exhibited marked reduction in the peak heat release rate of the foam. • The coating resulted in enhanced tensile and tear strength of the foam.

  9. Polymer X-ray refractive nano-lenses fabricated by additive technology.

    Science.gov (United States)

    Petrov, A K; Bessonov, V O; Abrashitova, K A; Kokareva, N G; Safronov, K R; Barannikov, A A; Ershov, P A; Klimova, N B; Lyatun, I I; Yunkin, V A; Polikarpov, M; Snigireva, I; Fedyanin, A A; Snigirev, A

    2017-06-26

    The present work demonstrates the potential applicability of additive manufacturing to X-Ray refractive nano-lenses. A compound refractive lens with a radius of 5 µm was produced by the two-photon polymerization induced lithography. It was successfully tested at the X-ray microfocus laboratory source and a focal spot of 5 μm was measured. An amorphous nature of polymer material combined with the potential of additive technologies may result in a significantly enhanced focusing performance compared to the best examples of modern X-ray compound refractive lenses.

  10. Characterization of Polylactic Acid/ Microcrystalline Cellulose/ Montmorillonite Hybrid Composites

    International Nuclear Information System (INIS)

    Reza Arjmandi; Azman Hassan; Haafiz, M.K.M.; Zainoha Zakaria; Inuwa, I.M.

    2014-01-01

    The objective of this study is to investigate the effect of montmorillonite (MMT)/ microcrystalline cellulose (MCC) hybrid fillers on mechanical properties and morphological characteristics of polylactic acid (PLA) composites. PLA/ MMT nano composites and PLA/ MMT/ MCC hybrid composites were prepared by solution casting method. Morphology and tensile properties of PLA composites were investigated using Field emission scanning electron microscopy and Instron tensile testing machine. The maximum tensile strength of PLA/ MMT nano composites was obtained with 5 phr contents of MMT, which corresponding to 30.75 MPa. Based on optimized formulation of PLA/ MMT nano composites (5 phr MMT contents), various amounts of MCC (0 to 7 phr) were added into optimum formulation of PLA/ MMT in order to produce PLA/ MMT/ MCC hybrid composites. Fourier transform infrared spectroscopy revealed some level of interaction between PLA and both MMT and MCC in the hybrid composites. However, the percent elongation at break of the hybrid composites was generally higher than PLA/ MMT nano composites. Additionally, Young's modulus of the PLA/ MMT/ MCC hybrid composites increased gradually with increasing of MCC contents and was higher than PLA/ MMT at all compositions. The present results are the first among a series of experiments that have been designed in order to probe the effect of MMT and MCC in the PLA. (author)

  11. Topological imprint for periodic orbits

    International Nuclear Information System (INIS)

    Martín, Jesús San; Moscoso, Ma José; Gómez, A González

    2012-01-01

    The more self-crossing points an orbit has the more complex it is. We introduce the topological imprint to characterize crossing points and focus on the period-doubling cascade. The period-doubling cascade topological imprint determines the topological imprint for orbits in chaotic bands. In addition, there is a closer link between this concept and the braids studied by Lettelier et al (2000 J. Phys. A: Math. Gen. 33 1809–25). (paper)

  12. Plasmonic direct writing lithography with a macroscopical contact probe

    Science.gov (United States)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  13. 3D carbon fiber mats/nano-Fe3O4 hybrid material with high electromagnetic shielding performance

    Science.gov (United States)

    Zhan, Yingqing; Long, Zhihang; Wan, Xinyi; Zhang, Jiemin; He, Shuangjiang; He, Yi

    2018-06-01

    To obtain high-performance electromagnetic shielding materials, structure and morphology are two key factors. We here developed an efficient and facial method to prepare high-performance 3D carbon nanofiber mats (CFM)/Fe3O4 hybrid electromagnetic shielding materials. For this purpose, the CFM were chemically modified by mussel-inspired poly-dopamine coating, which were further used as templates for decoration of Fe3O4 nanoparticles via solvothermal route. It was found that the Fe3O4 nano-spheres with diameters of 200-250 nm were uniformly coated on the surface of 3D carbon nanofibers. More importantly, the morphology and structure of resulting 3D carbon nanofiber mats/Fe3O4 hybrids could be easily controlled by altering the experiment parameters, which were examined by FT-IR, XPS, TGA, XRD, SEM, and TEM. The measured magnetic properties showed that saturation magnetism and coercivity increased from 13.4 to 39.7 emu/g and 85.3 to 104.6 Oe, respectively. The lowest reflectivity of resulting hybrid was calculated to be -47 dB at 10.0 GHz (2.5 mm). In addition, the reflectivity of 3D carbon nanofiber mats/Fe3O4 hybrid was less than -25 dB in the range of 7-13 GHz. Moreover, the resulting 3D carbon nanofiber mats/Fe3O4 hybrid exhibited an EMI shielding performance of -62.6 dB in the frequency range of 8.2-12.4 GHz. Therefore, 3D carbon fiber mats/Fe3O4 hybrids can be ideal EMI materials with strong absorption, low density, and wide absorption range.

  14. Molecularly Imprinted Polymer Synthesis Using RAFT Polymerisation

    International Nuclear Information System (INIS)

    Cormack, P.A.G.; Faizatul Shimal Mehamod; Faizatul Shimal Mehamod

    2013-01-01

    In this paper, the synthesis and characterisation of caffeine-imprinted polymers are described. The polymers were prepared in monolithic form via both reversible addition-fragmentation chain-transfer (RAFT) polymerisation and conventional free radical polymerisation, using methacrylic acid and ethylene glycol dimethacrylate as the functional monomer and crosslinking agent, respectively. The potential benefits in applying RAFT polymerisation techniques towards the synthesis of molecularly imprinted polymers (MIPs) are explored and elucidated. The pore structures of the polymers produced were characterised by nitrogen sorption porosimetry and the molecular recognition properties of representative products were evaluated in high-performance liquid chromatography (HPLC) mode. Molecular imprinting effects were confirmed by analysing the relative retentions of analytes on imprinted and non-imprinted HPLC stationary phases. It was found that a caffeine-imprinted polymer synthesised by RAFT polymerisation was superior to a polymer prepared using a conventional synthetic approach; the imprinting factor and column efficiency were found to be higher for the former material. (author)

  15. Nanoimprint wafer and mask tool progress and status for high volume semiconductor manufacturing

    Science.gov (United States)

    Matsuoka, Yoichi; Seki, Junichi; Nakayama, Takahiro; Nakagawa, Kazuki; Azuma, Hisanobu; Yamamoto, Kiyohito; Sato, Chiaki; Sakai, Fumio; Takabayashi, Yukio; Aghili, Ali; Mizuno, Makoto; Choi, Jin; Jones, Chris E.

    2016-10-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. Hard particles on a wafer or mask create the possibility of inducing a permanent defect on the mask that can impact device yield and mask life. By using material methods to reduce particle shedding and by introducing an air curtain system, the lifetime of both the master mask and the replica mask can be extended. In this work, we report results that demonstrate a path towards achieving mask lifetimes of better than 1000 wafers. On the mask side, a new replication tool, the FPA-1100 NR2 is introduced. Mask replication is required for nanoimprint lithography (NIL), and criteria that are crucial to the success of a replication platform include both particle control, resolution and image placement accuracy. In this paper we discuss the progress made in both feature resolution and in meeting the image placement specification for replica masks.

  16. Thiolated polyethylene oxide as a non-fouling element for nano-patterned bio-devices

    International Nuclear Information System (INIS)

    Lisboa, Patricia; Valsesia, Andrea; Colpo, Pascal; Gilliland, Douglas; Ceccone, Giacomo; Papadopoulou-Bouraoui, Andri; Rauscher, Hubert; Reniero, Fabiano; Guillou, Claude; Rossi, Francois

    2007-01-01

    This work describes the synthesis of a thiolated polyethylene oxide that self-assembles on gold to create a non-fouling surface. Thiolated polyethylene oxide was synthesised by reacting 16-mercaptohexadecanoic acid with polyethylene glycol mono methyl ether. The coverage of the thiolated polyethylene oxide on gold was studied by cyclic voltammetry, and the modified surfaces were characterised by X-ray photoelectron spectroscopy and ellipsometry. Protein resistance was assessed using quartz crystal microbalance. Results showed a non-fouling character produced by the thiolated polyethylene oxide. The synthesised product was used as the passivation layer on nano-patterned surfaces consisting of arrayed nano-spots, fabricated by plasma based colloidal lithography. The specific adsorption of anti-bovine serum albumin in the mercaptohexadecanoic acid spots was verified by atomic force microscopy

  17. A novel 2D silicon nano-mold fabrication technique for linear nanochannels over a 4 inch diameter substrate

    Science.gov (United States)

    Yin, Zhifu; Qi, Liping; Zou, Helin; Sun, Lei

    2016-01-01

    A novel low-cost 2D silicon nano-mold fabrication technique was developed based on Cu inclined-deposition and Ar+ (argon ion) etching. With this technique, sub-100 nm 2D (two dimensional) nano-channels can be etched economically over the whole area of a 4 inch n-type  silicon wafer. The fabricating process consists of only 4 steps, UV (Ultraviolet) lithography, inclined Cu deposition, Ar+ sputter etching, and photoresist & Cu removing. During this nano-mold fabrication process, we investigated the influence of the deposition angle on the width of the nano-channels and the effect of Ar+ etching time on their depth. Post-etching measurements showed the accuracy of the nanochannels over the whole area: the variation in width is 10%, in depth it is 11%. However, post-etching measurements also showed the accuracy of the nanochannels between chips: the variation in width is 2%, in depth it is 5%. With this newly developed technology, low-cost and large scale 2D nano-molds can be fabricated, which allows commercial manufacturing of nano-components over large areas. PMID:26752559

  18. MFM observation of spin structures in nano-magnetic-dot arrays fabricated by damascene technique

    International Nuclear Information System (INIS)

    Sato, K.; Yamamoto, T.; Tezuka, T.; Ishibashi, T.; Morishita, Y.; Koukitu, A.; Machida, K.; Yamaoka, T.

    2006-01-01

    Regularly aligned arrays of magnetic nano dots buried in silicon wafers have been fabricated using damascene technique with the help of electron beam lithography. Arrays of square, rectangular, cross-shaped and Y-shaped structures of submicron size have been obtained. Spin distributions have been observed by means of magnetic force microscopy and analyzed by a micromagnetic simulation with Landau-Lifshitz-Gilbert equations. Importance of magnetostatic interactions working between adjacent dots has been elucidated

  19. Direct replacement of antibodies with molecularly imprinted polymer (MIP) nanoparticles in ELISA – development of a novel assay for vancomycin

    Science.gov (United States)

    Chianella, Iva; Guerreiro, Antonio; Moczko, Ewa; Caygill, J. Sarah; Piletska, Elena V.; Perez De Vargas Sansalvador, Isabel M.; Whitcombe, Michael J.; Piletsky, Sergey A.

    2016-01-01

    A simple and straightforward technique for coating microplate wells with molecularly imprinted polymer nanoparticles (nanoMIPs) to develop ELISA type assays is presented here for the first time. NanoMIPs were synthesized by a solid phase approach with immobilized vancomycin (template) and characterized using Biacore 3000, dynamic light scattering and electron microscopy. Immobilization, blocking and washing conditions were optimized in microplate format. The detection of vancomycin was achieved in competitive binding experiments with a HRP-vancomycin conjugate. The assay was capable of measuring vancomycin in buffer and in blood plasma within the range 0.001-70 nM with a detection limit of 0.0025 nM (2.5 pM). The sensitivity of the assay was three orders of magnitude better than a previously described ELISA based on antibodies. In these experiments nanoMIPs have shown high affinity and minimal interference from blood plasma components. Immobilized nanoMIPs were stored for 1 month at room temperature without any detrimental effects to their binding properties. The high affinity of nanoMIPs and the lack of a requirement for cold chain logistics make them an attractive alternative to traditional antibodies used in ELISA. PMID:23947402

  20. Magnified fluorescence detection of silver(I) ion in aqueous solutions by using nano-graphite-DNA hybrid and DNase I.

    Science.gov (United States)

    Wei, Yin; Li, Bianmiao; Wang, Xu; Duan, Yixiang

    2014-08-15

    This paper describes a novel approach utilizing nano-graphite-DNA hybrid and DNase I for the amplified detection of silver(I) ion in aqueous solutions for the first time. Nano-graphite can effectively quench the fluorescence of dye-labeled cytosine-rich single-stranded DNA due to its strong π-π stacking interactions; however, in the presence of Ag(+), C-Ag(+)-C coordination induces the probe to fold into a hairpin structure, which does not adsorb on the surface of nano-graphite and thus retains the dye fluorescence. Meanwhile, the hairpin structure can be cleaved by DNase I, and in such case Ag(+) is delivered from the complex. The released Ag(+) then binds other dye-labeled single-stranded DNA on the nano-graphite surface, and touches off another target recycling, resulting in the successive release of dye-labeled single-stranded DNA from the nano-graphite, which leads to significant amplification of the signal. The present magnification sensing system exhibits high sensitivity toward Ag(+) with a limit of detection of 0.3nM (S/N=3), which is much lower than the standard for Ag(+) in drinking water recommended by the Environmental Protection Agency (EPA). The selectivity of the sensor for Ag(+) against other biologically and environmentally related metal ions is outstanding due to the high specificity of C-Ag(+)-C formation. Moreover, the sensing system is used for the determination of Ag(+) in river water samples with satisfying results. The proposed assay is simple, cost-effective, and might open the door for the development of new assays for other metal ions or biomolecules. Copyright © 2014 Elsevier B.V. All rights reserved.

  1. Magnetic Nanoparticles: Material Engineering and Emerging Applications in Lithography and Biomedicine

    Science.gov (United States)

    Bao, Yuping; Wen, Tianlong; Samia, Anna Cristina S.; Khandhar, Amit; Krishnan, Kannan M.

    2015-01-01

    We present an interdisciplinary overview of material engineering and emerging applications of iron oxide nanoparticles. We discuss material engineering of nanoparticles in the broadest sense, emphasizing size and shape control, large-area self-assembly, composite/hybrid structures, and surface engineering. This is followed by a discussion of several non-traditional, emerging applications of iron oxide nanoparticles, including nanoparticle lithography, magnetic particle imaging, magnetic guided drug delivery, and positive contrast agents for magnetic resonance imaging. We conclude with a succinct discussion of the pharmacokinetics pathways of iron oxide nanoparticles in the human body –– an important and required practical consideration for any in vivo biomedical application, followed by a brief outlook of the field. PMID:26586919

  2. Carbon Nano-Allotrope/Magnetic Nanoparticle Hybrid Nanomaterials as T2 Contrast Agents for Magnetic Resonance Imaging Applications

    Directory of Open Access Journals (Sweden)

    Yunxiang Gao

    2018-02-01

    Full Text Available Magnetic resonance imaging (MRI is the most powerful tool for deep penetration and high-quality 3D imaging of tissues with anatomical details. However, the sensitivity of the MRI technique is not as good as that of the radioactive or optical imaging methods. Carbon-based nanomaterials have attracted significant attention in biomaterial research in recent decades due to their unique physical properties, versatile functionalization chemistry, as well as excellent biological compatibility. Researchers have employed various carbon nano-allotropes to develop hybrid MRI contrast agents for improved sensitivity. This review summarizes the new research progresses in carbon-based hybrid MRI contrast agents, especially those reported in the past five years. The review will only focus on T2-weighted MRI agents and will be categorized by the different carbon allotrope types and magnetic components. Considering the strong trend in recent bio-nanotechnology research towards multifunctional diagnosis and therapy, carbon-based MRI contrast agents integrated with other imaging modalities or therapeutic functions are also covered.

  3. Direct replacement of antibodies with molecularly imprinted polymer nanoparticles in ELISA--development of a novel assay for vancomycin.

    Science.gov (United States)

    Chianella, Iva; Guerreiro, Antonio; Moczko, Ewa; Caygill, J Sarah; Piletska, Elena V; De Vargas Sansalvador, Isabel M Perez; Whitcombe, Michael J; Piletsky, Sergey A

    2013-09-03

    A simple and straightforward technique for coating microplate wells with molecularly imprinted polymer nanoparticles (nanoMIPs) to develop assays similar to the enzyme-linked immunosorbent assay (ELISA) is presented here for the first time. NanoMIPs were synthesized by a solid-phase approach with an immobilized vancomycin (template) and characterized using Biacore 3000, dynamic light scattering, and electron microscopy. Immobilization, blocking, and washing conditions were optimized in microplate format. The detection of vancomycin was achieved in competitive binding experiments with a horseradish peroxidase-vancomycin conjugate. The assay was capable of measuring vancomycin in buffer and in blood plasma within the range of 0.001-70 nM with a detection limit of 0.0025 nM (2.5 pM). The sensitivity of the assay was 3 orders of magnitude better than a previously described ELISA based on antibodies. In these experiments, nanoMIPs have shown high affinity and minimal interference from blood plasma components. Immobilized nanoMIPs were stored for 1 month at room temperature without any detrimental effects to their binding properties. The high affinity of nanoMIPs and the lack of a requirement for cold chain logistics make them an attractive alternative to traditional antibodies used in ELISA.

  4. Effect of nano-imprinting on open-circuit voltage of organic solar cells

    Energy Technology Data Exchange (ETDEWEB)

    Emah, J.B.; Curry, R.J.; Silva, S.R.P. [Surrey Univ., Guildford (United Kingdom). Advanced Technology Inst.

    2010-07-01

    The open-circuit voltage (V{sub oc}) of solar cells with non-Ohmic contacts are determined by the work function difference of the electrodes. For Ohmic contacts the V{sub oc} is governed by the LUMO and HOMO levels of the acceptor and donor, respectively, which pin the Fermi levels of the cathode and anode. We present a case where the V{sub oc} of a single layer device using poly (3-hexylthiopene-2,5-diyl) (P3HT) as the photoactive material between a nanoimprinted poly poly (3,4-ethylenedioxythiophene) poly (styrene sulfonate)(PEDOT:PSS) and Al electrode decreases due to patterning. The reverse is shown to be the case when [6,6]-phenyl-C{sub 61}-butyric acid ester (PCBM) is introduced to form a bulk heterojunction (BHJ). In both scenarios, there is an increase in the short-circuit current, attributed to an extended optical path length within the photoactive layer and enhanced charge extraction through the increased surface area. The patterned BHJ devices show a 28% and 40% increase in the power conversion efficiency when imprinted with 727 nm and 340 nm periodic patterns respectively. ATR-FTIR investigations of the interfacial PEDOT:PSS film following patterning reveals the presence of PDMS residue which is supported by consideration of the effect on single layer P3HT and P3HT:PCBM blend device performance. UPS measurements demonstrate a reduction in the work function of the interfacial PEDOT:OSS layer by {proportional_to}0.5 eV following nanoimprinting which may originate from chemical modification by the PDMS residue or interfacial dipole formation. XPS spectrum of the imprinted PEDOT:PSS also shows a chemical shift in the 0(1s) core-level towards higher binding energy signifying interaction of the PDMS stamp residue with the PSS dominated surface of PEDOT:PSS. This led to significant improvement in the V{sub oc} and ultimately, the PCE. (orig.)

  5. Surface molecular imprinting onto fluorescein-coated magnetic nanoparticlesvia reversible addition fragmentation chain transfer polymerization: A facile three-in-one system for recognition and separation of endocrine disrupting chemicals

    Science.gov (United States)

    Li, Ying; Dong, Cunku; Chu, Jia; Qi, Jingyao; Li, Xin

    2011-01-01

    In this study, we present a general protocol for the making of surface-imprinted magnetic fluorescence beads viareversible addition-fragmentation chain transfer polymerization. The resulting composites were characterized by X-ray diffraction analysis, transmission electron microscopy, scanning electron microscopy, fluorescence spectroscopy, Fourier transform infrared spectroscopy, and energy dispersive spectroscopy. The as-synthesized beads exhibited homogeneous polymer films (thickness of about 5.7 nm), spherical shape, high fluorescence intensity and magnetic property (Magnetization (Ms) = 3.67 emu g-1). The hybrids bind the original template 17β-estradiol with an appreciable selectivity over structurally related compounds. In addition, the resulting hybrids performed without obvious deterioration after five repeated cycles. This study therefore demonstrates the potential of molecularly imprinted polymers for the recognition and separation of endocrine disrupting chemicals.In this study, we present a general protocol for the making of surface-imprinted magnetic fluorescence beads viareversible addition-fragmentation chain transfer polymerization. The resulting composites were characterized by X-ray diffraction analysis, transmission electron microscopy, scanning electron microscopy, fluorescence spectroscopy, Fourier transform infrared spectroscopy, and energy dispersive spectroscopy. The as-synthesized beads exhibited homogeneous polymer films (thickness of about 5.7 nm), spherical shape, high fluorescence intensity and magnetic property (Magnetization (Ms) = 3.67 emu g-1). The hybrids bind the original template 17β-estradiol with an appreciable selectivity over structurally related compounds. In addition, the resulting hybrids performed without obvious deterioration after five repeated cycles. This study therefore demonstrates the potential of molecularly imprinted polymers for the recognition and separation of endocrine disrupting chemicals. Electronic

  6. Three-dimensional culture and interaction of cancer cells and dendritic cells in an electrospun nano-submicron hybrid fibrous scaffold

    Science.gov (United States)

    Kim, Tae-Eon; Kim, Chang Gun; Kim, Jin Soo; Jin, Songwan; Yoon, Sik; Bae, Hae-Rahn; Kim, Jeong-Hwa; Jeong, Young Hun; Kwak, Jong-Young

    2016-01-01

    An artificial three-dimensional (3D) culture system that mimics the tumor microenvironment in vitro is an essential tool for investigating the cross-talk between immune and cancer cells in tumors. In this study, we developed a 3D culture system using an electrospun poly(ε-caprolactone) (PCL) nanofibrous scaffold (NFS). A hybrid NFS containing an uninterrupted network of nano- and submicron-scale fibers (400 nm to 2 µm) was generated by deposition onto a stainless steel mesh instead of an aluminum plate. The hybrid NFS contained multiplanar pores in a 3D structure. Surface-seeded mouse CT26 colon cancer cells and bone marrow-derived dendritic cells (BM-DCs) were able to infiltrate the hybrid NFS within several hours. BM-DCs cultured on PCL nanofibers showed a baseline inactive form, and lipopolysaccharide (LPS)-activated BM-DCs showed increased expression of CD86 and major histocompatibility complex Class II. Actin and phosphorylated FAK were enriched where unstimulated and LPS-stimulated BM-DCs contacted the fibers in the 3D hybrid NFS. When BM-DCs were cocultured with mitoxantrone-treated CT26 cells in a 3D hybrid NFS, BM-DCs sprouted cytoplasm to, migrated to, synapsed with, and engulfed mitoxantrone-treated CT26 cancer cells, which were similar to the naturally occurring cross-talk between these two types of cells. The 3D hybrid NFS developed here provides a 3D structure for coculture of cancer and immune cells. PMID:27042051

  7. Magnetic solid phase extraction of gemfibrozil from human serum and pharmaceutical wastewater samples utilizing a β-cyclodextrin grafted graphene oxide-magnetite nano-hybrid.

    Science.gov (United States)

    Abdolmohammad-Zadeh, Hossein; Talleb, Zeynab

    2015-03-01

    A magnetic solid phase extraction method based on β-cyclodextrin (β-CD) grafted graphene oxide (GO)/magnetite (Fe3O4) nano-hybrid as an innovative adsorbent was developed for the separation and pre-concentration of gemfibrozil prior to its determination by spectrofluorometry. The as-prepared β-CD/GO/Fe3O4 nano-hybrid possesses the magnetism property of Fe3O4 nano-particles that makes it easily manipulated by an external magnetic field. On the other hand, the surface modification of GO by β-CD leads to selective separation of the target analyte from sample matrices. The structure and morphology of the synthesized adsorbent were characterized using powder X-ray diffraction, Fourier transform infrared spectroscopy, and field emission scanning electron microscopy. The experimental factors affecting the extraction/pre-concentration and determination of the analyte were investigated and optimized. Under the optimized experimental conditions, the calibration graph was linear in the range between 10 and 5000 pg mL(-1) with a correlation coefficient of 0.9989. The limit of detection and enrichment factor for gemfibrozil were 3 pg mL(-1) and 100, respectively. The maximum sorption capacity of the adsorbent for gemfibrozil was 49.8 mg g(-1). The method was successfully applied to monitoring gemfibrozil in human serum and pharmaceutical wastewaters samples with recoveries in the range of 96.0-104.0% for the spiked samples. Copyright © 2014 Elsevier B.V. All rights reserved.

  8. Imprinting alterations in sperm may not significantly influence ART outcomes and imprinting patterns in the cord blood of offspring.

    Science.gov (United States)

    Tang, Li; Liu, Zichao; Zhang, Ruopeng; Su, Cunmei; Yang, Wenjuan; Yao, Youlin; Zhao, Shuhua

    2017-01-01

    An increase in imprinting disorders in children conceived though assisted reproductive technologies (ARTs) has been the subject of several reports. The transmission of imprinting errors from the sperm of infertile fathers is believed to be a possible reason for the increased occurrence of these disorders. However, whether the imprinting alterations in sperm affect ART outcomes and the imprinting of offspring is unclear. In the current study, we analyzed the methylation of H19, SNRPN and KCNQ1OT1 by pyrosequencing sperm samples from 97 infertile patients and 31 proven fertile males as well as cord blood samples from 13 infantswho were conceived by infertile parents through intracytoplasmic sperm injection (ICSI) and 30 healthy newborns who were conceived naturally. After four cases were excluded owing to the lack of a sequencing signal, the infertile patients were subgrouped into normal (69 cases) and abnormal (24 cases) imprinting groups according to the reference range set by the control group. Between the groups, there were no significant differences in ART outcomes. Significantly different levels of methylation were detected in H19, but none of the imprinted genes were determined to be outside of the methylation reference range set by the values derived from the naturally conceived controls. Three CpG loci were found to be significantly hypomethylated in the maternally imprinted gene KCNQ1OT1 in two patients from the abnormal imprinting group, none of which were caused by sperm imprinting errors. In addition, the paternal H19 gene exhibited discrepant methylation patterns between the sperm controls and the cord blood controls. Our data suggest that increased imprinting errors in the sperm of infertile patients do not have an obvious influence on ART outcomes or the imprinting of offspring.

  9. Regular cell design approach considering lithography-induced process variations

    OpenAIRE

    Gómez Fernández, Sergio

    2014-01-01

    The deployment delays for EUVL, forces IC design to continue using 193nm wavelength lithography with innovative and costly techniques in order to faithfully print sub-wavelength features and combat lithography induced process variations. The effect of the lithography gap in current and upcoming technologies is to cause severe distortions due to optical diffraction in the printed patterns and thus degrading manufacturing yield. Therefore, a paradigm shift in layout design is mandatory towards ...

  10. Computational investigation and synthesis of a sol-gel imprinted material for sensing application of some biologically active molecules

    Energy Technology Data Exchange (ETDEWEB)

    Atta, Nada F., E-mail: Nada_fah1@yahoo.com [Department of Chemistry, Faculty of Science, University of Cairo, Post Code 12613, Giza (Egypt); Hamed, Maher M.; Abdel-Mageed, Ali M. [Department of Chemistry, Faculty of Science, University of Cairo, Post Code 12613, Giza (Egypt)

    2010-05-14

    A hybrid sol-gel material was molecularly imprinted with a group of neurotransmitters. Imprinted material is a sol-gel thin film that is spin coated on the surface of a glassy carbon electrode. Imprinted films were characterized electrochemically using cyclic voltammetry (CV) and the encapsulated molecules were extracted from the films and complementary molecular cavities are formed that enable their rebind. The films were tested in their corresponding template solutions for rebinding using square wave voltammetry (SWV). Computational approach for exploring the primary intermolecular forces between templates and hydrolyzed form of the precursor monomer, tetraethylorthosilicate (TEOS), were carried out using Hartree-Fock method (HF). Interaction energy values were computed for each adduct formed between a monomer and a template. Analysis of the optimized conformations of various adducts could explain the mode of interaction between the templates and the monomer units. We found that interaction via the amino group is the common mode among the studied compounds and the results are in good agreement with the electrochemical measurements.

  11. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    International Nuclear Information System (INIS)

    Tian, Yaolan; Isotalo, Tero J; Konttinen, Mikko P; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J

    2017-01-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned. (paper)

  12. Recording performances in perpendicular magnetic patterned media

    International Nuclear Information System (INIS)

    Asbahi, M; Moritz, J; Dieny, B; Gourgon, C; Perret, C; Van de Veerdonk, R J M

    2010-01-01

    We report on the recording performances and signal-to-noise ratio (SNR) analyses of perpendicular magnetic bit-patterned media. Two different types of magnetic samples are investigated. They differ by the way that they were patterned (nano-imprint versus e-beam lithography) as well as their magnetic properties (Co/Pt multilayers and CoCrPt alloy are the recording layers).Using a contact read/write quasi-static tester, we were able to characterize the write windows, the bit error rates and measure the SNR. The influence of magnetic properties and media microstructure on the writing processes is studied. We show also that the lithographical method used to replicate the media induces more or less noise due to structural distributions.

  13. Soluble Molecularly Imprinted Nanorods for Homogeneous Molecular Recognition

    Directory of Open Access Journals (Sweden)

    Rongning Liang

    2018-03-01

    Full Text Available Nowadays, it is still difficult for molecularly imprinted polymers (MIPs to achieve homogeneous recognition since they cannot be easily dissolved in organic or aqueous phase. To address this issue, soluble molecularly imprinted nanorods have been synthesized by using soluble polyaniline doped with a functionalized organic protonic acid as the polymer matrix. By employing 1-naphthoic acid as a model, the proposed imprinted nanorods exhibit an excellent solubility and good homogeneous recognition ability. The imprinting factor for the soluble imprinted nanoroads is 6.8. The equilibrium dissociation constant and the apparent maximum number of the proposed imprinted nanorods are 248.5 μM and 22.1 μmol/g, respectively. We believe that such imprinted nanorods may provide an appealing substitute for natural receptors in homogeneous recognition related fields.

  14. Soluble Molecularly Imprinted Nanorods for Homogeneous Molecular Recognition

    Science.gov (United States)

    Liang, Rongning; Wang, Tiantian; Zhang, Huan; Yao, Ruiqing; Qin, Wei

    2018-03-01

    Nowadays, it is still difficult for molecularly imprinted polymer (MIPs) to achieve homogeneous recognition since they cannot be easily dissolved in organic or aqueous phase. To address this issue, soluble molecularly imprinted nanorods have been synthesized by using soluble polyaniline doped with a functionalized organic protonic acid as the polymer matrix. By employing 1-naphthoic acid as a model, the proposed imprinted nanorods exhibit an excellent solubility and good homogeneous recognition ability. The imprinting factor for the soluble imprinted nanoroads is 6.8. The equilibrium dissociation constant and the apparent maximum number of the proposed imprinted nanorods are 248.5 μM and 22.1 μmol/g, respectively. We believe that such imprinted nanorods may provide an appealing substitute for natural receptors in homogeneous recognition related fields.

  15. Multifunctional guest-host particles engineered by reversal nanoimprint lithography

    Science.gov (United States)

    Ha, Uh-Myong; Kaban, Burhan; Tomita, Andreea; Krekić, Kristijan; Klintuch, Dieter; Pietschnig, Rudolf; Ehresmann, Arno; Holzinger, Dennis; Hillmer, Hartmut

    2018-03-01

    Particulate polymeric microfibers with incorporated europium(III)oxide (Eu2O3) nanoparticles were introduced as a magneto-photoluminescent multifunctional material fabricated via reversal nanoimprint lithography. To specifically address the volume properties of these guest-host particles, the guest, Eu2O3, was milled down to an average particle size of 350 nm in diameter and mixed with the host-polymer, AMONIL®, before in situ hardening in the imprint stamp. The variation of the fabrication process parameters, i.e. delay time, spin coating speed, as well as the concentration of Eu2O3 nanoparticles was proven to have a significant impact on both the structure quality and the stamp release of the microfibers with respect to the formation of a thinner residual layer. Structural characterization performed by SEM revealed optimum fabrication process parameters for a homogeneous spatial distribution of Eu2O3 nanoparticles within the microfibers while simultaneously avoiding the formation of undesired agglomerates. The magneto-photoluminescent properties of Eu2O3 nanoparticles, i.e. a red emission at 613 nm and a paramagnetic response, were found to be superimposed to the optic and the diamagnetic behaviors of AMONIL®. The results imply that guest-host interdependence of these properties can be excluded and that the suggested technique enables for specific tailoring of particulate multifunctional materials with focus on their volume properties.

  16. Fabrication of Al/Graphite/Al2O3 Surface Hybrid Nano Composite by Friction Stir Processing and Investigating The Wear and Microstructural Properties of The Composite

    Directory of Open Access Journals (Sweden)

    A. Mostafapour

    2012-10-01

    Full Text Available Friction stir processing was applied for fabricating an aluminum alloy based hybrid nano composite reinforced with nano sized Al2O3 and micro sized graphite particles. A mixture of Al2O3 and graphite particles was packed into a groove with 1 mm width and 4.5 mm depth, which had been cut in 5083 aluminum plate of 10 mm thick. Packed groove was subjected to friction stir processing in order to implement powder mixture into the aluminum alloy matrix. Microstructural properties were investigated by means of optical microscopy and scanning electron microscopy (SEM. It was found that reinforcement particle mixture was distributed uniformly in nugget zone. Wear resistance of composite was measured by dry sliding wear test. As a result, hybrid composite revealed significant reduction in wear rate in comparison with Al/AL2O3 composite produced by friction stir processing. Worn surface of the wear test samples were examined by SEM in order to determine wear mechanism.

  17. Time-Efficient High-Resolution Large-Area Nano-Patterning of Silicon Dioxide

    DEFF Research Database (Denmark)

    Lin, Li; Ou, Yiyu; Aagesen, Martin

    2017-01-01

    A nano-patterning approach on silicon dioxide (SiO2) material, which could be used for the selective growth of III-V nanowires in photovoltaic applications, is demonstrated. In this process, a silicon (Si) stamp with nanopillar structures was first fabricated using electron-beam lithography (EBL....... In addition, high time efficiency can be realized by one-spot electron-beam exposure in the EBL process combined with NIL for mass production. Furthermore, the one-spot exposure enables the scalability of the nanostructures for different application requirements by tuning only the exposure dose. The size...

  18. Nb nanoSQUIDs for detection of small spin systems

    Energy Technology Data Exchange (ETDEWEB)

    Woelbing, R.; Nagel, J.; Kemmler, M.; Kleiner, R.; Koelle, D. [Physikalisches Institut, Universitaet Tuebingen (Germany); Kieler, O.; Weimann, T.; Kohlmann, J.; Zorin, A. [Fachbereich 2.4 ' ' Quantenelektronik' ' , PTB Braunschweig (Germany); Buchter, A.; Xue, F.; Poggio, M. [Department of Physics, University of Basel (Switzerland); Rueffer, D.; Russo-Averchi, E.; Fontcuberta i Morral, A. [Laboratoire des Materiaux Semiconducteurs, EPF Lausanne (Switzerland); Huber, R.; Berberich, P. [Physik-Department E10, Technische Universitaet Muenchen (Germany); Grundler, D. [Laboratoire des Materiaux Semiconducteurs, EPF Lausanne (Switzerland); Physik-Department E10, Technische Universitaet Muenchen (Germany)

    2013-07-01

    We report on the realization of highly sensitive dc nanoSQUIDs for the investigation of small spin systems in moderate magnetic fields. The Nb SQUIDs are based on normal metal Josephson junctions made of HfTi and patterned by e-beam lithography. We demonstrate stable operation up to B = ± 50 mT without degradation of rms flux noise (S{sub Φ}{sup 1/2} ≤ 280 nΦ{sub 0}/√(Hz)). We also present a multifunctional system combining a Nb nanoSQUID and a low-temperature magnetic force microscope (LTMFM) with a Ni nanotube as a scanning tip. This system allows for magnetization measurements of the Ni tube by using both, LTMFM and SQUID readout. Furthermore, the measurement of magnetic flux Φ vs. position of the particle provides an experimental determination of the coupling factor φ{sub μ} = Φ/μ between SQUID and Ni tube with magnetic moment μ. The results confirm our predictions from numerical simulations, taking into account the SQUID geometry.

  19. [Werkgartner's muzzle imprint mark--a literature study].

    Science.gov (United States)

    Geserick, Gunther; Vendura, Klaus; Wirth, Ingo

    2009-01-01

    Since Werkgartner described and correctly interpreted the muzzle imprint mark around the gunshot entrance wound in 1922, this finding has been generally accepted as a sign of a contact shot. In further studies, it could finally be clarified that the muzzle imprint mark is caused by the expansive power of the powder gases with pressure on and abrasion of the skin at the muzzle (weapon imprint). Its shape depends on the firearm, the ammunition and the anatomical conditions, but does not require a bullet. Examinations under a magnifying glass microscope and histological investigations can complete the macroscopic findings. Occasionally, the muzzle imprint mark requires a certain "drying period" in order to become clearly visible. In rare cases, muzzle imprint marks also form on textiles perforated by the projectile. Characteristically shaped muzzled imprint marks can provide clues to the type of the firearm and its position at the time of discharge.

  20. The role of the micro-pattern and nano-topography of hydroxyapatite bioceramics on stimulating osteogenic differentiation of mesenchymal stem cells.

    Science.gov (United States)

    Zhao, Cancan; Wang, Xiaoya; Gao, Long; Jing, Linguo; Zhou, Quan; Chang, Jiang

    2018-06-01

    The micro/nano hybrid structure is considered to be a biomaterial characteristic to stimulate osteogenesis by mimicking the three-dimensional structure of the bone matrix. However, the mechanism of the hybrid structure induced osteogenic differentiation of stem cells is still unknown. For elucidating the mechanisms, one of the challenge is to directly fabricate micro/nano hybrid structure on bioceramics because of its brittleness. In this study, hydroxyapatite (HA) bioceramics with the micro/nano hybrid structure were firstly fabricated via a hydrothermal treatment and template method, and the effect of the different surface structures on the expression of integrins, BMP2 signaling pathways and cell-cell communication was investigated. Interestingly, the results suggested that the osteogenic differentiation induced by micro/nano structures was modulated first through activating integrins and then further activating BMP2 signaling pathway and cell-cell communication, while activated BMP2 could in turn activate integrins and Cx43-related cell-cell communication. Furthermore, differences in activation of integrins, BMP2 signaling pathway, and gap junction-mediated cell-cell communication were observed, in which nanorod and micropattern structures activated different integrin subunits, BMP downstream receptors and Cx43. This finding may explain the synergistic effect of the micro/nano hybrid structure on the activation of osteogenic differentiation of BMSCs. Based on our study, we concluded that the different activation mechanisms of micro- and nano-structures led to the synergistic stimulatory effect on integrin activation and osteogenesis, in which not only the direct contact of cells on micro/nano structure played an important role, but also other surface characteristics such as protein adsorption might contribute to the bioactive effect. The micro/nano hybrid structure has been found to have synergistic bioactivity on osteogenesis. However, it is still a challenge

  1. Recent Advances in Imprinting Disorders

    DEFF Research Database (Denmark)

    Soellner, L; Begemann, M; Mackay, D J G

    2017-01-01

    Imprinting disorders (ImpDis) are a group of currently 12 congenital diseases with common underlying (epi)genetic etiologies and overlapping clinical features affecting growth, development and metabolism. In the last years it has emerged that ImpDis are characterized by the same types of mutations...... and epimutations, i.e. uniparental disomies, copy number variations, epimutations, and point mutations. Each ImpDis is associated with a specific imprinted locus, but the same imprinted region can be involved in different ImpDis. Additionally, even the same aberrant methylation patterns are observed in different...

  2. X-ray lithography

    International Nuclear Information System (INIS)

    Malek, C.K.

    1989-01-01

    Any type of lithography is a means of printing a pattern. The suitable lithographic tool is defined according to what kind of application the replication technique is aimed at, that is to say, what size of pattern, on what type of substrate and how many substrates are desired. The trend in all the fields of science and fabrication is to go towards smaller dimensions. Especially in the case of advanced device fabrication in the semiconductor industry, the reduction of dimensions results in a higher density of integrated circuits that will result in lower cost per function and improved performance. Lithography is used to define areas that are usually protected by a resist pattern in relief on a substrate and is followed by a process which transfers the aerial pattern from the resist to the bulk substrate as, for example, in microelectronics, in between two steps of the process or levels that are used for selective diffusion of impurities to produce the desired electrical characteristics, etching, metallization

  3. Comparative anatomy of chromosomal domains with imprinted and non-imprinted allele-specific DNA methylation.

    Science.gov (United States)

    Paliwal, Anupam; Temkin, Alexis M; Kerkel, Kristi; Yale, Alexander; Yotova, Iveta; Drost, Natalia; Lax, Simon; Nhan-Chang, Chia-Ling; Powell, Charles; Borczuk, Alain; Aviv, Abraham; Wapner, Ronald; Chen, Xiaowei; Nagy, Peter L; Schork, Nicholas; Do, Catherine; Torkamani, Ali; Tycko, Benjamin

    2013-08-01

    Allele-specific DNA methylation (ASM) is well studied in imprinted domains, but this type of epigenetic asymmetry is actually found more commonly at non-imprinted loci, where the ASM is dictated not by parent-of-origin but instead by the local haplotype. We identified loci with strong ASM in human tissues from methylation-sensitive SNP array data. Two index regions (bisulfite PCR amplicons), one between the C3orf27 and RPN1 genes in chromosome band 3q21 and the other near the VTRNA2-1 vault RNA in band 5q31, proved to be new examples of imprinted DMRs (maternal alleles methylated) while a third, between STEAP3 and C2orf76 in chromosome band 2q14, showed non-imprinted haplotype-dependent ASM. Using long-read bisulfite sequencing (bis-seq) in 8 human tissues we found that in all 3 domains the ASM is restricted to single differentially methylated regions (DMRs), each less than 2kb. The ASM in the C3orf27-RPN1 intergenic region was placenta-specific and associated with allele-specific expression of a long non-coding RNA. Strikingly, the discrete DMRs in all 3 regions overlap with binding sites for the insulator protein CTCF, which we found selectively bound to the unmethylated allele of the STEAP3-C2orf76 DMR. Methylation mapping in two additional genes with non-imprinted haplotype-dependent ASM, ELK3 and CYP2A7, showed that the CYP2A7 DMR also overlaps a CTCF site. Thus, two features of imprinted domains, highly localized DMRs and allele-specific insulator occupancy by CTCF, can also be found in chromosomal domains with non-imprinted ASM. Arguing for biological importance, our analysis of published whole genome bis-seq data from hES cells revealed multiple genome-wide association study (GWAS) peaks near CTCF binding sites with ASM.

  4. Comparative anatomy of chromosomal domains with imprinted and non-imprinted allele-specific DNA methylation.

    Directory of Open Access Journals (Sweden)

    Anupam Paliwal

    2013-08-01

    Full Text Available Allele-specific DNA methylation (ASM is well studied in imprinted domains, but this type of epigenetic asymmetry is actually found more commonly at non-imprinted loci, where the ASM is dictated not by parent-of-origin but instead by the local haplotype. We identified loci with strong ASM in human tissues from methylation-sensitive SNP array data. Two index regions (bisulfite PCR amplicons, one between the C3orf27 and RPN1 genes in chromosome band 3q21 and the other near the VTRNA2-1 vault RNA in band 5q31, proved to be new examples of imprinted DMRs (maternal alleles methylated while a third, between STEAP3 and C2orf76 in chromosome band 2q14, showed non-imprinted haplotype-dependent ASM. Using long-read bisulfite sequencing (bis-seq in 8 human tissues we found that in all 3 domains the ASM is restricted to single differentially methylated regions (DMRs, each less than 2kb. The ASM in the C3orf27-RPN1 intergenic region was placenta-specific and associated with allele-specific expression of a long non-coding RNA. Strikingly, the discrete DMRs in all 3 regions overlap with binding sites for the insulator protein CTCF, which we found selectively bound to the unmethylated allele of the STEAP3-C2orf76 DMR. Methylation mapping in two additional genes with non-imprinted haplotype-dependent ASM, ELK3 and CYP2A7, showed that the CYP2A7 DMR also overlaps a CTCF site. Thus, two features of imprinted domains, highly localized DMRs and allele-specific insulator occupancy by CTCF, can also be found in chromosomal domains with non-imprinted ASM. Arguing for biological importance, our analysis of published whole genome bis-seq data from hES cells revealed multiple genome-wide association study (GWAS peaks near CTCF binding sites with ASM.

  5. Effect of Nano-TiC Dispersed Particles and Electro-Codeposition Parameters on Morphology and Structure of Hybrid Ni/TiC Nanocomposite Layers.

    Science.gov (United States)

    Benea, Lidia; Celis, Jean-Pierre

    2016-04-06

    This research work describes the effect of dispersed titanium carbide (TiC) nanoparticles into nickel plating bath on Ni/TiC nanostructured composite layers obtained by electro-codeposition. The surface morphology of Ni/TiC nanostructured composite layers was characterized by scanning electron microscopy (SEM). The composition of coatings and the incorporation percentage of TiC nanoparticles into Ni matrix were studied and estimated by using energy dispersive X-ray analysis (EDX). X-ray diffractometer (XRD) has been applied in order to investigate the phase structure as well as the corresponding relative texture coefficients of the composite layers. The results show that the concentration of nano-TiC particles added in the nickel electrolyte affects the inclusion percentage of TiC into Ni/TiC nano strucured layers, as well as the corresponding morphology, relative texture coefficients and thickness indicating an increasing tendency with the increasing concentration of nano-TiC concentration. By increasing the amount of TiC nanoparticles in the electrolyte, their incorporation into nickel matrix also increases. The hybrid Ni/nano-TiC composite layers obtained revealed a higher roughness and higher hardness; therefore, these layers are promising superhydrophobic surfaces for special application and could be more resistant to wear than the pure Ni layers.

  6. Effect of Nano-TiC Dispersed Particles and Electro-Codeposition Parameters on Morphology and Structure of Hybrid Ni/TiC Nanocomposite Layers

    Directory of Open Access Journals (Sweden)

    Lidia Benea

    2016-04-01

    Full Text Available This research work describes the effect of dispersed titanium carbide (TiC nanoparticles into nickel plating bath on Ni/TiC nanostructured composite layers obtained by electro-codeposition. The surface morphology of Ni/TiC nanostructured composite layers was characterized by scanning electron microscopy (SEM. The composition of coatings and the incorporation percentage of TiC nanoparticles into Ni matrix were studied and estimated by using energy dispersive X-ray analysis (EDX. X-ray diffractometer (XRD has been applied in order to investigate the phase structure as well as the corresponding relative texture coefficients of the composite layers. The results show that the concentration of nano-TiC particles added in the nickel electrolyte affects the inclusion percentage of TiC into Ni/TiC nano strucured layers, as well as the corresponding morphology, relative texture coefficients and thickness indicating an increasing tendency with the increasing concentration of nano-TiC concentration. By increasing the amount of TiC nanoparticles in the electrolyte, their incorporation into nickel matrix also increases. The hybrid Ni/nano-TiC composite layers obtained revealed a higher roughness and higher hardness; therefore, these layers are promising superhydrophobic surfaces for special application and could be more resistant to wear than the pure Ni layers.

  7. Catalytic silica particles via template-directed molecular imprinting

    Energy Technology Data Exchange (ETDEWEB)

    Markowitz, M.A.; Kust, P.R.; Deng, G.; Schoen, P.E.; Dordick, J.S.; Clark, D.S.; Gaber, B.P.

    2000-02-22

    The surfaces of silica particle were molecularly imprinted with an {alpha}-chymotrypsin transition-state analogue (TSA) by utilizing the technique of template-directed synthesis of mineralized materials. The resulting catalytic particles hydrolyzed amides in an enantioselective manner. A mixture of a nonionic surfactant and the acylated chymotrysin TSA, with the TSA acting as the headgroup at the surfactant-water interface, was used to form a microemulsion for silica particle formation. Incorporation of amine-, dihydroimidazole-, and carboxylate-terminated trialkoxysilanes into the particles during imprinting resulted in enhancement of the rates of amide hydrolysis. Acylated imprint molecules formed more effective imprints in the presence of the functionalized silanes than nonacylated imprint molecules. Particles surface-imprinted with the chymotrypsin TSA were selective for the trypsin substrate, and particles surface-imprinted with the L-isomer of the enzyme TSA were enantioselective for the D-isomer of the substrate.

  8. Dopamine-imprinted monolithic column for capillary electrochromatography.

    Science.gov (United States)

    Aşır, Süleyman; Sarı, Duygu; Derazshamshir, Ali; Yılmaz, Fatma; Şarkaya, Koray; Denizli, Adil

    2017-11-01

    A dopamine-imprinted monolithic column was prepared and used in capillary electrochromatography as stationary phase for the first time. Dopamine was selectively separated from aqueous solution containing the competitor molecule norepinephrine, which is similar in size and shape to the template molecule. Morphology of the dopamine-imprinted column was observed by scanning electron microscopy. The influence of the organic solvent content of mobile phase, applied pressure and pH of the mobile phase on the recognition of dopamine by the imprinted monolithic column has been evaluated, and the imprinting effect in the dopamine-imprinted monolithic polymer was verified. Developed dopamine-imprinted monolithic column resulted in excellent separation of dopamine from structurally related competitor molecule, norepinephrine. Separation was achieved in a short period of 10 min, with the electrophoretic mobility of 5.81 × 10 -5  m 2 V -1 s -1 at pH 5.0 and 500 mbar pressure. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Molecularly Imprinted Nanomaterials for Sensor Applications

    Science.gov (United States)

    Irshad, Muhammad; Iqbal, Naseer; Mujahid, Adnan; Afzal, Adeel; Hussain, Tajamal; Sharif, Ahsan; Ahmad, Ejaz; Athar, Muhammad Makshoof

    2013-01-01

    Molecular imprinting is a well-established technology to mimic antibody-antigen interaction in a synthetic platform. Molecularly imprinted polymers and nanomaterials usually possess outstanding recognition capabilities. Imprinted nanostructured materials are characterized by their small sizes, large reactive surface area and, most importantly, with rapid and specific analysis of analytes due to the formation of template driven recognition cavities within the matrix. The excellent recognition and selectivity offered by this class of materials towards a target analyte have found applications in many areas, such as separation science, analysis of organic pollutants in water, environmental analysis of trace gases, chemical or biological sensors, biochemical assays, fabricating artificial receptors, nanotechnology, etc. We present here a concise overview and recent developments in nanostructured imprinted materials with respect to various sensor systems, e.g., electrochemical, optical and mass sensitive, etc. Finally, in light of recent studies, we conclude the article with future perspectives and foreseen applications of imprinted nanomaterials in chemical sensors. PMID:28348356

  10. 77 FR 25082 - Picture Permit Imprint Indicia

    Science.gov (United States)

    2012-04-27

    ... POSTAL SERVICE 39 CFR Part 111 Picture Permit Imprint Indicia AGENCY: Postal Service\\TM\\. ACTION... Service, Domestic Mail Manual (DMM[supreg]) 604.5 to add picture permit imprint indicia standards allowing...: The use of picture permit imprint indicia is designed to improve the effectiveness of a mailpiece by...

  11. Assessing the plasmonics of gold nano-triangles with higher order laser modes

    Directory of Open Access Journals (Sweden)

    Laura E. Hennemann

    2012-10-01

    Full Text Available Regular arrays of metallic nano-triangles – so called Fischer patterns – are fabricated by nano-sphere lithography. We studied such gold nano-triangle arrays on silicon or glass substrates. A series of different samples was investigated with a parabolic mirror based confocal microscope where the sample is scanned through the laser focus. By employing higher order laser modes (azimuthally and radially polarised laser beams, we can excite the Fischer patterns using either a pure in-plane (x,y electric field or a strongly z-directional (optical axis of the optical microscope electric field. We collected and evaluated the emitted luminescence and thereby investigated the respectively excited plasmonic modes. These varied considerably: firstly with the light polarisation in the focus, secondly with the aspect ratio of the triangles and thirdly with the employed substrate. Moreover, we obtained strongly enhanced Raman spectra of an adenine (sub-monolayer on gold Fischer patterns on glass. We thus showed that gold Fischer patterns are promising surface-enhanced Raman scattering (SERS substrates.

  12. Nano-optomechanics with optically levitated nanoparticles

    Science.gov (United States)

    Neukirch, Levi P.; Vamivakas, A. Nick

    2015-01-01

    Nano-optomechanics is a vibrant area of research that continues to push the boundary of quantum science and measurement technology. Recently, it has been realised that the optical forces experienced by polarisable nanoparticles can provide a novel platform for nano-optomechanics with untethered mechanical oscillators. Remarkably, these oscillators are expected to exhibit quality factors approaching ?. The pronounced quality factors are a direct result of the mechanical oscillator being freed from a supporting substrate. This review provides an overview of the basic optical physics underpinning optical trapping and optical levitation experiments, it discusses a number of experimental approaches to optical trapping and finally outlines possible applications of this nano-optomechanics modality in hybrid quantum systems and nanoscale optical metrology.

  13. From superamphiphobic to amphiphilic polymeric surfaces with ordered hierarchical roughness fabricated with colloidal lithography and plasma nanotexturing.

    Science.gov (United States)

    Ellinas, K; Tserepi, A; Gogolides, E

    2011-04-05

    Ordered, hierarchical (triple-scale), superhydrophobic, oleophobic, superoleophobic, and amphiphilic surfaces on poly(methyl methacrylate) PMMA polymer substrates are fabricated using polystyrene (PS) microparticle colloidal lithography, followed by oxygen plasma etching-nanotexturing (for amphiphilic surfaces) and optional subsequent fluorocarbon plasma deposition (for amphiphobic surfaces). The PS colloidal microparticles were assembled by spin-coating. After etching/nanotexturing, the PMMA plates are amphiphilic and exhibit hierarchical (triple-scale) roughness with microscale ordered columns, and dual-scale (hundred nano/ten nano meter) nanoscale texture on the particles (top of the column) and on the etched PMMA surface. The spacing, diameter, height, and reentrant profile of the microcolumns are controlled with the etching process. Following the design requirements for superamphiphobic surfaces, we demonstrate enhancement of both hydrophobicity and oleophobicity as a result of hierarchical (triple-scale) and re-entrant topography. After fluorocarbon film deposition, we demonstrate superhydrophobic surfaces (contact angle for water 168°, compared to 110° for a flat surface), as well as superoleophobic surfaces (153° for diiodomethane, compared to 80° for a flat surface).

  14. Neuronal plasticity and multisensory integration in filial imprinting.

    Science.gov (United States)

    Town, Stephen Michael; McCabe, Brian John

    2011-03-10

    Many organisms sample their environment through multiple sensory systems and the integration of multisensory information enhances learning. However, the mechanisms underlying multisensory memory formation and their similarity to unisensory mechanisms remain unclear. Filial imprinting is one example in which experience is multisensory, and the mechanisms of unisensory neuronal plasticity are well established. We investigated the storage of audiovisual information through experience by comparing the activity of neurons in the intermediate and medial mesopallium of imprinted and naïve domestic chicks (Gallus gallus domesticus) in response to an audiovisual imprinting stimulus and novel object and their auditory and visual components. We find that imprinting enhanced the mean response magnitude of neurons to unisensory but not multisensory stimuli. Furthermore, imprinting enhanced responses to incongruent audiovisual stimuli comprised of mismatched auditory and visual components. Our results suggest that the effects of imprinting on the unisensory and multisensory responsiveness of IMM neurons differ and that IMM neurons may function to detect unexpected deviations from the audiovisual imprinting stimulus.

  15. Neuronal Plasticity and Multisensory Integration in Filial Imprinting

    Science.gov (United States)

    Town, Stephen Michael; McCabe, Brian John

    2011-01-01

    Many organisms sample their environment through multiple sensory systems and the integration of multisensory information enhances learning. However, the mechanisms underlying multisensory memory formation and their similarity to unisensory mechanisms remain unclear. Filial imprinting is one example in which experience is multisensory, and the mechanisms of unisensory neuronal plasticity are well established. We investigated the storage of audiovisual information through experience by comparing the activity of neurons in the intermediate and medial mesopallium of imprinted and naïve domestic chicks (Gallus gallus domesticus) in response to an audiovisual imprinting stimulus and novel object and their auditory and visual components. We find that imprinting enhanced the mean response magnitude of neurons to unisensory but not multisensory stimuli. Furthermore, imprinting enhanced responses to incongruent audiovisual stimuli comprised of mismatched auditory and visual components. Our results suggest that the effects of imprinting on the unisensory and multisensory responsiveness of IMM neurons differ and that IMM neurons may function to detect unexpected deviations from the audiovisual imprinting stimulus. PMID:21423770

  16. Interconnectivity of macroporous molecularly imprinted polymers fabricated by hydroxyapatite-stabilized Pickering high internal phase emulsions-hydrogels for the selective recognition of protein.

    Science.gov (United States)

    Sun, Yanhua; Li, Yuqing; Xu, Jiangfeng; Huang, Ling; Qiu, Tianyun; Zhong, Shian

    2017-07-01

    Hydroxyapatite hybridized molecularly imprinted polydopamine polymers with selective recognition of bovine hemoglobin (BHb) were successfully prepared via Pickering oil-in-water high internal phase emulsions-hydrogels and molecularly imprinting technique. The emulsions were stabilized by hydroxyapatite of which the wettability was modified by 3-methacryloxypropyltrimethoxysilane. The materials were characterized by SEM, IR and TGA. The results showed that the BHb imprinted polymers based on Pickering hydrogels (Hydro-MIPs) possess macropores ranging from 20μm to 50μm, and their large numbers of amino groups and hydroxyl groups result in a favorable adsorption capacity for BHb. The maximum adsorption capacity of Hydro-MIPs for BHb was 438mg/g, 3.27 times more than that of the non-imprinted polymers (Hydro-NIPs). The results indicated that Hydro-MIPs possessing well-defined hierarchical porous structures exhibited outstanding recognition behavior towards the target protein molecules. This work provided a promising alternative method for the fabrication of polymer materials with tunable and interconnected pores structures for the separation and purification of protein in vitro. Copyright © 2017. Published by Elsevier B.V.

  17. Neural basis of imprinting behavior in chicks.

    Science.gov (United States)

    Nakamori, Tomoharu; Maekawa, Fumihiko; Sato, Katsushige; Tanaka, Kohichi; Ohki-Hamazaki, Hiroko

    2013-01-01

    Newly hatched chicks memorize the characteristics of the first moving object they encounter, and subsequently show a preference for it. This "imprinting" behavior is an example of infant learning and is elicited by visual and/or auditory cues. Visual information of imprinting stimuli in chicks is first processed in the visual Wulst (VW), a telencephalic area corresponding to the mammalian visual cortex, congregates in the core region of the hyperpallium densocellulare (HDCo) cells, and transmitted to the intermediate medial mesopallium (IMM), a region similar to the mammalian association cortex. The imprinting memory is stored in the IMM, and activities of IMM neurons are altered by imprinting. Imprinting also induces functional and structural plastic changes of neurons in the circuit that links the VW and the IMM. Of these neurons, the activity of the HDCo cells is strongly influenced by imprinting. Expression and modulation of NR2B subunit-containing N-methyl-D-aspartate (NMDA) receptors in the HDCo cells are crucial for plastic changes in this circuit as well as the process of visual imprinting. Thus, elucidation of cellular and molecular mechanisms underlying the plastic changes that occurred in the HDCo cells may provide useful knowledge about infant learning. © 2012 The Authors Development, Growth & Differentiation © 2012 Japanese Society of Developmental Biologists.

  18. Displacement Talbot lithography: an alternative technique to fabricate nanostructured metamaterials

    Science.gov (United States)

    Le Boulbar, E. D.; Chausse, P. J. P.; Lis, S.; Shields, P. A.

    2017-06-01

    Nanostructured materials are essential for many recent electronic, magnetic and optical devices. Lithography is the most common step used to fabricate organized and well calibrated nanostructures. However, feature sizes less than 200 nm usually require access to deep ultraviolet photolithography, e-beam lithography or soft lithography (nanoimprinting), which are either expensive, have low-throughput or are sensitive to defects. Low-cost, high-throughput and low-defect-density techniques are therefore of interest for the fabrication of nanostructures. In this study, we investigate the potential of displacement Talbot lithography for the fabrication of specific structures of interest within plasmonic and metamaterial research fields. We demonstrate that nanodash arrays and `fishnet'-like structures can be fabricated by using a double exposure of two different linear grating phase masks. Feature sizes can be tuned by varying the exposure doses. Such lithography has been used to fabricate metallic `fishnet'-like structures using a lift-off technique. This proof of principle paves the way to a low-cost, high-throughput, defect-free and large-scale technique for the fabrication of structures that could be useful for metamaterial and plasmonic metasurfaces. With the development of deep ultraviolet displacement Talbot lithography, the feature dimensions could be pushed lower and used for the fabrication of optical metamaterials in the visible range.

  19. Investigating nanohybrid material based on 3D CNTs@Cu nanoparticle composite and imprinted polymer for highly selective detection of chloramphenicol.

    Science.gov (United States)

    Munawar, Anam; Tahir, Muhammad Ali; Shaheen, Ayesha; Lieberzeit, Peter A; Khan, Waheed S; Bajwa, Sadia Z

    2018-01-15

    Nanotechnology holds great promise for the fabrication of versatile materials that can be used as sensor platforms for the highly selective detection of analytes. In this research article we report a new nanohybrid material, where 3D imprinted nanostructures are constructed. First, copper nanoparticles are deposited on carbon nanotubes and then a hybrid structure is formed by coating molecularly imprinted polymer on 3D CNTs@Cu NPs; and a layer by layer assembly is achieved. SEM and AFM revealed the presence of Cu NPs (100-500nm) anchored along the whole length of CNTs, topped with imprinted layer. This material was applied to fabricate an electrochemical sensor to monitor a model veterinary drug, chloramphenicol. The high electron transfer ability and conductivity of the prepared material produced sensitive response, whereas, molecular imprinting produces selectivity towards drug detection. The sensor responses were found concentration dependent and the detection limit was calculated to be 10μM (S/N=3). Finally, we showed how changing the polymer composition, the extent of cross linking, and sensor layer thickness greatly affects the number of binding sites for the recognition of drug. This work paves the way to build variants of 3D imprinted materials for the detection of other kinds of biomolecules and antibiotics. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Nano-Sized Structurally Disordered Metal Oxide Composite Aerogels as High-Power Anodes in Hybrid Supercapacitors.

    Science.gov (United States)

    Huang, Haijian; Wang, Xing; Tervoort, Elena; Zeng, Guobo; Liu, Tian; Chen, Xi; Sologubenko, Alla; Niederberger, Markus

    2018-03-27

    A general method for preparing nano-sized metal oxide nanoparticles with highly disordered crystal structure and their processing into stable aqueous dispersions is presented. With these nanoparticles as building blocks, a series of nanoparticles@reduced graphene oxide (rGO) composite aerogels are fabricated and directly used as high-power anodes for lithium-ion hybrid supercapacitors (Li-HSCs). To clarify the effect of the degree of disorder, control samples of crystalline nanoparticles with similar particle size are prepared. The results indicate that the structurally disordered samples show a significantly enhanced electrochemical performance compared to the crystalline counterparts. In particular, structurally disordered Ni x Fe y O z @rGO delivers a capacity of 388 mAh g -1 at 5 A g -1 , which is 6 times that of the crystalline sample. Disordered Ni x Fe y O z @rGO is taken as an example to study the reasons for the enhanced performance. Compared with the crystalline sample, density functional theory calculations reveal a smaller volume expansion during Li + insertion for the structurally disordered Ni x Fe y O z nanoparticles, and they are found to exhibit larger pseudocapacitive effects. Combined with an activated carbon (AC) cathode, full-cell tests of the lithium-ion hybrid supercapacitors are performed, demonstrating that the structurally disordered metal oxide nanoparticles@rGO||AC hybrid systems deliver high energy and power densities within the voltage range of 1.0-4.0 V. These results indicate that structurally disordered nanomaterials might be interesting candidates for exploring high-power anodes for Li-HSCs.

  1. Low cost ESR based X-ray beamline for lithography experimentation

    Energy Technology Data Exchange (ETDEWEB)

    Kovacs, S.; Doumas, A.; Truncale, M. (Grumman Corp., Bethpage, NY (United States). Space and Electronics Div.)

    1992-08-01

    Any application of the electron storage ring (ESR) based X-ray lithography technology requires an X-ray radiation transport system to transfer the synchrotron radiation into a spectrum defined by the lithography process requirements. Structure of this transport system (i.e. the beamline) depends on the nature of the application. In this paper a beamline conceptual design will be discussed. The beamline is intended for the developmment of X-ray lithography technology. (orig.).

  2. DNA Photo Lithography with Cinnamate-based Photo-Bio-Nano-Glue

    Science.gov (United States)

    Feng, Lang; Li, Minfeng; Romulus, Joy; Sha, Ruojie; Royer, John; Wu, Kun-Ta; Xu, Qin; Seeman, Nadrian; Weck, Marcus; Chaikin, Paul

    2013-03-01

    We present a technique to make patterned functional surfaces, using a cinnamate photo cross-linker and photolithography. We have designed and modified a complementary set of single DNA strands to incorporate a pair of opposing cinnamate molecules. On exposure to 360nm UV, the cinnamate makes a highly specific covalent bond permanently linking only the complementary strands containing the cinnamates. We have studied this specific and efficient crosslinking with cinnamate-containing DNA in solution and on particles. UV addressability allows us to pattern surfaces functionally. The entire surface is coated with a DNA sequence A incorporating cinnamate. DNA strands A'B with one end containing a complementary cinnamated sequence A' attached to another sequence B, are then hybridized to the surface. UV photolithography is used to bind the A'B strand in a specific pattern. The system is heated and the unbound DNA is washed away. The pattern is then observed by thermo-reversibly hybridizing either fluorescently dyed B' strands complementary to B, or colloids coated with B' strands. Our techniques can be used to reversibly and/or permanently bind, via DNA linkers, an assortment of molecules, proteins and nanostructures. Potential applications range from advanced self-assembly, such as templated self-replication schemes recently reported, to designed physical and chemical patterns, to high-resolution multi-functional DNA surfaces for genetic detection or DNA computing.

  3. Phase and electrical properties of PZT thin films embedded with CuO nano-particles by a hybrid sol-gel route

    Science.gov (United States)

    Sreesattabud, Tharathip; Gibbons, Brady J.; Watcharapasorn, Anucha; Jiansirisomboon, Sukanda

    2013-07-01

    Pb(Zr0.52Ti0.48)O3 or PZT thin films embedded with CuO nano-particles were successfully prepared by a hybrid sol-gel process. In this process, CuO (0, 0.1, 0.2, 0.3, 0.4, 0.5 and 1 wt. %) nanopowder was suspended in an organometallic solution of PZT, and then coated on platinised silicon substrate using a spin-coating technique. The influence of CuO nano-particles' dispersion on the phase of PZT thin films was investigated. XRD results showed a perovskite phase in all films. At the CuO concentration of 0.4-1 wt. %, a second phase was observed. The addition of CuO nano-particles affected the orientation of PZT thin films. The addition was also found to reduce the ferroelectric properties of PZT thin films. However, at 0.2 wt. % CuO concentration, the film exhibited good ferroelectric properties similar to those of PZT films. In addition, the fatigue retention properties of the PZT/CuO system was observed, and it showed 14% fatigue at 108 switching bipolar pulse cycles while the fatigue in PZT thin films was found to be 17% at the same switching bipolar pulse cycles.

  4. Interference Lithography for Vertical Photovoltaics

    Science.gov (United States)

    Balls, Amy; Pei, Lei; Kvavle, Joshua; Sieler, Andrew; Schultz, Stephen; Linford, Matthew; Vanfleet, Richard; Davis, Robert

    2009-10-01

    We are exploring low cost approaches for fabricating three dimensional nanoscale structures. These vertical structures could significantly improve the efficiency of devices made from low cost photovoltaic materials. The nanoscale vertical structure provides a way to increase optical absorption in thin photovoltaic films without increasing the electronic carrier separation distance. The target structure is a high temperature transparent template with a dense array of holes on a 400 - 600 nm pitch fabricated by a combination of interference lithography and nanoembossing. First a master was fabricated using ultraviolet light interference lithography and the pattern was transferred into a silicon wafer master by silicon reactive ion etching. Embossing studies were performed with the master on several high temperature polymers.

  5. Identification of imprinted genes subject to parent-of-origin specific expression in Arabidopsis thaliana seeds

    LENUS (Irish Health Repository)

    McKeown, Peter C

    2011-08-12

    Abstract Background Epigenetic regulation of gene dosage by genomic imprinting of some autosomal genes facilitates normal reproductive development in both mammals and flowering plants. While many imprinted genes have been identified and intensively studied in mammals, smaller numbers have been characterized in flowering plants, mostly in Arabidopsis thaliana. Identification of additional imprinted loci in flowering plants by genome-wide screening for parent-of-origin specific uniparental expression in seed tissues will facilitate our understanding of the origins and functions of imprinted genes in flowering plants. Results cDNA-AFLP can detect allele-specific expression that is parent-of-origin dependent for expressed genes in which restriction site polymorphisms exist in the transcripts derived from each allele. Using a genome-wide cDNA-AFLP screen surveying allele-specific expression of 4500 transcript-derived fragments, we report the identification of 52 maternally expressed genes (MEGs) displaying parent-of-origin dependent expression patterns in Arabidopsis siliques containing F1 hybrid seeds (3, 4 and 5 days after pollination). We identified these MEGs by developing a bioinformatics tool (GenFrag) which can directly determine the identities of transcript-derived fragments from (i) their size and (ii) which selective nucleotides were added to the primers used to generate them. Hence, GenFrag facilitates increased throughput for genome-wide cDNA-AFLP fragment analyses. The 52 MEGs we identified were further filtered for high expression levels in the endosperm relative to the seed coat to identify the candidate genes most likely representing novel imprinted genes expressed in the endosperm of Arabidopsis thaliana. Expression in seed tissues of the three top-ranked candidate genes, ATCDC48, PDE120 and MS5-like, was confirmed by Laser-Capture Microdissection and qRT-PCR analysis. Maternal-specific expression of these genes in Arabidopsis thaliana F1 seeds was

  6. Identification of imprinted genes subject to parent-of-origin specific expression in Arabidopsis thaliana seeds

    Directory of Open Access Journals (Sweden)

    Wennblom Trevor J

    2011-08-01

    Full Text Available Abstract Background Epigenetic regulation of gene dosage by genomic imprinting of some autosomal genes facilitates normal reproductive development in both mammals and flowering plants. While many imprinted genes have been identified and intensively studied in mammals, smaller numbers have been characterized in flowering plants, mostly in Arabidopsis thaliana. Identification of additional imprinted loci in flowering plants by genome-wide screening for parent-of-origin specific uniparental expression in seed tissues will facilitate our understanding of the origins and functions of imprinted genes in flowering plants. Results cDNA-AFLP can detect allele-specific expression that is parent-of-origin dependent for expressed genes in which restriction site polymorphisms exist in the transcripts derived from each allele. Using a genome-wide cDNA-AFLP screen surveying allele-specific expression of 4500 transcript-derived fragments, we report the identification of 52 maternally expressed genes (MEGs displaying parent-of-origin dependent expression patterns in Arabidopsis siliques containing F1 hybrid seeds (3, 4 and 5 days after pollination. We identified these MEGs by developing a bioinformatics tool (GenFrag which can directly determine the identities of transcript-derived fragments from (i their size and (ii which selective nucleotides were added to the primers used to generate them. Hence, GenFrag facilitates increased throughput for genome-wide cDNA-AFLP fragment analyses. The 52 MEGs we identified were further filtered for high expression levels in the endosperm relative to the seed coat to identify the candidate genes most likely representing novel imprinted genes expressed in the endosperm of Arabidopsis thaliana. Expression in seed tissues of the three top-ranked candidate genes, ATCDC48, PDE120 and MS5-like, was confirmed by Laser-Capture Microdissection and qRT-PCR analysis. Maternal-specific expression of these genes in Arabidopsis thaliana F1

  7. Compact synchrotron radiation depth lithography facility

    Science.gov (United States)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  8. Preparations, Properties, and Applications of Periodic Nano Arrays using Anodized Aluminum Oxide and Di-block Copolymer

    Science.gov (United States)

    Noh, Kunbae

    2011-12-01

    Self-ordered arrangements observed in various materials systems such as anodic aluminum oxide, polystyrene nanoparticles, and block copolymer are of great interest in terms of providing new opportunities in nanofabrication field where lithographic techniques are broadly used in general. Investigations on self-assembled nano arrays to understand how to obtain periodic nano arrays in an efficient yet inexpensive way, and how to realize advanced material and device systems thereof, can lead to significant impacts on science and technology for many forefront device applications. In this thesis, various aspects of periodic nano-arrays have been discussed including novel preparations, properties and applications of anodized aluminum oxide (AAO) and PS-b-P4VP (S4VP) di-block copolymer self-assembly. First, long-range ordered AAO arrays have been demonstrated. Nanoimprint lithography (NIL) process allowed a faithful pattern transfer of the imprint mold pattern onto Al thin film, and interesting self-healing and pattern tripling phenomena were observed, which could be applicable towards fabrication of the NIL master mold having highly dense pattern over large area, useful for fabrication of a large-area substrate for predictable positioning of arrayed devices. Second, S4VP diblock copolymer self-assembly and S4VP directed AAO self-assembly have been demonstrated in the Al thin film on Si substrate. Such a novel combination of two dissimilar self-assembly techniques demonstrated a potential as a versatile tool for nanopatterning formation on a Si substrate, capable of being integrated into Si process technology. As exemplary applications, vertically aligned Ni nanowires have been synthesized into an S4VP-guided AAO membrane on a Si substrate in addition to anti-dot structured [Co/Pd]n magnetic multilayer using S4VP self assembly. Third, a highly hexagonally ordered, vertically parallel aluminum oxide nanotube array was successfully fabricated via hard anodization technique

  9. Workshop on compact storage ring technology: applications to lithography

    International Nuclear Information System (INIS)

    1986-01-01

    Project planning in the area of x-ray lithography is discussed. Three technologies that are emphasized are the light source, the lithographic technology, and masking technology. The needs of the semiconductor industry in the lithography area during the next decade are discussed, particularly as regards large scale production of high density dynamic random access memory devices. Storage ring parameters and an overall exposure tool for x-ray lithography are addressed. Competition in this area of technology from Germany and Japan is discussed briefly. The design of a storage ring is considered, including lattice design, magnets, and beam injection systems

  10. Endosperm imprinting: a child custody battle?

    Science.gov (United States)

    Becraft, Philip W

    2012-02-07

    Endosperm gene imprinting has long been speculated to control nutrient allocation to seeds. For the first time, an imprinted gene directly involved in this process has been identified. Copyright © 2012 Elsevier Ltd. All rights reserved.

  11. Molecularly Imprinted Polymers: Present and Future Prospective

    Directory of Open Access Journals (Sweden)

    Giuseppe Vasapollo

    2011-09-01

    Full Text Available Molecular Imprinting Technology (MIT is a technique to design artificial receptors with a predetermined selectivity and specificity for a given analyte, which can be used as ideal materials in various application fields. Molecularly Imprinted Polymers (MIPs, the polymeric matrices obtained using the imprinting technology, are robust molecular recognition elements able to mimic natural recognition entities, such as antibodies and biological receptors, useful to separate and analyze complicated samples such as biological fluids and environmental samples. The scope of this review is to provide a general overview on MIPs field discussing first general aspects in MIP preparation and then dealing with various application aspects. This review aims to outline the molecularly imprinted process and present a summary of principal application fields of molecularly imprinted polymers, focusing on chemical sensing, separation science, drug delivery and catalysis. Some significant aspects about preparation and application of the molecular imprinting polymers with examples taken from the recent literature will be discussed. Theoretical and experimental parameters for MIPs design in terms of the interaction between template and polymer functionalities will be considered and synthesis methods for the improvement of MIP recognition properties will also be presented.

  12. Molecularly Imprinted Membranes

    Science.gov (United States)

    Trotta, Francesco; Biasizzo, Miriam; Caldera, Fabrizio

    2012-01-01

    Although the roots of molecularly imprinted polymers lie in the beginning of 1930s in the past century, they have had an exponential growth only 40–50 years later by the works of Wulff and especially by Mosbach. More recently, it was also proved that molecular imprinted membranes (i.e., polymer thin films) that show recognition properties at molecular level of the template molecule are used in their formation. Different procedures and potential application in separation processes and catalysis are reported. The influences of different parameters on the discrimination abilities are also discussed. PMID:24958291

  13. Optical properties of phosphorescent nano-silicon electrochemically doped with terbium

    Energy Technology Data Exchange (ETDEWEB)

    Gelloz, Bernard [Nagoya University, Furo-cho, Chikusa-ku, Nagoya, Aichi 464-8603 (Japan); Mentek, Romain; Koshida, Nobuyoshi [Tokyo University A and T, 2-24-16 Nakacho, Koganei, Tokyo 184-8588 (Japan)

    2012-12-15

    Hybrid thin films consisting of oxidized nano-silicon doped with terbium have been fabricated. Nano-silicon was formed by electrochemical etching of silicon wafers. Terbium was incorporated into nano-silicon pores by electrochemical deposition. Different oxidizing thermal treatments were applied to the films. The samples treated by high-pressure water vapor annealing (HWA) exhibited strong blue emission with a phosphorescent component, as previously reported by our group. The low temperature (260 C) HWA also led to strong emission from Tb{sup 3+} ions, whereas typical high temperature (900 C) treatment generally used to activate Tb{sup 3+} ions in silicon-based materials led to less luminescent samples. Spectroscopic and dynamic analyses suggest that terbium was incorporated as a separate oxide phase in the pores of the porous nano-silicon. The PL of the terbium phase and nano-silicon phase exhibit different temperature and excitation power dependences suggesting little optical or electronic interaction between the two phases. The luminescence of terbium is better activated at low temperature (260 C) than at high temperature (900 C). The hybrid material may find some applications in photonics, for instance as a display material. (copyright 2012 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  14. Applications of Cold Cathode PIG Ion Source in Lithography

    International Nuclear Information System (INIS)

    Bassal, N.I.

    2012-01-01

    The cold cathode Penning ion source (PIG) of axial type could be modified to produce ion and electron beam with a considerable amount to use it in the lithography process. Lithography is a new applications of ion/electron beam at which one can use the ion/ or electron beam as a pencil to write and draw on a metal surface. The electron beam takes 1/3 the time needed for ion beam to make good picture. So that with the help of ion/or electron beam lithography one can mark tools, parts, instruments, and equipment with names, numbers, designs, trademark or brand name in few seconds. It is an easy process, quick and an inexpensive method. Firstly, operating characteristics of this ion source is studied. Lithography application of ion source with optimum conditions is done. Later, the hardness and the tensile strength is measured and each of them increases with increasing time

  15. Imprinted Polymers in Wastewater Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Eastman, Christopher; Goodrich, Scott; Gartner, Isabelle; Mueller, Anja

    2004-03-31

    In wastewater treatment, a method that specifically recognizes a variety of impurities in a flexible manner would be useful for treatment facilities with varying needs. Current purification techniques (i.e. bacteria, oxidation, reduction, precipitation and filtration) are nonspecific and difficult to control in complex mixtures. Heavy metal removal is particularly important in improving the efficiency of wastewater treatment, as they inhibit or even destroy the bacteria used for filtration. Imprinting polymerization is a technique that allows for the efficient removal of specific compounds and has been used in purification of enantiomers. It has potential to be applied in wastewater systems with the impurities acting as the template for the imprinting polymerization. The polymer with the bound impurities intact can then be removed via precipitation. After removal of the impurity the polymer can be reused. Data for the imprinting polymerization of polyacrylates and polyacrylamides for several metal complexes will be presented. Imprinting polymerization in combination with emulsion polymerization to improve the removal of hydrophobic contaminants will be described. Removal efficiencies will be presented and compared with conventional wastewater treatment methods.

  16. Fabrication of nano-sized magnetic tunnel junctions using lift-off process assisted by atomic force probe tip.

    Science.gov (United States)

    Jung, Ku Youl; Min, Byoung-Chul; Ahn, Chiyui; Choi, Gyung-Min; Shin, Il-Jae; Park, Seung-Young; Rhie, Kungwon; Shin, Kyung-Ho

    2013-09-01

    We present a fabrication method for nano-scale magnetic tunnel junctions (MTJs), employing e-beam lithography and lift-off process assisted by the probe tip of atomic force microscope (AFM). It is challenging to fabricate nano-sized MTJs on small substrates because it is difficult to use chemical mechanical planarization (CMP) process. The AFM-assisted lift-off process enables us to fabricate nano-sized MTJs on small substrates (12.5 mm x 12.5 mm) without CMP process. The e-beam patterning has been done using bi-layer resist, the poly methyl methacrylate (PMMA)/ hydrogen silsesquioxane (HSQ). The PMMA/HSQ resist patterns are used for both the etch mask for ion milling and the self-aligned mask for top contact formation after passivation. The self-aligned mask buried inside a passivation oxide layer, is readily lifted-off by the force exerted by the probe tip. The nano-MTJs (160 nm x 90 nm) fabricated by this method show clear current-induced magnetization switching with a reasonable TMR and critical switching current density.

  17. Causal imprinting in causal structure learning.

    Science.gov (United States)

    Taylor, Eric G; Ahn, Woo-Kyoung

    2012-11-01

    Suppose one observes a correlation between two events, B and C, and infers that B causes C. Later one discovers that event A explains away the correlation between B and C. Normatively, one should now dismiss or weaken the belief that B causes C. Nonetheless, participants in the current study who observed a positive contingency between B and C followed by evidence that B and C were independent given A, persisted in believing that B causes C. The authors term this difficulty in revising initially learned causal structures "causal imprinting." Throughout four experiments, causal imprinting was obtained using multiple dependent measures and control conditions. A Bayesian analysis showed that causal imprinting may be normative under some conditions, but causal imprinting also occurred in the current study when it was clearly non-normative. It is suggested that causal imprinting occurs due to the influence of prior knowledge on how reasoners interpret later evidence. Consistent with this view, when participants first viewed the evidence showing that B and C are independent given A, later evidence with only B and C did not lead to the belief that B causes C. Copyright © 2012 Elsevier Inc. All rights reserved.

  18. Four-year clinical evaluation of Class II nano-hybrid resin composite restorations bonded with a one-step self-etch and a two-step etch-and-rinse adhesive

    DEFF Research Database (Denmark)

    van Dijken, Jan W V; Pallesen, Ulla

    2011-01-01

    The objective of this prospective clinical trial was to evaluate the 4-year clinical performance of an ormocer-based nano-hybrid resin composite (Ceram X; Dentsply/DeTrey) in Class II restorations placed with a one-step self-etch (Xeno III; Dentsply/DeTrey) and two-step etch-and-rinse adhesive (I...

  19. Optical characterisation of photonic wire and photonic crystal waveguides fabricated using nanoimprint lithography

    DEFF Research Database (Denmark)

    Borel, Peter Ingo; Frandsen, Lars Hagedorn; Lavrinenko, Andrei

    2006-01-01

    We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography.......We have characterised photonic-crystal and photonic-wire waveguides fabricated by thermal nanoimprint lithography. The structures, with feature sizes down below 20 nm, are benchmarked against similar structures defined by direct electron beam lithography....

  20. Potential roles for transposable elements in creating imprinted expression.

    Science.gov (United States)

    Anderson, Sarah N; Springer, Nathan M

    2018-04-01

    Changes in gene expression can have profound effects on phenotype. Nature has provided many complex patterns of gene regulation such as imprinting. Imprinted genes exhibit differences in the expression of the maternal and paternal alleles, even though they reside in the same nucleus with access to the same trans-acting factors. Significant attention has been focused on the potential reasons that imprinted expression could be beneficial and stabilized by selection. However, less attention has focused on understanding how imprinted expression might arise or decay. We discuss the evidence for frequent turnover of imprinted expression based on evolutionary analyses in plants and the potential role for transposable elements (TEs) in creating imprinted expression patterns. Copyright © 2018 Elsevier Ltd. All rights reserved.

  1. Imprinting disorders after assisted reproductive technologies

    DEFF Research Database (Denmark)

    Lidegaard, Øjvind; Pinborg, Anja; Andersen, Anders Nyboe

    2006-01-01

    To assess the evidence of an increased risk of imprinting diseases in children born after use of assisted reproductive technologies.......To assess the evidence of an increased risk of imprinting diseases in children born after use of assisted reproductive technologies....

  2. Protein assay structured on paper by using lithography

    Science.gov (United States)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  3. Investigation of the AZ 5214E photoresist by the laser interference, EBDW and NSOM lithographies

    Energy Technology Data Exchange (ETDEWEB)

    Škriniarová, J., E-mail: jaroslava.skriniarova@stuba.sk [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia); Pudiš, D. [Department of Physics, University of Žilina, Žilina (Slovakia); Andok, R. [Department of E-Beam Lithography, Institute of Informatics, Slovak Academy of Sciences, Bratislava (Slovakia); Lettrichová, I. [Department of Physics, University of Žilina, Žilina (Slovakia); Uherek, F. [Institute of Electronics and Photonics, Slovak University of Technology, Bratislava (Slovakia)

    2017-02-15

    Highlights: • Applicability of the AZ 5214E photoresist for three different lithographies. • Useful for the fabrication of 1D and 2D periodic and irregular structures. • 2D structures with 260 nm period achieved by the laser interference lithography. • Structures with period below 500 nm achieved with the e-beam direct-write lithography. • Holes of 270 nm diameter made by the near-field scanning optical microscopy lithography. - Abstract: In this paper we show a comparison of chosen lithographies used for the AZ 5214E photoresist, which is normally UV sensitive but has also been investigated for its sensitivity to e-beam exposure. Three lithographies, the E-Beam Direct Write lithography (EBDW), laser Interference Lithography (IL) and the non-contact Near-field Scanning Optical Microscopy (NSOM) lithography, are discussed here and the results on exposed arrays of simple patterns are shown. With the EBDW and IL we achieved periods of the structures around half-micron, and we demonstrate attainability of dimensions smaller or comparable than usually achieved by a standard optical photolithography with the investigated photoresist. With the non-contact NSOM lithography structures with periods slightly above a micron were achieved.

  4. Fluorescense Anisotropy Studies of Molecularly Imprinted Polymer Sensors

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yin-Chu; Wang, Zheming; Yan, Mingdi; Prahl, Scott A.

    2005-08-03

    Molecularly imprinted polymers (MIPs) are used as recognition elements in biochemical sensors. In a fluorescence-based MIP sensor system, it is difficult to distinguish the analyte fluorescence from the background fluorescence of the polymer itself. We studied steady-state fluorescence anisotropy of anthracene imprinted in a polymer (polyurethane) matrix. Vertically polarized excitation light was incident on MIP films coated on silicon wafers; vertically and horizontally polarized emission was measured. We compared the fluorescence anisotropy of MIPs with imprinted molecules, MIPs with the imprinted molecules extracted, MIPs with rebound molecules, and nonimprinted control polymers (without binding cavities). It is shown that differences in fluorescence anisotropy between the polymers and imprinted fluorescent molecules may provide a means to discriminate the fluorescence of analyte from that of the background polymer.

  5. Hybrid approaches to nanometer-scale patterning: Exploiting tailored intermolecular interactions

    International Nuclear Information System (INIS)

    Mullen, Thomas J.; Srinivasan, Charan; Shuster, Mitchell J.; Horn, Mark W.; Andrews, Anne M.; Weiss, Paul S.

    2008-01-01

    In this perspective, we explore hybrid approaches to nanometer-scale patterning, where the precision of molecular self-assembly is combined with the sophistication and fidelity of lithography. Two areas - improving existing lithographic techniques through self-assembly and fabricating chemically patterned surfaces - will be discussed in terms of their advantages, limitations, applications, and future outlook. The creation of such chemical patterns enables new capabilities, including the assembly of biospecific surfaces to be recognized by, and to capture analytes from, complex mixtures. Finally, we speculate on the potential impact and upcoming challenges of these hybrid strategies.

  6. Imprinting can cause a maladaptive preference for infectious conspecifics.

    Science.gov (United States)

    Stephenson, Jessica F; Reynolds, Michael

    2016-04-01

    Recognizing and associating with specific individuals, such as conspecifics or kin, brings many benefits. One mechanism underlying such recognition is imprinting: the long-term memory of cues encountered during development. Typically, juveniles imprint on cues of nearby individuals and may later associate with phenotypes matching their 'recognition template'. However, phenotype matching could lead to maladaptive social decisions if, for instance, individuals imprint on the cues of conspecifics infected with directly transmitted diseases. To investigate the role of imprinting in the sensory ecology of disease transmission, we exposed juvenile guppies,Poecilia reticulata, to the cues of healthy conspecifics, or to those experiencing disease caused by the directly transmitted parasite Gyrodactylus turnbulli In a dichotomous choice test, adult 'disease-imprinted' guppies preferred to associate with the chemical cues of G. turnbulli-infected conspecifics, whereas 'healthy-imprinted' guppies preferred to associate with cues of uninfected conspecifics. These responses were only observed when stimulus fish were in late infection, suggesting imprinted fish responded to cues of disease, but not of infection alone. We discuss how maladaptive imprinting may promote disease transmission in natural populations of a social host. © 2016 The Author(s).

  7. Computational design and fabrication of core-shell magnetic molecularly imprinted polymer for dispersive micro-solid-phase extraction coupled with high-performance liquid chromatography for the determination of rhodamine 6G.

    Science.gov (United States)

    Xie, Jin; Xie, Jie; Deng, Jian; Fang, Xiangfang; Zhao, Haiqing; Qian, Duo; Wang, Hongjuan

    2016-06-01

    A novel core-shell magnetic nano-adsorbent with surface molecularly imprinted polymer coating was fabricated and then applied to dispersive micro-solid-phase extraction followed by determination of rhodamine 6G using high-performance liquid chromatography. The molecularly imprinted polymer coating was prepared by copolymerization of dopamine and m-aminophenylboronic acid (functional monomers), in the presence of rhodamine 6G (template). The selection of the suitable functional monomers was based on the interaction between different monomers and the template using the density functional theory. The ratios of the monomers to template were further optimized by an OA9 (3(4) ) orthogonal array design. The binding performances of the adsorbent were evaluated by static, kinetic, and selective adsorption experiments. The results reveal that the adsorbent possesses remarkable affinity and binding specificity for rhodamine 6G because of the enhanced Lewis acid-base interaction between the B(Ш) embedded in the imprinted cavities and the template. The nano-adsorbent was successfully applied to dispersive micro-solid-phase extraction coupled to high-performance liquid chromatography for the trace determination of rhodamine 6G in samples with a detection limit of 2.7 nmol/L. Spiked recoveries ranged from 93.0-99.1, 89.5-92.7, and 86.9-105% in river water, matrimony vine and paprika samples, respectively, with relative standard deviations of less than 4.3%. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Facile fabrication of super-hydrophobic nano-needle arrays via breath figures method.

    Science.gov (United States)

    Kim, Jiseok; Lew, Brian; Kim, Woo Soo

    2011-12-06

    Super-hydrophobic surfaces which have been fabricated by various methods such as photolithography, chemical treatment, self-assembly, and imprinting have gained enormous attention in recent years. Especially 2D arrays of nano-needles have been shown to have super-hydrophobicity due to their sharp surface roughness. These arrays can be easily generated by removing the top portion of the honeycomb films prepared by the breath figures method. The hydrophilic block of an amphiphilic polymer helps in the fabrication of the nano-needle arrays through the production of well-ordered honeycomb films and good adhesion of the film to a substrate. Anisotropic patterns with water wettability difference can be useful for patterning cells and other materials using their selective growth on the hydrophilic part of the pattern. However, there has not been a simple way to generate patterns with highly different wettability. Mechanical stamping of the nano-needle array with a polyurethane stamp might be the simplest way to fabricate patterns with wettability difference. In this study, super-hydrophobic nano-needle arrays were simply fabricated by removing the top portion of the honeycomb films. The maximum water contact angle obtained with the nano-needle array was 150°. By controlling the pore size and the density of the honeycomb films, the height, width, and density of nano-needle arrays were determined. Anisotropic patterns with different wettability were fabricated by simply pressing the nano-needle array at ambient temperature with polyurethane stamps which were flexible but tough. Mechanical stamping of nano-needle arrays with micron patterns produced hierarchical super-hydrophobic structures.PACS: 05.70.Np, 68.55.am, 68.55.jm.

  9. Pattern imprinting in CMOS static RAMs from Co-60 irradiation

    International Nuclear Information System (INIS)

    Schott, J.T.; Zugich, M.H.

    1987-01-01

    Total dose irradiation of various CMOS SRAMs is shown to imprint the pattern stored in the memory during irradiation. This imprinted pattern is the preferred state of the memory at subsequent power-up. Imprinting can occur at dose levels significantly below the failure level of the devices and is consistent with the bias dependent radiation induced threshold shifts of the individual transistors of the memory cells. However, before total imprinting occurs, other unusual imprinting phenomena can occur, such as a reverse imprinting effect seen in SOS memories, which is probably related to the bias dependence of back-channel leakage

  10. Sexual imprinting: what strategies should we expect to see in nature?

    Science.gov (United States)

    Chaffee, Dalton W; Griffin, Hayes; Gilman, R Tucker

    2013-12-01

    Sexual imprinting occurs when juveniles learn mate preferences by observing the phenotypes of other members of their populations, and it is ubiquitous in nature. Imprinting strategies, that is which individuals and phenotypes are observed and how strong preferences become, vary among species. Imprinting can affect trait evolution and the probability of speciation, and different imprinting strategies are expected to have different effects. However, little is known about how and why different imprinting strategies evolve, or which strategies we should expect to see in nature. We used a mathematical model to study how the evolution of sexual imprinting depends on (1) imprinting costs and (2) the sex-specific fitness effects of the phenotype on which individuals imprint. We found that even small fixed costs prevent the evolution of sexual imprinting, but small relative costs do not. When imprinting does evolve, we identified the conditions under which females should evolve to imprint on their fathers, their mothers, or on other members of their populations. Our results provide testable hypotheses for empirical work and help to explain the conditions under which sexual imprinting might evolve to promote speciation. © 2013 The Author(s). Evolution © 2013 The Society for the Study of Evolution.

  11. Optical Nano-antennae as Compact and Efficient Couplers from Free-space to Waveguide Modes

    DEFF Research Database (Denmark)

    Zenin, Vladimir A.; Malureanu, Radu; Volkov, Valentyn

    2015-01-01

    Optical nano-antennae are one of the possible solutions for coupling free-space radiation into subwavelength waveguides. Our efforts were concentrated on coupling between an optical fibre and a plasmonic slot waveguide. Such coupling is still an issue to be solved in order to advance the use...... of plasmonic waveguides for optical interconnects. During the talk, we will present our modelling optimisation, fabrication and measurement of the nano-antennae functionality. For the modelling part, we used CST Microwave studio for optimising the antenna geometry. Various antennae were modelled and fabricated....... The fabrication was based on electron beam lithography and lift-off processes. The measurements were performed with scattering scanning near-field microscope and allowed the retrieval of both amplitude and phase of the propagating plasmon. The obtained values agree very well with the theoretically predicted ones...

  12. Overcoming the species hybridization barrier by ploidy manipulation in the genus Oryza.

    Science.gov (United States)

    Tonosaki, Kaoru; Sekine, Daisuke; Ohnishi, Takayuki; Ono, Akemi; Furuumi, Hiroyasu; Kurata, Nori; Kinoshita, Tetsu

    2018-02-01

    In most eudicot and monocot species, interspecific and interploidy crosses generally display abnormalities in the endosperm that are the major cause of a post-zygotic hybridization barrier. In some eudicot species, however, this type of hybridization barrier can be overcome by the manipulation of ploidy levels of one parental species, suggesting that the molecular mechanisms underlying the species hybridization barrier can be circumvented by genome dosage. We previously demonstrated that endosperm barriers in interspecific and interploidy crosses in the genus Oryza involve overlapping but different mechanisms. This result contrasts with those in the genus Arabidopsis, which shows similar outcomes in both interploidy and interspecific crosses. Therefore, we postulated that an exploration of pathways for overcoming the species hybridization barrier in Oryza endosperm, by manipulating the ploidy levels in one parental species, might provide novel insights into molecular mechanisms. We showed that fertile hybrid seeds could be produced by an interspecific cross of female tetraploid Oryza sativa and male diploid Oryza longistaminata. Although the rate of nuclear divisions did not return to normal levels in the hybrid endosperm, the timing of cellularization, nucellus degeneration and the accumulation of storage products were close to normal levels. In addition, the expression patterns of the imprinted gene MADS87 and YUCCA11 were changed when the species barrier was overcome. These results suggest that the regulatory machinery for developmental transitions and imprinted gene expression are likely to play a central role in overcoming species hybridization barriers by genome dosage in the genus Oryza. © 2017 The Authors The Plant Journal © 2017 John Wiley & Sons Ltd.

  13. Simultaneous immobilization of cadmium and lead in contaminated soils by hybrid bio-nanocomposites of fungal hyphae and nano-hydroxyapatites.

    Science.gov (United States)

    Yang, Zhihui; Liang, Lifen; Yang, Weichun; Shi, Wei; Tong, Yunping; Chai, Liyuan; Gao, Shikang; Liao, Qi

    2018-04-01

    Self-aggregation of bulk nano-hydroxyapatites (n-HAPs) undermines their immobilization efficiencies of heavy metals in the contaminated soils. Here, the low-cost, easily obtained, and environment-friendly filamentous fungi have been introduced for the bio-matrices of the hybrid bio-nanocomposites to potentially solve such problem of n-HAPs. According to SEM, TEM, XRD, and FT-IR analyses, n-HAPs were successfully coated onto the fungal hyphae and their self-aggregation was improved. The immobilization efficiencies of diethylene-triamine-pentaacetic acid (DTPA)-extractable Cd and Pb in the contaminated soils by the bio-nanocomposites were individually one to four times of that by n-HAPs or the fungal hyphae. Moreover, the Aspergillus niger-based bio-nanocomposite (ANHP) was superior to the Penicillium Chrysogenum F1-based bio-nanocomposite (PCHP) in immobilization of Cd and Pb in the contaminated soils. In addition, the results of XRD showed that one of the potential mechanisms of metal immobilization by the hybrid bio-nanocomposites was dissolution of n-HAPs followed by precipitation of new metal phosphate minerals. Our results suggest that the hybrid bio-nanocomposite (ANHP) can be recognized as a promising soil amendment candidate for effective remediation on the soils simultaneously contaminated by Cd and Pb.

  14. Pending templates imprinted polymers-hypothesis, synthesis, adsorption, and chromatographic properties.

    Science.gov (United States)

    Yang, Chun; Luan, Xinjie; Zhao, Meifeng; Liu, Guofeng; Wang, Jian; Qu, Qishu; Hu, Xiaoya

    2013-05-01

    This is the first time when protein-imprinted polymers are prepared with "pending templates." The polymers were synthesized in the presence of a real sample (chicken egg white), rather than any known commercial proteins. Compared with a simultaneously synthesized nonimprinted control polymer, the polymers show higher adsorption capacity for abundant components (as "pending templates") in the original sample. Chromatography experiments indicated that the columns made of the imprinted polymers could retain abundant species (imprinted) and separate them from those not imprinted. Thus, the sample could be split into dimidiate subfractions with reduced complexities. "Pending template imprinting" suggests a new way to investigate molecular imprinting, especially to dissect, simplify, and analyze complicated samples through a series of polymers just imprinted by the samples per se. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Hybrid helical magnetic microrobots obtained by 3D template-assisted electrodeposition.

    Science.gov (United States)

    Zeeshan, Muhammad A; Grisch, Roman; Pellicer, Eva; Sivaraman, Kartik M; Peyer, Kathrin E; Sort, Jordi; Özkale, Berna; Sakar, Mahmut S; Nelson, Bradley J; Pané, Salvador

    2014-04-09

    Hybrid helical magnetic microrobots are achieved by sequential electrodeposition of a CoNi alloy and PPy inside a photoresist template patterned by 3D laser lithography. A controlled actuation of the microrobots by a rotating magnetic field is demonstrated in a fluidic environment. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. Learning the Hard Way: Imprinting Can Enhance Enforced Shifts in Habitat Choice

    Directory of Open Access Journals (Sweden)

    Niclas Vallin

    2011-01-01

    Full Text Available We investigated the potential importance of learning in habitat choice within a young hybrid zone of two closely related species of birds. Pied flycatchers (Ficedula hypoleuca are being excluded from deciduous habitats into a mixed forest type by collared flycatchers (F. albicollis. We investigated whether this enforced habitat shift influenced reproductive isolation between the two species, and, by cross-fostering nestlings, we tested whether learning may lead to a corresponding shift in habitat choice in consecutive generations. Our results show that the majority of the recruits, even if translocated across different habitat types, return to breed in the area where they were fostered. As male pied flycatchers were more likely to hybridize in the originally preferred habitat, we argue that early imprinting on an alternate habitat can play an important role in increasing reproductive isolation and facilitate regional coexistence between species experiencing secondary contact.

  17. Functional mapping imprinted quantitative trait loci underlying developmental characteristics

    Directory of Open Access Journals (Sweden)

    Li Gengxin

    2008-03-01

    Full Text Available Abstract Background Genomic imprinting, a phenomenon referring to nonequivalent expression of alleles depending on their parental origins, has been widely observed in nature. It has been shown recently that the epigenetic modification of an imprinted gene can be detected through a genetic mapping approach. Such an approach is developed based on traditional quantitative trait loci (QTL mapping focusing on single trait analysis. Recent studies have shown that most imprinted genes in mammals play an important role in controlling embryonic growth and post-natal development. For a developmental character such as growth, current approach is less efficient in dissecting the dynamic genetic effect of imprinted genes during individual ontology. Results Functional mapping has been emerging as a powerful framework for mapping quantitative trait loci underlying complex traits showing developmental characteristics. To understand the genetic architecture of dynamic imprinted traits, we propose a mapping strategy by integrating the functional mapping approach with genomic imprinting. We demonstrate the approach through mapping imprinted QTL controlling growth trajectories in an inbred F2 population. The statistical behavior of the approach is shown through simulation studies, in which the parameters can be estimated with reasonable precision under different simulation scenarios. The utility of the approach is illustrated through real data analysis in an F2 family derived from LG/J and SM/J mouse stains. Three maternally imprinted QTLs are identified as regulating the growth trajectory of mouse body weight. Conclusion The functional iQTL mapping approach developed here provides a quantitative and testable framework for assessing the interplay between imprinted genes and a developmental process, and will have important implications for elucidating the genetic architecture of imprinted traits.

  18. Electron Beam Lithography of HSQ and PMMA Resists and Importance of their Properties to Link the Nano World to the Micro World

    NARCIS (Netherlands)

    Kaleli, B.; Aarnink, Antonius A.I.; Smits, Sander M.; Hueting, Raymond Josephus Engelbart; Wolters, Robertus A.M.; Schmitz, Jurriaan

    2010-01-01

    In this work we investigated the properties of HSQ and PMMA resists focusing on contrast and line width for ebeam lithography (EBL) application. HSQ was found to be a good candidate to have desired line widths but the contrast we obtained was less than it was for PMMA. Since the fluorine based

  19. Synthesis of a Molecularly Imprinted Polymer for Dioxin

    Directory of Open Access Journals (Sweden)

    Magda Brattoli

    2006-08-01

    Full Text Available A molecularly imprinted polymer for recognising selectively 2,3,7,8-tetrachlorodibenzodioxin (TCDD was made by a new non-covalent method employing a“dummy” template. The proposed way represents a simplification of a synthetic schemeproposed by Lübke et al.[1] for covalent imprinting. Comparison of extraction yields of thenovel polymer, a non imprinted polymer and an imprinting polymer, prepared by theoriginal procedure demonstrates the binding capacity of the proposed polymer, which is inprinciple applicable to solid phase extraction (SPE of dioxin.

  20. Electrochemiluminescent graphene quantum dots enhanced by MoS2 as sensing platform: a novel molecularly imprinted electrochemiluminescence sensor for 2-methyl-4-chlorophenoxyacetic acid assay

    International Nuclear Information System (INIS)

    Yang, Yukun; Fang, Guozhen; Wang, Xiaomin; Zhang, Fuyuan; Liu, Jingmin; Zheng, Wenjie; Wang, Shuo

    2017-01-01

    Highlights: • Electrochemiluminescent MoS 2 -GQDs nanocomposite was fabricated for the first time. • MoS 2 -GQDs hybrid nanocomposite was used as ECL sensing platform. • Molecularly imprinted ECL sensor was fabricated for the determination of MCPA. • MoS 2 -GQDs nanocomposite may advance the developments of ECL sensor. - Abstract: The ECL properties and application of a novel luminescent material molybdenum disulfide-graphene quantum dots (MoS 2 -GQDs) hybrid nanocomposite was reported for the first time. The hybridization of MoS 2 and GQDs endowed nanocomposite with structural and compositional advantages for boosting the ECL performance of GQDs. Impressively, the ECL could be remarkable enhanced using MoS 2 -GQDs hybrid nanocomposite, which was ∼13, ∼185 and ∼596-folds larger than the ECL intensity of GQDs, MoS 2 modified electrodes and bare electrode, respectively. Subsequently, as a sensing platform, the MoS 2 -GQDs hybrid nanocomposite was applied to fabricate molecularly imprinted electrochemiluminescence sensor for the ultrasensitive and selective determination of 2-methyl-4-chlorophenoxyacetic acid. Under optimal conditions, the detection limit of the prepared sensor was 5.5 pmol L −1 (S/N = 3) within a linear concentration range of 10 pmol L −1 –0.1 μmol L −1 . The developped sensor exhibited high sensitivity, good selectivity, reproducibility and stability, suggesting the potential for detecting pesticides and veterinary drugs at trace levels in food safety and environmental control.

  1. Rational preparation of dibenzothiophene-imprinted polymers by surface imprinting technique combined with atom transfer radical polymerization

    International Nuclear Information System (INIS)

    Yang, Wenming; Liu, Lukuan; Zhou, Zhiping; Liu, Hong; Xie, Binze; Xu, Wanzhen

    2013-01-01

    A computational simulation method is introduced to simulate the dibenzothiophene-monomer pre-assembly system of molecular imprinted polymers. The interaction type and intensity between dibenzothiophene and monomer are discussed from the binding energy and spatial position distribution. The simulation and analysis results indicate that the amount of the function monomer is not the more the better in preparing molecular imprinted polymers. Based on the above results, a novel dibenzothiophene-imprinted polymers with the favorable specific adsorption effect was prepared by surface imprinting technique combined with atom transfer radical polymerization. This combined technologies are used for preparing a desulfurization adsorbent for the first time. Various measures were selected to characterize the structure and morphology of the prepared adsorbent. The characterization results show that the adsorbent has suitable features for further adsorption process. A series of static adsorption experiments were conducted to analyze its adsorption performance. The adsorption process follows Elovich model by the kinetic analysis and Sips equation by the isothermal analysis. The approach we described will provide another opportunity in the deep desulfurization field.

  2. Fabrication of a 3D micro/nano dual-scale carbon array and its demonstration as the microelectrodes for supercapacitors

    Science.gov (United States)

    Jiang, Shulan; Shi, Tielin; Gao, Yang; Long, Hu; Xi, Shuang; Tang, Zirong

    2014-04-01

    An easily accessible method is proposed for the fabrication of a 3D micro/nano dual-scale carbon array with a large surface area. The process mainly consists of three critical steps. Firstly, a hemispherical photoresist micro-array was obtained by the cost-effective nanoimprint lithography process. Then the micro-array was transformed into hierarchical structures with longitudinal nanowires on the microstructure surface by oxygen plasma etching. Finally, the micro/nano dual-scale carbon array was fabricated by carbonizing these hierarchical photoresist structures. It has also been demonstrated that the micro/nano dual-scale carbon array can be used as the microelectrodes for supercapacitors by the electrodeposition of a manganese dioxide (MnO2) film onto the hierarchical carbon structures with greatly enhanced electrochemical performance. The specific gravimetric capacitance of the deposited micro/nano dual-scale microelectrodes is estimated to be 337 F g-1 at the scan rate of 5 mV s-1. This proposed approach of fabricating a micro/nano dual-scale carbon array provides a facile way in large-scale microstructures’ manufacturing for a wide variety of applications, including sensors and on-chip energy storage devices.

  3. Fabrication of a 3D micro/nano dual-scale carbon array and its demonstration as the microelectrodes for supercapacitors

    International Nuclear Information System (INIS)

    Jiang, Shulan; Shi, Tielin; Gao, Yang; Long, Hu; Xi, Shuang; Tang, Zirong

    2014-01-01

    An easily accessible method is proposed for the fabrication of a 3D micro/nano dual-scale carbon array with a large surface area. The process mainly consists of three critical steps. Firstly, a hemispherical photoresist micro-array was obtained by the cost-effective nanoimprint lithography process. Then the micro-array was transformed into hierarchical structures with longitudinal nanowires on the microstructure surface by oxygen plasma etching. Finally, the micro/nano dual-scale carbon array was fabricated by carbonizing these hierarchical photoresist structures. It has also been demonstrated that the micro/nano dual-scale carbon array can be used as the microelectrodes for supercapacitors by the electrodeposition of a manganese dioxide (MnO 2 ) film onto the hierarchical carbon structures with greatly enhanced electrochemical performance. The specific gravimetric capacitance of the deposited micro/nano dual-scale microelectrodes is estimated to be 337 F g −1  at the scan rate of 5 mV s −1 . This proposed approach of fabricating a micro/nano dual-scale carbon array provides a facile way in large-scale microstructures’ manufacturing for a wide variety of applications, including sensors and on-chip energy storage devices. (paper)

  4. Role of imprint/exfoliative cytology in ulcerated skin neoplasms.

    Science.gov (United States)

    Ramakrishnaiah, Vishnu Prasad Nelamangala; Babu, Ravindra; Pai, Dinker; Verma, Surendra Kumar

    2013-12-01

    Imprint cytology is a method of studying cells by taking an imprint from the cut surface of a wedge biopsy specimen or from the resected margins of a surgical specimen. It is rapid, simple and fairly accurate. Exfoliative cytology is an offshoot from the imprint cytology where in cells obtained from the surface of ulcers, either by scrape or brush, are analyzed for the presence of malignant cells. We undertook this study to see the role of imprint/exfoliative cytology in the diagnosis of ulcerated skin neoplasm and to check the adequacy of resected margins intra-operatively. This was a prospective investigative study conducted from September 2003 to July 2005. All patients presenting to surgical clinic with ulcerated skin and soft tissue tumours were included in the study. A wedge biopsy obtained from the ulcer and imprint smears were taken from the cut surface. Exfoliative cytology was analyzed from the surface smears. Wedge biopsy specimen was sent for histopathological (HPE) examination. The cytology and HPE were analyzed by a separate pathologist. Imprint cytology was also used to check the adequacy of resected margins in case of wide excision. This was compared with final HPE. Total of 107 patients was included in the present study and 474 imprint smears were done, with an average of 4.43 slides per lesion. Out of 59 wide excision samples, 132 imprint smears were prepared for assessing resected margins accounting for an average of 2.24 slides per each excised lesion. On combining imprint cytology with exfoliative cytology the overall sensitivity, specificity and positive predictive value were 90.38 %, 100 % and 90.38 % respectively. Only one out of 59 cases had a positive resected margin which was not picked by imprint cytology. Imprint cytology can be used for rapid and accurate diagnosis of various skin malignancies. It can also be used to check the adequacy of the resected margin intraoperatively.

  5. Molecularly Imprinted Polymer/Metal Organic Framework Based Chemical Sensors

    Directory of Open Access Journals (Sweden)

    Zhenzhong Guo

    2016-10-01

    Full Text Available The present review describes recent advances in the concept of molecular imprinting using metal organic frameworks (MOF for development of chemical sensors. Two main strategies regarding the fabrication, performance and applications of recent sensors based on molecularly imprinted polymers associated with MOF are presented: molecularly imprinted MOF films and molecularly imprinted core-shell nanoparticles using MOF as core. The associated transduction modes are also discussed. A brief conclusion and future expectations are described herein.

  6. Inorganic-organic hybrid polymer for preparation of affiliating material using electron beam irradiation

    International Nuclear Information System (INIS)

    Chung, Jaeseung; Kim, Seongeun; Kim, Byounggak; Lee, Jongchan; Park, Jihyun; Lee, Byeongcheol

    2011-01-01

    Recently, silver nano materials have gained a lot of attentions in a variety of applications due to the unique biological, optical, and electrical properties. Especially, the antifouling property of these material is considered to be an important character for biomedical field, marine coatings industry, biosensor, and drug delivery. In this study, we design and synthesize the inorganic-organic hybrid polymer for preparation of affiliating materials. Silver nano materials having antifouling property with different shapes are prepared by control the electron beam irradiation conditions. Inorganic-organic hybrid polymer was synthesized and characterized. → Morphology and size controlled nano materials are prepared using electron beam irradiation. → Silver nano materials having various shapes can be used for antifouling material

  7. Laser-activated nano-biomaterials for tissue repair and controlled drug release

    International Nuclear Information System (INIS)

    Matteini, P; Ratto, F; Rossi, F; Pini, R

    2014-01-01

    We present recent achievements of minimally invasive welding of biological tissue and controlled drug release based on laser-activated nano-biomaterials. In particular, we consider new advancements in the biomedical application of near-IR absorbing gold nano-chromophores as an original solution for the photothermal repair of surgical incisions and as nanotriggers of controlled drug release from hybrid biopolymer scaffolds. (laser biophotonics)

  8. GATM, the human ortholog of the mouse imprinted Gatm gene, escapes genomic imprinting in placenta

    Directory of Open Access Journals (Sweden)

    Toshinobu Miyamoto

    2005-03-01

    Full Text Available The GATM gene encodes L-arginine:glycine amidinotransferase, which catalyzes the conversion of L-arginine into guanidinoacetate, the rate-limiting step in the synthesis of creatine. Since, deficiencies in creatine synthesis and transport lead to certain forms of mental retardation in human, the human GATM gene appears to be involved in brain development. Recently it has been demonstrated that the mouse Gatm is expressed during development and is imprinted with maternal expression in the placenta and yolk sac, but not in embryonic tissues. We investigated the imprinting status of the human GATM by analyzing its expression in four human placentas. GATM was biallelically expressed, thus suggesting that this gene escapes genomic imprinting in placentas, differently from what has been reported in mouse extra-embryonic tissues.

  9. Fabrication of digital rainbow holograms and 3-D imaging using SEM based e-beam lithography.

    Science.gov (United States)

    Firsov, An; Firsov, A; Loechel, B; Erko, A; Svintsov, A; Zaitsev, S

    2014-11-17

    Here we present an approach for creating full-color digital rainbow holograms based on mixing three basic colors. Much like in a color TV with three luminescent points per single screen pixel, each color pixel of initial image is presented by three (R, G, B) distinct diffractive gratings in a hologram structure. Change of either duty cycle or area of the gratings are used to provide proper R, G, B intensities. Special algorithms allow one to design rather complicated 3D images (that might even be replacing each other with hologram rotation). The software developed ("RainBow") provides stability of colorization of rotated image by means of equalizing of angular blur from gratings responsible for R, G, B basic colors. The approach based on R, G, B color synthesis allows one to fabricate gray-tone rainbow hologram containing white color what is hardly possible in traditional dot-matrix technology. Budgetary electron beam lithography based on SEM column was used to fabricate practical examples of digital rainbow hologram. The results of fabrication of large rainbow holograms from design to imprinting are presented. Advantages of the EBL in comparison to traditional optical (dot-matrix) technology is considered.

  10. Fabrication of Monolithic Bridge Structures by Vacuum-Assisted Capillary-Force Lithography

    KAUST Repository

    Kwak, Rhokyun; Jeong, Hoon Eui; Suh, Kahp Y.

    2009-01-01

    Monolithic bridge structures were fabricated by using capillary-force lithography (CFL), which was developed for patterning polymers over a large area by combining essential features of nanoimprint lithography and capillarity. A patterned soft mold

  11. Foster parenting, human imprinting and conventional handling ...

    African Journals Online (AJOL)

    p2492989

    Foster parenting, human imprinting and conventional handling affects survival and early .... bird may subsequently direct its sexual attention to those humans on whom it was imprinted (Bubier et al., ..... The mind through chicks' eyes: memory,.

  12. Distinguishing epigenetic marks of developmental and imprinting regulation

    Directory of Open Access Journals (Sweden)

    McEwen Kirsten R

    2010-01-01

    Full Text Available Abstract Background The field of epigenetics is developing rapidly, however we are only beginning to comprehend the complexity of its influence on gene regulation. Using genomic imprinting as a model we examine epigenetic profiles associated with different forms of gene regulation. Imprinting refers to the expression of a gene from only one of the chromosome homologues in a parental-origin-specific manner. This is dependent on heritable germline epigenetic control at a cis-acting imprinting control region that influences local epigenetic states. Epigenetic modifications associated with imprinting regulation can be compared to those associated with the more canonical developmental regulation, important for processes such as differentiation and tissue specificity. Here we test the hypothesis that these two mechanisms are associated with different histone modification enrichment patterns. Results Using high-throughput data extraction with subsequent analysis, we have found that particular histone modifications are more likely to be associated with either imprinting repression or developmental repression of imprinted genes. H3K9me3 and H4K20me3 are together enriched at imprinted genes with differentially methylated promoters and do not show a correlation with developmental regulation. H3K27me3 and H3K4me3, however, are more often associated with developmental regulation. We find that imprinted genes are subject to developmental regulation through bivalency with H3K4me3 and H3K27me3 enrichment on the same allele. Furthermore, a specific tri-mark signature comprising H3K4me3, H3K9me3 and H4K20me3 has been identified at all imprinting control regions. Conclusion A large amount of data is produced from whole-genome expression and epigenetic profiling studies of cellular material. We have shown that such publicly available data can be mined and analysed in order to generate novel findings for categories of genes or regulatory elements. Comparing two

  13. Hard-tip, soft-spring lithography.

    Science.gov (United States)

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  14. Electron-beam lithography

    International Nuclear Information System (INIS)

    Harriott, L.; Liddle, A.

    1997-01-01

    As part of a commemorative series of articles to mark the hundredth anniversary of the discovery of the electron, this article describes the use of electron beams to write features on silicon wafers. Recent advances in electron beam lithography, as it is known, could enable this technology to be used for the mass manufacture of silicon chips. The validation of space-charge optimization and evaluation of printing techniques is underway. (UK)

  15. Review: Biological imprinting: Some genetic considerations | Saad ...

    African Journals Online (AJOL)

    ... as for interpretation of possible mechanisms implicated in its occurrence. Keywords: Genetic imprinting; Mutations; Re-sense mutation; Epigenetic alterations; DNA methylation/demethylation; Parthenogenesis; Position-effect variegation; Post-fertilization genomic imprinting; microRNA; Chromatin modifications; Pyknons ...

  16. Fabrication and Characterization of 5 vol.% (Al2O3p + 8 vol.% (Al2O3f/A336 Hybrid Micron and Nano-Composites

    Directory of Open Access Journals (Sweden)

    Ren Luyang

    2017-01-01

    Full Text Available Hybrid composites are fabricated by adding two reinforcements into matrix materials so that the expected excellent properties can be achieved through the combined advantages of short fibres, and different size particles (micron or nano, which provide a high degree of design freedom. In this paper, hybrid preforms were produced with the different size reinforcement of the Al2O3 particles and short fibres. The Al-Si alloy-based hybrid composites reinforced by 5 vol. % Al2O3 particles and 8 vol. % Al2O3 fibres were fabricated by preform-squeezing casting route. The structure and performance of composite materials were studied with Transmission Electron Microscopy (TEM and Scanning Electron Microscopy (SEM. The results show that the reinforcements, both particles and fibres, distribute homogeneously in the matrix materials, and the properties of composites are found to improve in comparison with the matrix Al-Si alloy.

  17. Current Progress of Nanomaterials in Molecularly Imprinted Electrochemical Sensing.

    Science.gov (United States)

    Zhong, Chunju; Yang, Bin; Jiang, Xinxin; Li, Jianping

    2018-01-02

    Nanomaterials have received much attention during the past decade because of their excellent optical, electronic, and catalytic properties. Nanomaterials possess high chemical reactivity, also high surface energy. Thus, provide a stable immobilization platform for biomolecules, while preserving their reactivity. Due to the conductive and catalytic properties, nanomaterials can also enhance the sensitivity of molecularly imprinted electrochemical sensors by amplifying the electrode surface, increasing the electron transfer, and catalyzing the electrochemical reactions. Molecularly imprinted polymers that contain specific molecular recognition sites can be designed for a particular target analyte. Incorporating nanomaterials into molecularly imprinted polymers is important because nanomaterials can improve the response signal, increase the sensitivity, and decrease the detection limit of the sensors. This study describes the classification of nanomaterials in molecularly imprinted polymers, their analytical properties, and their applications in the electrochemical sensors. The progress of the research on nanomaterials in molecularly imprinted polymers and the application of nanomaterials in molecularly imprinted polymers is also reviewed.

  18. Flame synthesis of carbon nano onions using liquefied petroleum gas without catalyst

    International Nuclear Information System (INIS)

    Dhand, Vivek; Prasad, J. Sarada; Rao, M. Venkateswara; Bharadwaj, S.; Anjaneyulu, Y.; Jain, Pawan Kumar

    2013-01-01

    Densely agglomerated, high specific surface area carbon nano onions with diameter of 30–40 nm have been synthesized. Liquefied petroleum gas and air mixtures produced carbon nano onions in diffusion flames without catalyst. The optimized oxidant to fuel ratio which produces carbon nano onions has been found to be 0.1 slpm/slpm. The experiment yielded 70% pure carbon nano onions with a rate of 5 g/h. X-ray diffraction, high-resolution electron microscopy and Raman spectrum reveal the densely packed sp 2 hybridized carbon with (002) semi-crystalline hexagonal graphite reflection. The carbon nano onions are thermally stable up to 600 °C. - Highlights: ►Flame synthesized carbon nano onions with 30–40 nm diameters. ►LPG/air, diffusion type flame used in absence of catalyst to produce nano onions. ►Carbon nano onion production rate is 5 g/hr and with 70% purity.

  19. Selective Adsorption of Nano-bio materials and nanostructure fabrication on Molecular Resists Modified by proton beam irradiation

    International Nuclear Information System (INIS)

    Lee, H. W.; Kim, H. S.; Kim, S. M.

    2008-04-01

    The purpose of this research is the fabrication of nanostructures on silicon substrate using proton beam and selectively adsorption of bio-nano materials on the patterned substrate. Recently, the miniaturization of the integrated devices with fine functional structures was intensively investigated, based on combination of nanotechnology (NT), biotechnology (BT) and information technology (IT). Because of the inherent limitation in optical lithography, large variety of novel patterning technologies were evolved to construct nano-structures onto a substrate. Atomic force microscope-based nanolithography has readily formed sub-50 nm patterns by the local modification of a substrate using a probe with a curvature of 10 nm. The surface property was regarded as one of the most important factors for AFM-based nanolithography as well as for other novel nanolithographies. The molecular thin films such as a self-assembled monolayer or a polymer resist layer have been used as an alternative to modifying the surface property. Although proton or ion beam irradiation has been used as an efficient tool to modify the physical, chemical and electrical properties of a surface, the nano-patterning on the substrate or the molecular film modified with the beam irradiation has hardly been studied at both home and abroad. The selective adsorption of nano-bio materials such as carbon nanotubes and proteins on the patterns would contribute to developing the integrated devices. The polystyrene nanoparticles (400 nm) were arrayed on al silicon surface using nanosphere lithography and the various nanopatterns were fabricated by proton beam irradiation on the polystyrene nanoparticles arrayed silicon surface. We obtained the two different nanopatterns such as polymer nanoring patterns and silicon oxide patterns on the same silicon substrate. The polymer nanoring patterns formed by the crosslinkage of polystyrene when proton beam was irradiated at the triangular void spaces that are enclosed by

  20. Preparation of polyhedral oligomeric silsesquioxane based imprinted monolith.

    Science.gov (United States)

    Li, Fang; Chen, Xiu-Xiu; Huang, Yan-Ping; Liu, Zhao-Sheng

    2015-12-18

    Polyhedral oligomeric silsesquioxane (POSS) was successfully applied, for the first time, to prepare imprinted monolithic column with high porosity and good permeability. The imprinted monolithic column was synthesized with a mixture of PSS-(1-Propylmethacrylate)-heptaisobutyl substituted (MA 0702), naproxon (template), 4-vinylpyridine, and ethylene glycol dimethacrylate, in ionic liquid 1-butyl-3-methylimidazolium tetrafluoroborate ([BMIM]BF4). The influence of synthesis parameters on the retention factor and imprinting effect, including the amount of MA 0702, the ratio of template to monomer, and the ratio of monomer to crosslinker, was investigated. The greatest imprinting factor on the imprinted monolithic column prepared with MA 0702 was 22, about 10 times higher than that prepared in absence of POSS. The comparisons between MIP monoliths synthesized with POSS and without POSS were made in terms of permeability, column efficiency, surface morphology and pore size distribution. In addition, thermodynamic and Van Deemter analysis were used to evaluate the POSS-based MIP monolith. Copyright © 2015 Elsevier B.V. All rights reserved.