WorldWideScience

Sample records for highly strained si

  1. Carbon redistribution and precipitation in high temperature ion-implanted strained Si/SiGe/Si multi-layered structures

    DEFF Research Database (Denmark)

    Gaiduk, Peter; Hansen, John Lundsgaard; Nylandsted Larsen, Arne

    2014-01-01

    Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects.......Graphical abstract Carbon depth profiles after high temperature implantation in strained Si/SiGe/Si multilayered system and induced structural defects....

  2. Improved thermal stability and hole mobilities in a strained-Si/strained-Si1-yGe y/strained-Si heterostructure grown on a relaxed Si1-xGe x buffer

    International Nuclear Information System (INIS)

    Gupta, Saurabh; Lee, Minjoo L.; Isaacson, David M.; Fitzgerald, Eugene A.

    2005-01-01

    A dual channel heterostructure consisting of strained-Si/strained-Si 1-y Ge y on relaxed Si 1-x Ge x (y > x), provides a platform for fabricating metal-oxide-semiconductor field-effect transistors (MOSFETs) with high hole mobilities (μ eff ) which depend directly on Ge concentration and strain in the strained-Si 1-y Ge y layer. Ge out-diffuses from the strained-Si 1-y Ge y layer into relaxed Si 1-x Ge x during high temperature processing, reducing peak Ge concentration and strain in the strained-Si 1-y Ge y layer and degrades hole μ eff in these dual channel heterostructures. A heterostructure consisting of strained-Si/strained-Si 1-y Ge y /strained-Si, referred to as a trilayer heterostructure, grown on relaxed Si 1-x Ge x has much reduced Ge out-flux from the strained-Si 1-y Ge y layer and retains higher μ eff after thermal processing. Improved hole μ eff over similar dual channel heterostructures is also observed in this heterostructure. This could be a result of preventing the hole wavefunction tunneling into the low μ eff relaxed Si 1-x Ge x layer due to the additional valence band offset provided by the underlying strained-Si layer. A diffusion coefficient has been formulated and implemented in a finite difference scheme for predicting the thermal budget of the strained SiGe heterostructures. It shows that the trilayer heterostructures have superior thermal budgets at higher Ge concentrations. Ring-shaped MOSFETs were fabricated on both platforms and subjected to various processing temperatures in order to compare the extent of μ eff reduction with thermal budget. Hole μ eff enhancements are retained to a much higher extent in a trilayer heterostructure after high temperature processing as compared to a dual channel heterostructure. The improved thermal stability and hole μ eff of a trilayer heterostructure makes it an ideal platform for fabricating high μ eff MOSFETs that can be processed over higher temperatures without significant losses in hole

  3. Mobility-limiting mechanisms in single and dual channel strained Si/SiGe MOSFETs

    International Nuclear Information System (INIS)

    Olsen, S.H.; Dobrosz, P.; Escobedo-Cousin, E.; Bull, S.J.; O'Neill, A.G.

    2005-01-01

    Dual channel strained Si/SiGe CMOS architectures currently receive great attention due to maximum performance benefits being predicted for both n- and p-channel MOSFETs. Epitaxial growth of a compressively strained SiGe layer followed by tensile strained Si can create a high mobility buried hole channel and a high mobility surface electron channel on a single relaxed SiGe virtual substrate. However, dual channel n-MOSFETs fabricated using a high thermal budget exhibit compromised mobility enhancements compared with single channel devices, in which both electron and hole channels form in strained Si. This paper investigates the mobility-limiting mechanisms of dual channel structures. The first evidence of increased interface roughness due to the introduction of compressively strained SiGe below the tensile strained Si channel is presented. Interface corrugations degrade electron mobility in the strained Si. Roughness measurements have been carried out using AFM and TEM. Filtering AFM images allowed roughness at wavelengths pertinent to carrier transport to be studied and the results are in agreement with electrical data. Furthermore, the first comparison of strain measurements in the surface channels of single and dual channel architectures is presented. Raman spectroscopy has been used to study channel strain both before and after processing and indicates that there is no impact of the buried SiGe layer on surface macrostrain. The results provide further evidence that the improved performance of the single channel devices fabricated using a high thermal budget arises from improved surface roughness and reduced Ge diffusion into the Si channel

  4. Different strain relaxation mechanisms in strained Si/Si sub 1 sub - sub x Ge sub x /Si heterostructures by high dose B sup + and BF sub 2 sup + doping

    CERN Document Server

    Chen, C C; Zhang, S L; Zhu, D Z; Vantomme, A

    2002-01-01

    Strained Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructures are implanted at room temperature with 7.5 keV B sup + and 33 keV BF sub 2 sup + ions to a high dose of 2x10 sup 1 sup 5 ions/cm sup 2 , respectively. The samples are subsequently subjected to three-step anneals (spacer anneal, oxidation anneal and rapid thermal anneal), which are used to simulate a real fabrication process of SiGe-based MOSFET devices. The damage induced by implantation and its recovery are characterized by 2 MeV sup 4 He sup + RBS/channeling spectrometry. A damage layer on the surface is induced by B sup + implantation, but BF sup + sub 2 ion implantation amorphizes the surface of Si/Si sub 0 sub . sub 8 Ge sub 0 sub . sub 2 /Si heterostructure. Channeling angular scans along the axial direction demonstrate that the strain stored in the SiGe layer could be nearly completely retained for the B sup + implanted and subsequently annealed sample. However, the strain in the BF sub 2 sup + implanted/annealed SiGe layer has...

  5. Strained Si/SiGe MOS transistor model

    Directory of Open Access Journals (Sweden)

    Tatjana Pešić-Brđanin

    2009-06-01

    Full Text Available In this paper we describe a new model of surfacechannel strained-Si/SiGe MOSFET based on the extension of non-quasi-static (NQS circuit model previously derived for bulk-Si devices. Basic equations of the NQS model have been modified to account for the new physical parameters of strained-Si and relaxed-SiGe layers. From the comparisons with measurements, it is shown that a modified NQS MOS including steady-state self heating can accurately predict DC characteristics of Strained Silicon MOSFETs.

  6. Strain and strain-release engineering at epitaxial SiGe islands on Si(0 0 1) for microelectronic applications

    International Nuclear Information System (INIS)

    Vastola, G.; Marzegalli, A.; Montalenti, F.; Miglio, Leo

    2009-01-01

    We report original finite element method simulations of the strain components at nanometric GeSi island on Si(0 0 1), for realistic shape, sizes and average composition, discussing the main mechanisms acting in the misfit strain relaxation. The tensile strain induced in a 30 nm Si capping layer and the one upon removing the island, after fixing the top part of the Si layer, is discussed in view of application as a field effect transistor channel, with high career mobility induced by the lattice deformation. The large shear components obtained for steeper island morphologies are predicted to be particularly performing, especially in comparison to one another strained-silicon configuration (totally top-down originated), recently developed by IBM corporation.

  7. Physical studies of strained Si/SiGe heterostructures. From virtual substrates to nanodevices

    Energy Technology Data Exchange (ETDEWEB)

    Minamisawa, Renato Amaral

    2011-10-21

    achieving high quality, strained doped layers. The knowledge acquired was further applied in the fabrication of p-MOSFETs using strained Si/strained Si{sub 0.5}Ge{sub 0.5}/SSOI substrates and HfO{sub 2}/TiN gate stacks. Moreover, rare earth GdScO{sub 3} was integrated for the first time into MOSFETs with high mobility strained SiGe channels using a gate-first process. Transistors with channel length ranging from 65 nm to 1.5 {mu}m were fabricated and characterized. The hole mobility and effective velocity were extracted from devices with <110> and <100> channel orientations. The mobility for the <100> direction is 18% higher than for <110> direction. However, this enhancement translates in only 8% increase in effective velocity.

  8. Ge nanobelts with high compressive strain fabricated by secondary oxidation of self-assembly SiGe rings

    DEFF Research Database (Denmark)

    Lu, Weifang; Li, Cheng; Lin, Guangyang

    2015-01-01

    Curled Ge nanobelts were fabricated by secondary oxidation of self-assembly SiGe rings, which were exfoliated from the SiGe stripes on the insulator. The Ge-rich SiGe stripes on insulator were formed by hololithography and modified Ge condensation processes of Si0.82Ge0.18 on SOI substrate. Ge...... nanobelts under a residual compressive strain of 2% were achieved, and the strain should be higher before partly releasing through bulge islands and breakage of the curled Ge nanobelts during the secondary oxidation process. The primary factor leading to compressive strain is thermal shrinkage of Ge...... nanobelts, which extrudes to Ge nanobelts in radial and tangent directions during the cooling process. This technique is promising for application in high-mobility Ge nano-scale transistors...

  9. High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers

    International Nuclear Information System (INIS)

    Radamson, H.H.; Kolahdouz, M.; Ghandi, R.; Ostling, M.

    2008-01-01

    This work presents the selective epitaxial growth (SEG) of Si 1-x Ge x (x = 0.15-0.315) layers with high amount of boron (1 x 10 20 -1 x 10 21 cm -3 ) in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. The influence of the growth rate and strain on boron incorporation has been studied. A focus has been made on the strain distribution and boron incorporation in SEG of SiGe layers

  10. High strain amount in recessed junctions induced by selectively deposited boron-doped SiGe layers

    Energy Technology Data Exchange (ETDEWEB)

    Radamson, H.H. [School of Information and Communication Technology, KTH (Royal Institute of Technology) Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)], E-mail: rad@kth.se; Kolahdouz, M.; Ghandi, R.; Ostling, M. [School of Information and Communication Technology, KTH (Royal Institute of Technology) Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)

    2008-12-05

    This work presents the selective epitaxial growth (SEG) of Si{sub 1-x}Ge{sub x} (x = 0.15-0.315) layers with high amount of boron (1 x 10{sup 20}-1 x 10{sup 21} cm{sup -3}) in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. The influence of the growth rate and strain on boron incorporation has been studied. A focus has been made on the strain distribution and boron incorporation in SEG of SiGe layers.

  11. Orientation Effects in Ballistic High-Strained P-type Si Nanowire FETs

    Directory of Open Access Journals (Sweden)

    Hong Yu

    2009-04-01

    Full Text Available In order to design and optimize high-sensitivity silicon nanowire-field-effect transistor (SiNW FET pressure sensors, this paper investigates the effects of channel orientations and the uniaxial stress on the ballistic hole transport properties of a strongly quantized SiNW FET placed near the high stress regions of the pressure sensors. A discrete stress-dependent six-band k.p method is used for subband structure calculation, coupled to a two-dimensional Poisson solver for electrostatics. A semi-classical ballistic FET model is then used to evaluate the ballistic current-voltage characteristics of SiNW FETs with and without strain. Our results presented here indicate that [110] is the optimum orientation for the p-type SiNW FETs and sensors. For the ultra-scaled 2.2 nm square SiNW, due to the limit of strong quantum confinement, the effect of the uniaxial stress on the magnitude of ballistic drive current is too small to be considered, except for the [100] orientation. However, for larger 5 nm square SiNW transistors with various transport orientations, the uniaxial tensile stress obviously alters the ballistic performance, while the uniaxial compressive stress slightly changes the ballistic hole current. Furthermore, the competition of injection velocity and carrier density related to the effective hole masses is found to play a critical role in determining the performance of the nanotransistors.

  12. Structural and electrical evaluation for strained Si/SiGe on insulator

    International Nuclear Information System (INIS)

    Wang Dong; Ii, Seiichiro; Ikeda, Ken-ichi; Nakashima, Hideharu; Ninomiya, Masaharu; Nakamae, Masahiko; Nakashima, Hiroshi

    2006-01-01

    Three strained Si/SiGe on insulator wafers having different Ge fractions were evaluated using dual-metal-oxide-semiconductor (dual-MOS) deep level transient spectroscopy (DLTS) and transmission electron microscopy (TEM) methods. The interface of SiGe/buried oxide (BOX) shows roughness less than 1 nm by high resolution TEM observation. The interface states densities (D it ) of SiGe/BOX are approximately 1 x 10 12 cm -2 eV -1 , which is approximately one order of magnitude higher than that of Si/BOX in a Si on insulator wafer measured as reference by the same method of dual-MOS DLTS. The high D it of SiGe/BOX is not due to interface roughness but due to Ge atoms. The threading dislocations were also clearly observed by TEM and were analyzed

  13. Study of strained-Si p-channel MOSFETs with HfO2 gate dielectric

    Science.gov (United States)

    Pradhan, Diana; Das, Sanghamitra; Dash, Tara Prasanna

    2016-10-01

    In this work, the transconductance of strained-Si p-MOSFETs with high-K dielectric (HfO2) as gate oxide, has been presented through simulation using the TCAD tool Silvaco-ATLAS. The results have been compared with a SiO2/strained-Si p-MOSFET device. Peak transconductance enhancement factors of 2.97 and 2.73 has been obtained for strained-Si p-MOSFETs in comparison to bulk Si channel p-MOSFETs with SiO2 and high-K dielectric respectively. This behavior is in good agreement with the reported experimental results. The transconductance of the strained-Si device at low temperatures has also been simulated. As expected, the mobility and hence the transconductance increases at lower temperatures due to reduced phonon scattering. However, the enhancements with high-K gate dielectric is less as compared to that with SiO2.

  14. Microstructure and strain distribution in freestanding Si membrane strained by Si{sub x}N{sub y} deposition

    Energy Technology Data Exchange (ETDEWEB)

    Gao Hongye, E-mail: qgaohongye@msn.com [Faculty of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Ikeda, Ken-ichi; Hata, Satoshi; Nakashima, Hideharu [Faculty of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Wang Dong; Nakashima, Hiroshi [Art, Science and Technology Center for Cooperative Research, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan)

    2010-09-25

    Research highlights: {yields} Strain is introduced by deposition of amorphous Si{sub x}N{sub y} to improve the carrier mobility for a relatively large-size freestanding semiconductor film, which can be used for the fabrication of relatively large devices such like a bipolar junction transistor. However, standard Raman spectroscopy and X-ray diffraction cannot provide sufficient lateral resolution to the strain in a relatively long (x {mu}m in length) and thin (x nm in thickness) freestanding semiconductor film. {yields} In present research, strain in a bridge-shaped freestanding Si membrane (FSSM) was measured by convergent-beam electron diffraction (CBED) and finite element method (FEM). Compressive strain distribution was shown in three dimensions (3D) in FSSM, where no threading dislocation or stacking fault was found. Relaxation of the strain in FSSM in 3D was discussed based on a comparison of the strain magnitudes in FSSM as measured by CBED and FEM. - Abstract: Strain in a bridge-shaped freestanding Si membrane (FSSM) induced by depositing an amorphous Si{sub x}N{sub y} layer was measured by convergent-beam electron diffraction (CBED). CBED results show that the strain magnitude depends negatively on the FSSM thickness. FEM is a supplement of the result of CBED due to the relaxation of TEM samples during fabricating. The FEM analysis results ascertain the strain property in three dimensions, and show that the strain magnitude depends negatively on the length of FSSM, and the magnitude of the compressive strain in FSSM increases as the position is closer to the upper Si/Si{sub x}N{sub y} interface.

  15. Strain field mapping of dislocations in a Ge/Si heterostructure.

    Directory of Open Access Journals (Sweden)

    Quanlong Liu

    Full Text Available Ge/Si heterostructure with fully strain-relaxed Ge film was grown on a Si (001 substrate by using a two-step process by ultra-high vacuum chemical vapor deposition. The dislocations in the Ge/Si heterostructure were experimentally investigated by high-resolution transmission electron microscopy (HRTEM. The dislocations at the Ge/Si interface were identified to be 90° full-edge dislocations, which are the most efficient way for obtaining a fully relaxed Ge film. The only defect found in the Ge epitaxial film was a 60° dislocation. The nanoscale strain field of the dislocations was mapped by geometric phase analysis technique from the HRTEM image. The strain field around the edge component of the 60° dislocation core was compared with those of the Peierls-Nabarro and Foreman dislocation models. Comparison results show that the Foreman model with a = 1.5 can describe appropriately the strain field around the edge component of a 60° dislocation core in a relaxed Ge film on a Si substrate.

  16. Strain field mapping of dislocations in a Ge/Si heterostructure.

    Science.gov (United States)

    Liu, Quanlong; Zhao, Chunwang; Su, Shaojian; Li, Jijun; Xing, Yongming; Cheng, Buwen

    2013-01-01

    Ge/Si heterostructure with fully strain-relaxed Ge film was grown on a Si (001) substrate by using a two-step process by ultra-high vacuum chemical vapor deposition. The dislocations in the Ge/Si heterostructure were experimentally investigated by high-resolution transmission electron microscopy (HRTEM). The dislocations at the Ge/Si interface were identified to be 90° full-edge dislocations, which are the most efficient way for obtaining a fully relaxed Ge film. The only defect found in the Ge epitaxial film was a 60° dislocation. The nanoscale strain field of the dislocations was mapped by geometric phase analysis technique from the HRTEM image. The strain field around the edge component of the 60° dislocation core was compared with those of the Peierls-Nabarro and Foreman dislocation models. Comparison results show that the Foreman model with a = 1.5 can describe appropriately the strain field around the edge component of a 60° dislocation core in a relaxed Ge film on a Si substrate.

  17. Amorphization threshold in Si-implanted strained SiGe alloy layers

    International Nuclear Information System (INIS)

    Simpson, T.W.; Love, D.; Endisch, E.; Goldberg, R.D.; Mitchell, I.V.; Haynes, T.E.; Baribeau, J.M.

    1994-12-01

    The authors have examined the damage produced by Si-ion implantation into strained Si 1-x Ge x epilayers. Damage accumulation in the implanted layers was monitored in situ by time-resolved reflectivity and measured by ion channeling techniques to determine the amorphization threshold in strained Si 1-x Ge x (x = 0.16 and 0.29) over the temperature range 30--110 C. The results are compared with previously reported measurements on unstrained Si 1-x Ge x , and with the simple model used to describe those results. They report here data which lend support to this model and which indicate that pre-existing strain does not enhance damage accumulation in the alloy layer

  18. Strained Si engineering for nanoscale MOSFETs

    International Nuclear Information System (INIS)

    Park, Jea-Gun; Lee, Gon-Sub; Kim, Tae-Hyun; Hong, Seuck-Hoon; Kim, Seong-Je; Song, Jin-Hwan; Shim, Tae-Hun

    2006-01-01

    We have revealed a strain relaxation mechanism for strained Si grown on a relaxed SiGe-on-insulator structure fabricated by the bonding, dislocation sink, or condensation method. Strain relaxation for both the bonding and dislocation sink methods was achieved by grading the Ge concentration; in contrast, the relaxation for the condensation method was achieved through Ge atom condensation during oxidation. In addition, we estimated the surface roughness and threading-dislocation pit density for relaxed SiGe layer fabricated by the bonding, dislocation sink, or condensation method. The surface roughness and threading-dislocation pit density for the bonding, dislocation sink, and condensation methods were 2.45, 0.46, and 0.40 nm and 5.0 x 10 3 , 9 x 10 3 , and 0, respectively. In terms of quality and cost-effectiveness, the condensation method was superior to the bonding and dislocation sink methods for forming strained Si on a relaxed SiGe-on-insulator structure

  19. Studying the impact of carbon on device performance for strained-Si MOSFETs

    International Nuclear Information System (INIS)

    Lee, M.H.; Chang, S.T.; Peng, C.-Y.; Hsieh, B.-F.; Maikap, S.; Liao, S.-H.

    2008-01-01

    The strained-Si:C long channel MOSFET on a relaxed SiGe buffer is demonstrated in this study. The extracted electron mobility showed an enhancement of ∼40% with the incorporation of 0.25% carbon in strained-Si long channel NMOSFETs. However, no improvement was seen in the output characteristics of the strained-Si:C PMOSFET. The performance enhancement seen is less than the theoretical prediction for increasing carbon content; this is due to the high alloy scattering potential with carbon incorporation, high interface state density (D it ) at the oxide/strained-Si:C interface and interstitial carbon induced Coulomb scattering. However, increased amounts of C may result in degraded device performance. Therefore, a balance must be struck to minimize C-induced extra Coulomb and alloy scattering rates in the fabrication of these devices

  20. Narrow photoluminescence peak from Ge(Si) islands embedded between tensile-strained Si layers

    Energy Technology Data Exchange (ETDEWEB)

    Shaleev, Mikhail; Novikov, Alexey; Baydakova, Nataliya; Yablonskiy, Artem; Drozdov, Yuriy; Lobanov, Dmitriy; Krasilnik, Zakhary [Institute for Physics of Microstructures, Russian Academy of Sciences, GSP-105, 603950 Nizhny Novgorod (Russian Federation); Kuznetsov, Oleg [Physical-Technical Research Institute, Nizhny Novgorod State University, pr. Gagarina 23, 603950 Nizhny Novgorod (Russian Federation)

    2011-03-15

    The influence of thickness of the strained Si layers, measurement temperature and optical pumping power on width of the photoluminescence line from Ge(Si) self-assembled nanoislands grown on relaxed SiGe/Si(001) buffer layers and embedded between tensile-stained Si layers was studied. This line appears due to the II-type optical transition between the holes localized in islands and the electrons confined in tensile-strained Si layers under and above the islands. The possibility of tuning the photoluminescence line width by changing the strained Si layer thicknesses under and above the islands is showed. The decrease of the photoluminescence line width from Ge(Si) islands down to values comparable with width of the PL line from InAs/GaAs quantum dots was achieved due to the quantum confinement of electrons in thin strained Si layers and taking into account of the higher diffusion-induced smearing of strained Si layer above the islands. (copyright 2011 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  1. Electrical characteristics of thermal CVD B-doped Si films on highly strained Si epitaxially grown on Ge(100) by plasma CVD without substrate heating

    International Nuclear Information System (INIS)

    Sugawara, Katsutoshi; Sakuraba, Masao; Murota, Junichi

    2010-01-01

    Using an 84% relaxed Ge(100) buffer layer formed on Si(100) by electron cyclotron resonance (ECR) plasma enhanced chemical vapor deposition (CVD), influence of strain upon electrical characteristics of B-doped Si film epitaxially grown on the Ge buffer have been investigated. For the thinner B-doped Si film, surface strain amount is larger than that of the thicker film, for example, strain amount reaches 2.0% for the thickness of 2.2 nm. It is found that the hole mobility is enhanced by the introduction of strain to Si, and the maximum enhancement of about 3 is obtained. This value is higher than that of the usually reported mobility enhancement by strain using Si 1 -x Ge x buffer. Therefore, introduction of strain using relaxed Ge film formed by ECR plasma enhanced CVD is useful to improve future Si-based device performance.

  2. Strain and defect microstructure in ion-irradiated GeSi/Si strained layers as a function of annealing temperature

    International Nuclear Information System (INIS)

    Glasko, J.M.; Elliman, R.G.; Zou, J.; Cockayne, D.J.H.; Fitz Gerald, J.D.

    1998-01-01

    High energy (1 MeV), ion irradiation of GeSi/Si strained layers at elevated temperatures can cause strain relaxation. In this study, the effect of subsequent thermal annealing was investigated. Three distinct annealing stages were identified and correlated with the evolution of the defect microstructure. In the temperature range from 350 to 600 deg C, a gradual recovery of strain is observed. This is believed to result from the annealing of small defect clusters and the growth of voids. The voids are visible at annealing temperatures in excess of 600 deg C, consistent with an excess vacancy concentration in the irradiated alloy layer. The 600 to 750 deg C range is marked by pronounced maximal recovery of strain, and is correlated with the dissolution of faulted loops in the substrate. At temperatures in the range 750-1000 deg C, strain relaxation is observed and is correlated with the growth of intrinsic dislocations within the alloy layer. These dislocations nucleate at the alloy-substrate interface and grow within the alloy layer, towards the surface. (authors)

  3. Optical investigation of strain in Si-doped GaN films

    International Nuclear Information System (INIS)

    Sanchez-Paramo, J.; Calleja, J. M.; Sanchez-Garcia, M. A.; Calleja, E.

    2001-01-01

    The effects of Si doping on the growth mode and residual strain of GaN layers grown on Si(111) substrates by plasma-assisted molecular beam epitaxy are studied by Raman scattering and photoluminescence. As the Si concentration increases a progressive decrease of the high-energy E 2 mode frequency is observed, together with a redshift of the excitonic emission. Both effects indicate an enhancement of the biaxial tensile strain of thermal origin for increasing doping level, which is confirmed by x-ray diffraction measurements. Beyond Si concentrations of 5x10 18 cm -3 both the phonon frequency and the exciton emission energy increase again. This change indicates a partial strain relaxation due to a change in the growth mode. [copyright] 2001 American Institute of Physics

  4. Selective epitaxial growth properties and strain characterization of Si1- x Ge x in SiO2 trench arrays

    Science.gov (United States)

    Koo, Sangmo; Jang, Hyunchul; Ko, Dae-Hong

    2017-04-01

    In this study, we investigated the formation of a Si1- x Ge x fin structure in SiO2 trench arrays via an ultra-high-vacuum chemical-vapor deposition (UHV-CVD) selective epitaxial growth (SEG) process. Defect generation and microstructures of Si1- x Ge x fin structures with different Ge concentrations ( x = 0.2, 0.3 and 0.45) were examined. In addition, the strain evolution of a Si1- x Ge x fin structure was analyzed by using reciprocal space mapping (RSM). An (111) facet was formed from the Si1- x Ge x epi-layer and SiO2 trench wall interface to minimize the interface and the surface energy. The Si1- x Ge x fin structures were fully relaxed along the direction perpendicular to the trenches regardless of the Ge concentration. On the other hand, the fin structures were fully or partially strained along the direction parallel to the trenches depending on the Ge concentration: fully strained Si0.8Ge0.2 and Si0.7Ge0.3, and a Si0.55Ge0.45 strain-relaxed buffer. We further confirmed that the strain on the Si1- x Ge x fin structures remained stable after oxide removal and H2/N2 post-annealing.

  5. Optical investigation of strain in Si-doped GaN films

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Paramo, J.; Calleja, J. M.; Sanchez-Garcia, M. A.; Calleja, E.

    2001-06-25

    The effects of Si doping on the growth mode and residual strain of GaN layers grown on Si(111) substrates by plasma-assisted molecular beam epitaxy are studied by Raman scattering and photoluminescence. As the Si concentration increases a progressive decrease of the high-energy E{sub 2} mode frequency is observed, together with a redshift of the excitonic emission. Both effects indicate an enhancement of the biaxial tensile strain of thermal origin for increasing doping level, which is confirmed by x-ray diffraction measurements. Beyond Si concentrations of 5{times}10{sup 18}cm{sup {minus}3} both the phonon frequency and the exciton emission energy increase again. This change indicates a partial strain relaxation due to a change in the growth mode. {copyright} 2001 American Institute of Physics.

  6. Effects of C and Si on strain aging of strain-based API X60 pipeline steels

    Science.gov (United States)

    Sung, Hyo Kyung; Lee, Dong Ho; Lee, Sunghak; Lee, Byeong-Joo; Hong, Seung-Pyo; Kim, Young-Woon; Yoo, Jang Yong; Hwang, Byoungchul; Shin, Sang Yong

    2017-05-01

    Four types of strain-based API X60 pipeline steels were fabricated by varying the C and Si contents, and the effects of C and Si on strain aging were investigated. The 0.05 wt% C steels consisted mainly of polygonal ferrite (PF), whereas the 0.08 wt% C steels consisted of acicular ferrite (AF). The volume fraction of AF increased with increasing C content because C is an austenite stabilizer element. The volume fractions of bainitic ferrite (BF) of the 0.15 wt% Si steels were higher than those of the 0.25 wt% Si steels, whereas the volume fractions of the secondary phases were lower. From the tensile properties before and after the aging process of the strainbased API X60 pipeline steels, the yield strength increased and the uniform and total elongation decreased, which is the strain aging effect. The strain aging effect in the strain-based API X60 pipeline steels was minimized when the volume fraction of AF was increased and secondary phases were distributed uniformly. On the other hand, an excessively high C content formed fine precipitates, and the strain aging effect occurred because of the interactions among dislocations and fine precipitates.

  7. Internal photoemission study on charge trapping behavior in rapid thermal oxides on strained-Si/SiGe heterolayers

    International Nuclear Information System (INIS)

    Bera, M.K.; Mahata, C.; Bhattacharya, S.; Chakraborty, A.K.; Armstrong, B.M.; Gamble, H.S.; Maiti, C.K.

    2008-01-01

    A comparative study on the nature of defects and their relationship to charge trapping with enhanced photosensitivity has been investigated through magnetic resonance and internal photoemission (IPE) experiments for rapid thermal grown oxides (RTO) on strained-Si/Si 0.8 Ge 0.2 and on co-processed bulk-Si (1 0 0) substrates. Both the band and defect-related electronic states were characterized through EPR, IPE, C-V and I-V measurements under UV-illumination. Surface chemical characterization of as-grown ultrathin oxides (5-7 nm) has been performed using high-resolution XPS. Enhancement in Ge-segregation with increasing oxidation temperature is reported. Comparative studies on interface properties and leakage current behavior of rapid thermal oxides have also been studied through fabricating metal-oxide-semiconductor capacitor structures. A degraded electrical property with increasing oxidation temperature is reported. Constant voltage stressing (CVS) in the range of 5.5-7 V was used to study the breakdown characteristics of different samples. We observe a distinguishably different time-to-breakdown (t bd ) phenomenon for bulk-Si and strained-Si/SiGe samples. Whereas the oxide on bulk-Si shows a typical breakdown behavior, the RTO grown oxide on strained-Si/SiGe samples showed a quasi-or soft-breakdown with lower t bd value. It may be pointed out that quasi-breakdown may be a stronger reliability limiting factor for strained-Si/SiGe devices in the oxide thickness range studied

  8. Evaluation of local strain in Si using UV-Raman spectroscopy

    Energy Technology Data Exchange (ETDEWEB)

    Ogura, Atsushi [School of Science and Technology, Meiji University, 1-1-1 Higashimita, Tama-ku, Kawasaki, Kanagawa 214-8571 (Japan)], E-mail: a_ogura@isc.meiji.ac.jp; Kosemura, Daisuke; Takei, Munehisa [School of Science and Technology, Meiji University, 1-1-1 Higashimita, Tama-ku, Kawasaki, Kanagawa 214-8571 (Japan); Uchida, Hidetsugu; Hattori, Nobuyoshi [Semiconductor Technology Academic Research Center, 3-17-2 Shinyokohama, Kouhoku-ku, Yokohama 220-0033 (Japan); Yoshimaru, Masaki [Semiconductor Business Group, Sony Corporation, Atsugi Tec., 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Mayuzumi, Satoru [School of Science and Technology, Meiji University, 1-1-1 Higashimita, Tama-ku, Kawasaki, Kanagawa 214-8571 (Japan); Semiconductor Business Group, Sony Corporation, Atsugi Tec., 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan); Wakabayashi, Hitoshi [Semiconductor Business Group, Sony Corporation, Atsugi Tec., 4-14-1 Asahi-cho, Atsugi-shi, Kanagawa 243-0014 (Japan)

    2009-03-15

    'Strained-Si', in which intentional strain is introduced in Si crystal to improve carrier mobility by using a modulated band structure, is recognized as one of the most important technologies in post-scaling-generation LSIs. Strain-evaluation technology to probe strain in shallow surfaces that correspond to the channels of MOSFETs is crucial to achieving strained-Si technology. In this paper, we introduce the results we obtained by evaluating strain with the new UV-Raman spectroscopy we developed. Quasi-line shape illumination enabled Raman measurements with 200-nm intervals on the sample. The local-strain mechanism caused by SiN stressors covering a MOSFET was clarified by measuring one-dimensional strain profiles induced by patterned SiN film on Si. We also demonstrated that the induced strain was proportional to the inner stresses of SiN film and that it is more effective to introduce strain in SOI substrates than in bulk substrates. In the evaluation of a actual device fabricated by using the gate-last process in which strain was significantly enhanced after the dummy gate was removed, the size effect, i.e., an increase in induced strain with a decrease in gate length, was confirmed through one-dimensional strain-profile measurements with various gate lengths.

  9. Evaluation of local strain in Si using UV-Raman spectroscopy

    International Nuclear Information System (INIS)

    Ogura, Atsushi; Kosemura, Daisuke; Takei, Munehisa; Uchida, Hidetsugu; Hattori, Nobuyoshi; Yoshimaru, Masaki; Mayuzumi, Satoru; Wakabayashi, Hitoshi

    2009-01-01

    'Strained-Si', in which intentional strain is introduced in Si crystal to improve carrier mobility by using a modulated band structure, is recognized as one of the most important technologies in post-scaling-generation LSIs. Strain-evaluation technology to probe strain in shallow surfaces that correspond to the channels of MOSFETs is crucial to achieving strained-Si technology. In this paper, we introduce the results we obtained by evaluating strain with the new UV-Raman spectroscopy we developed. Quasi-line shape illumination enabled Raman measurements with 200-nm intervals on the sample. The local-strain mechanism caused by SiN stressors covering a MOSFET was clarified by measuring one-dimensional strain profiles induced by patterned SiN film on Si. We also demonstrated that the induced strain was proportional to the inner stresses of SiN film and that it is more effective to introduce strain in SOI substrates than in bulk substrates. In the evaluation of a actual device fabricated by using the gate-last process in which strain was significantly enhanced after the dummy gate was removed, the size effect, i.e., an increase in induced strain with a decrease in gate length, was confirmed through one-dimensional strain-profile measurements with various gate lengths.

  10. UV-Photoreflectance and Raman Characterization of Strain Relaxation in Si on Silicon-Germanium Films

    International Nuclear Information System (INIS)

    Current, Michael; Chism, Will; Yoo, Woo Sik; Vartanian, Victor

    2011-01-01

    Photoreflectance (PR), using a uv (374 nm) diode laser probe beam, and Raman spectroscopy, using a multi-wavelength Ar + laser coupled to a high-resolution multi-wavelength spectrometer, were used to characterize the strain relaxation of Si top layers grown on a graded and relaxed SiGe buffer stack with a final Ge concentration of 20%. The Si top layer thicknesses ranged from 1.6 to 18 nm. Considerable radial variation in the strain relaxation was seen in all sampled wafers, highlighting the need for rapid, local strain characterization. Strong correlation between shift in the Si layer dielectric response, measured by uv-PR, and the Si top layer strain, measured by Raman, is reported.

  11. Assessment of homogeneity of the shear-strain pattern in Al–7 wt%Si casting alloy processed by high-pressure torsion

    Energy Technology Data Exchange (ETDEWEB)

    Cepeda-Jiménez, C.M., E-mail: carmen.cepeda@imdea.org [Department of Physical Metallurgy, CENIM, CSIC, Av. Gregorio del Amo 8, 28040 Madrid (Spain); Orozco-Caballero, A.; García-Infanta, J.M. [Department of Physical Metallurgy, CENIM, CSIC, Av. Gregorio del Amo 8, 28040 Madrid (Spain); Zhilyaev, A.P. [Institute for Metals Superplasticity Problems, Russian Academy of Science, 39 Khalturina, 450001 Ufa (Russian Federation); Ruano, O.A.; Carreño, F. [Department of Physical Metallurgy, CENIM, CSIC, Av. Gregorio del Amo 8, 28040 Madrid (Spain)

    2014-03-01

    An as-cast Al–7 wt%Si alloy was subjected to processing by high-pressure torsion (HPT) at room temperature, through 1/4, 1/2, 1 and 5 turns at a pressure of 6 GPa and two rotation speeds, 0.1 and 1 rpm. Vickers microhardness was measured along diameters of HPT disk surfaces. The final hardness values were higher than in the initial as-cast condition and, unexpectedly, nearly constant under all different processing conditions, and along the disk diameter. The microstructure was characterised by optical and scanning electron microscopy. The as-cast microstructure comprises equiaxed primary α dendrite cells embedded in the Al–Si eutectic constituent. The morphology and distribution of the eutectic constituent in the HPT processed materials is used to delineate the shear strain, which was analysed in the cross-section planes of the disks. A high degree of homogeneity in the imposed shear strain throughout the samples was observed, being congruent with the ideal rigid-body torsion. In addition, the high compressive pressure applied, causing compressive strain prior to the torsional strain, is responsible for the deformation-induced precipitation of small Si particles and for the (sub)grain refinement in the primary Al constituent. The role of torsional strain is that of increasing monothonically the redistribution of the eutectic silicon and the misorientation of the (sub)grains.

  12. Full-Field Strain Mapping at a Ge/Si Heterostructure Interface

    Directory of Open Access Journals (Sweden)

    Buwen Cheng

    2013-05-01

    Full Text Available The misfit dislocations and strain fields at a Ge/Si heterostructure interface were investigated experimentally using a combination of high-resolution transmission electron microscopy and quantitative electron micrograph analysis methods. The type of misfit dislocation at the interface was determined to be 60° dislocation and 90° full-edge dislocation. The full-field strains at the Ge/Si heterostructure interface were mapped by using the geometric phase analysis (GPA and peak pairs analysis (PPA, respectively. The effect of the mask size on the GPA and PPA results was analyzed in detail. For comparison, the theoretical strain fields of the misfit dislocations were also calculated by the Peierls-Nabarro and Foreman dislocation models. The results showed that the optimal mask sizes in GPA and PPA were approximately three tenths and one-tenth of the reciprocal lattice vector, respectively. The Foreman dislocation model with an alterable factor a = 4 can best describe the strain field of the misfit dislocation at the Ge/Si heterostructure interface.

  13. A TEM study of strained SiGe/Si and related heteroepitaxial structures

    International Nuclear Information System (INIS)

    Benedetti, Alessandro

    2002-01-01

    The role of SiGe/Si heterostructures and related materials has become increasingly important within the last few decades. In order to increase the scale of integration, however, devices with active elements not larger than few tens of nanometer have been recently introduced. There is, therefore, a strong need for an analytical technique capable of giving information about submicron-sized components. An investigation on a nanometre scale can be performed by the combination of a fully equipped Transmission Electron Microscope (TEM) with a Field Emission Gun (PEG) electron source, which enables one to use a wide range of analytical techniques with an electron probe as small as 0.5 nm. In this work, two different types of SiGe/Si-based devices were investigated. Strained-Si n-channel MOSFETs. The use of Strained-Si n-channel grown on SiGe should improve both carrier mobility and transconductance with respect to conventional MOSFETs. Materials analysed in this work showed an extremely high transconductance but a rather low mobility. In order to relate their microstructural properties to their electrical performance, as well as to improve the device design, a full quantitative and qualitative structural characterisation was performed. SiGe Multiple Quantum Wells (MQW) IR detectors Light detection is achieved by collecting the photogenerated carriers, injected from the SiGe QWs layers into the Si substrate. A key factor is the Ge profile across a single QW, since it governs the band structure and therefore the device performances. Four different TEM techniques were used to determine the Ge distribution across a single well, showing an overall good agreement among the results. The Ge profiles broadening, consistent with data available in literature, was successfully explained and theoretically predicted by the combined effect of Ge segregation and gas dwell times within the reactor. (author)

  14. Strain distribution analysis in Si/SiGe line structures for CMOS technology using Raman spectroscopy

    International Nuclear Information System (INIS)

    Hecker, M; Roelke, M; Hermann, P; Zschech, E; Vartanian, V

    2010-01-01

    Strained silicon underneath the field-effect transistor gate increases significantly the charge carrier mobility and thus improves the performance of leading-edge Complementary Metal Oxide Semiconductor (CMOS) devices. For better understanding of the structure-strain relationship on the nanoscale and for optimization of device structures, the measurement of the local strain state has become essential. Raman spectroscopy is used in the present investigation to analyze the strain distribution in and close to silicon/embedded silicon-germanium (SiGe) line structures in conjunction with strain modeling applying finite element analysis. Both experimental results and modeling indicate the impact of geometry on the stress state. An increase of compressive stress within the Si lines is obtained for increasing SiGe line widths and decreasing Si line widths. The stress state within the Si lines is shown to be a mixed one deviating from a pure uniaxial state. Underneath the SiGe cavities, the presence of a tensile stress was observed. To investigate a procedure to scale down the spatial resolution of the Raman measurements, tip-enhanced Raman scattering experiments have been performed on free-standing SiGe lines with 100nm line width and line distance. The results show superior resolution and strain information not attainable in conventional Raman scans.

  15. Strain distribution in freestanding Si/Si{sub x}N{sub y} membranes studied by transmission electron microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Gao, Hongye, E-mail: hongye18@mm.kyushu-u.ac.j [Faculty of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Ikeda, Ken-ichi; Hata, Satoshi; Nakashima, Hideharu [Faculty of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Wang, Dong; Nakashima, Hiroshi [Art, Science and Technology Center for Cooperative Research, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan)

    2010-09-30

    Strain was induced in a bridge-shaped freestanding Si membrane (FSSM) by depositing an amorphous Si{sub x}N{sub y} layer to surround the Si membrane. Convergent beam electron diffraction revealed that compressive strain is distributed uniformly along the horizontal direction in Si{sub x}N{sub y}-deposited FSSM. On the other hand, strain decreases to almost zero at the ends of the FSSM, where the Si{sub x}N{sub y} layer beneath the Si layer is replaced by a SiO{sub 2} buried oxide layer.

  16. Strain-engineered band parameters of graphene-like SiC monolayer

    International Nuclear Information System (INIS)

    Behera, Harihar; Mukhopadhyay, Gautam

    2014-01-01

    Using full-potential density functional theory (DFT) calculations we show that the band gap and effective masses of charge carriers in SiC monolayer (ML-SiC) in graphene-like two-dimensional honeycomb structure are tunable by strain engineering. ML-SiC was found to preserve its flat 2D graphene-like structure under compressive strain up to 7%. A transition from indirect-to-direct gap-phase is predicted to occur for a strain value lying within the interval (1.11 %, 1.76%). In both gap-phases band gap decreases with increasing strain, although the rate of decrease is different in the two gap-phases. Effective mass of electrons show a non-linearly decreasing trend with increasing tensile strain in the direct gap-phase. The strain-sensitive properties of ML-SiC, may find applications in future strain-sensors, nanoelectromechanical systems (NEMS) and nano-optomechanical systems (NOMS) and other nano-devices

  17. Strain relaxation near high-k/Si interface by post-deposition annealing

    International Nuclear Information System (INIS)

    Emoto, T.; Akimoto, K.; Yoshida, Y.; Ichimiya, A.; Nabatame, T.; Toriumi, A.

    2005-01-01

    We studied the effect of post-deposition annealing on a HfO 2 /Si interface of by extremely asymmetric X-ray diffraction. Comparing the rocking curves before annealing the sample with those of the annealed sample, it is found that an interfacial layer with a density of 3 g/cm 3 grows at the interface between the HfO 2 layer and the substrate during post-deposition annealing. The wavelength dependency of the integrated intensities of the rocking curve for the as-deposited sample fluctuated with the observation position. This fluctuation was suppressed by annealing. From these results we concluded that the strain introduced into the substrate becomes homogeneous by annealing. Moreover, a quantitative estimation of the strain by curve fitting reveals the existence of compressive strain under the HfO 2 layer

  18. Piezoresistance of Silicon and Strained Si0.9Ge0.1

    DEFF Research Database (Denmark)

    Richter, Jacob; Hansen, Ole; Larsen, A. Nylandsted

    2005-01-01

    We present experimentally obtained results of the piezoresistive effect in p-type silicon and strained Si0.9Ge0.1. Today, strained Si1-xGex is used for high speed electronic devices. This paper investigates if this area of use can be expanded to also cover piezoresistive micro electro mechanical...... systems (MEMS) devices. The measurements are performed on microfabricated test chips where resistors are defined in layers grown by molecular beam epitaxy on (0 0 1) silicon substrates. A uniaxial stress along the [1 1 0] direction is applied to the chip, with the use of a four point bending fixture....... The investigation covers materials with doping levels of N-A = 10(18) cm(-3) and NA = 1019 cm(-3), respectively. The results show that the pi(66) piezoresistive coefficient in strained Si0.9Ge0.1 is approximately 30% larger than the comparable pi(44) piezoresistive coefficient in silicon at a doping level of N...

  19. Ultrathin highly uniform Ni(Al) germanosilicide layer with modulated B8 type Ni5(SiGe)3 phase formed on strained Si1−xGex layers

    International Nuclear Information System (INIS)

    Liu, Linjie; Xu, Dawei; Jin, Lei; Knoll, Lars; Wirths, Stephan; Nichau, Alexander; Buca, Dan; Mussler, Gregor; Holländer, Bernhard; Zhao, Qing-Tai; Mantl, Siegfried; Feng Di, Zeng; Zhang, Miao

    2013-01-01

    We present a method to form ultrathin highly uniform Ni(Al) germanosilicide layers on compressively strained Si 1−x Ge x substrates and their structural characteristics. The uniform Ni(Al) germanosilicide film is formed with Ni/Al alloy at an optimized temperature of 400 °C with an optimized Al atomic content of 20 at. %. We find only two kinds of grains in the layer. Both grains show orthogonal relationship with modified B8 type phase. The growth plane is identified to be (10-10)-type plane. After germanosilicidation the strain in the rest Si 1−x Ge x layer is conserved, which provides a great advantage for device application

  20. Coherently Strained Si-SixGe1-x Core-Shell Nanowire Heterostructures.

    Science.gov (United States)

    Dillen, David C; Wen, Feng; Kim, Kyounghwan; Tutuc, Emanuel

    2016-01-13

    Coherently strained Si-SixGe1-x core-shell nanowire heterostructures are expected to possess a positive shell-to-core conduction band offset, allowing for quantum confinement of electrons in the Si core. We report the growth of epitaxial, coherently strained Si-SixGe1-x core-shell heterostructures through the vapor-liquid-solid mechanism for the Si core, followed in situ by the epitaxial SixGe1-x shell growth using ultrahigh vacuum chemical vapor deposition. The Raman spectra of individual nanowires reveal peaks associated with the Si-Si optical phonon mode in the Si core and the Si-Si, Si-Ge, and Ge-Ge vibrational modes of the SixGe1-x shell. The core Si-Si mode displays a clear red-shift compared to unstrained, bare Si nanowires thanks to the lattice mismatch-induced tensile strain, in agreement with calculated values using a finite-element continuum elasticity model combined with lattice dynamic theory. N-type field-effect transistors using Si-SixGe1-x core-shell nanowires as channel are demonstrated.

  1. Measurement of strain and strain relaxation in free-standing Si membranes by convergent beam electron diffraction and finite element method

    International Nuclear Information System (INIS)

    Gao, H.; Ikeda, K.; Hata, S.; Nakashima, H.; Wang, D.; Nakashima, H.

    2011-01-01

    Bridge-shaped free-standing Si membranes (FSSM), strained by low-pressure (LP) Si x N y , plasma-enhanced (PE) Si x N y and Si x Ge 1-x stressors, were measured by convergent beam electron diffraction (CBED) and the finite element method (FEM). The results of CBED show that, while the strain along the length of the FSSM is compressive in an LPSi x N y /Si sample, those along the length of the FSSM are tensile in PESi x N y /Si and Si x Ge 1-x /Si samples. The average absolute values of strains are different in FSSM with LPSi x N y , PESi x N y and Si x Ge 1-x as stressors. The FEM was used to compensate the results of CBED taking into account the strain relaxation in transmission electron microscopy (TEM) sample preparation. The FEM results give the strain properties in three dimensions, and are in good agreement with the results of CBED. There is approximately no strain relaxation along the length of FSSM, and the elastic strains along the other two axes in FSSM are partially relaxed by thinning down for the preparation of TEM samples.

  2. Boron diffusion in strained and strain-relaxed SiGe

    International Nuclear Information System (INIS)

    Wang, C.C.; Sheu, Y.M.; Liu, Sally; Duffy, R.; Heringa, A.; Cowern, N.E.B.; Griffin, P.B.

    2005-01-01

    SiGe has been utilized for aggressive CMOS technologies development recently and there are many references [M. Shima, T. Ueno, T. Kumise, H. Shido, Y. Sakuma, S. Nakamura, Symposium on VLSI Technology Technical Digest, 2002, pp. 94-95; T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann, K. Johnson, C. Kenyon, J. Klaus, B. McIntyre, K. Mistry, A. Murthy, J. Sandford, M. Silberstein, S. Sivakumar, P. Smith, K. Zawadzki, S. Thompson, M. Bohr, International Electron Devices Meeting Technical Digest, December 2003, pp. 978-980; P. Bai, C. Auth, S. Balakrishnan, M. Bost, R. Brain, V. Chikarmane, R. Heussner, M. Hussein, J. Hwang, D. Ingerly, R. James, J. Jeong, C. Kenyon, E. Lee, S. Lee, N. Lindert, M. Liu, Z. Ma, T. Marieb, A. Murthy, R. Nagisetty, S. Natarajan, J. Neirynck, A. Ott, C. Parker, J. Sebastian, R. Shaheed, S. Sivakumar, J. Steigerwald, S. Tyagi, C. Weber, B. Woolery, A. Yeoh, K. Zhang, M. Bohr, International Electron Devices Meeting Technical Digest, December 2004, pp. 657-660] presenting the advantages brought by it. A better understanding regarding the boron diffusion behavior within and in the vicinity of SiGe is necessary to optimize the extension and the source/drain in pMOSFET. In order to achieve the goal, both effects from mechanical strain and Ge doping on boron diffusion have been investigated. However, only a few publications discuss the impacts of both. Furthermore, most researches investigate these two effects under the conditions of low boron concentration [P. Kuo, J.L. Hoyt, J.F. Gibbons, J.E. Turner, D. Lefforge, Appl. Phys. Lett. 66 (January (5)) (1995) 580-582; N.R. Zangenberg, J. Fage-Pedersen, J. Lundsgaard Hansen, A. Nylandsted Larsen, J. Appl. Phys. 94 (September (6)) (2003) 3883-3890] and high thermal budget anneal [P. Kuo, J.L. Hoyt, J.F. Gibbons, J.E. Turner, D. Lefforge, Appl. Phys. Lett. 66 (January (5)) (1995) 580-582; N.R. Zangenberg, J. Fage-Pedersen, J. Lundsgaard Hansen, A. Nylandsted Larsen, J. Appl

  3. Experiments and Modeling of Si-Ge Interdiffusion with Partial Strain Relaxation in Epitaxial SiGe Heterostructures

    KAUST Repository

    Dong, Y.

    2014-07-26

    Si-Ge interdiffusion and strain relaxation were studied in a metastable SiGe epitaxial structure. With Ge concentration profiling and ex-situ strain analysis, it was shown that during thermal anneals, both Si-Ge interdiffusion and strain relaxation occurred. Furthermore, the time evolutions of both strain relaxation and interdiffusion were characterized. It showed that during the ramp-up stage of thermal anneals at higher temperatures (800°C and 840°C), the degree of relaxation, R, reached a “plateau”, while interdiffusion was negligible. With the approximation that the R value is constant after the ramp-up stage, a quantitative interdiffusivity model was built to account for both the effect of strain relaxation and the impact of the relaxation induced dislocations, which gave good agreement with the experiment data.

  4. Pseudomorphic GeSiSn, SiSn and Ge layers in strained heterostructures

    Science.gov (United States)

    Timofeev, V. A.; Nikiforov, A. I.; Tuktamyshev, A. R.; Mashanov, V. I.; Loshkarev, I. D.; Bloshkin, A. A.; Gutakovskii, A. K.

    2018-04-01

    The GeSiSn, SiSn layer growth mechanisms on Si(100) were investigated and the kinetic diagrams of the morphological GeSiSn, SiSn film states in the temperature range of 150 °C-450 °C at the tin content from 0% to 35% were built. The phase diagram of the superstructural change on the surface of Sn grown on Si(100) in the annealing temperature range of 0 °C-850 °C was established. The specular beam oscillations were first obtained during the SiSn film growth from 150 °C to 300 °C at the Sn content up to 35%. The transmission electron microscopy and x-ray diffractometry data confirm the crystal perfection and the pseudomorphic GeSiSn, SiSn film state, and also the presence of smooth heterointerfaces between GeSiSn or SiSn and Si. The photoluminescence for the multilayer periodic GeSiSn/Si structures in the range of 0.6-0.8 eV was detected. The blue shift with the excitation power increase is observed suggesting the presence of a type II heterostructure. The creation of tensile strained Ge films, which are pseudomorphic to the underlying GeSn layer, is confirmed by the results of the formation and analysis of the reciprocal space map in the x-ray diffractometry. The tensile strain in the Ge films reached the value in the range of 0.86%-1.5%. The GeSn buffer layer growth in the Sn content range from 8% to 12% was studied. The band structure of heterosystems based on pseudomorphic GeSiSn, SiSn and Ge layers was calculated and the valence and conduction band subband position dependences on the Sn content were built. Based on the calculation, the Sn content range in the GeSiSn, SiSn, and GeSn layers, which corresponds to the direct bandgap GeSiSn, SiSn, and Ge material, was obtained.

  5. Measurement of strain and strain relaxation in free-standing Si membranes by convergent beam electron diffraction and finite element method

    Energy Technology Data Exchange (ETDEWEB)

    Gao, H., E-mail: hongye18@mm.kyushu-u.ac.jp [Faculty of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Ikeda, K.; Hata, S.; Nakashima, H. [Faculty of Engineering Sciences, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan); Wang, D.; Nakashima, H. [Art, Science and Technology Center for Cooperative Research, Kyushu University, 6-1 Kasuga-koen, Kasuga, Fukuoka 816-8580 (Japan)

    2011-04-15

    Bridge-shaped free-standing Si membranes (FSSM), strained by low-pressure (LP) Si{sub x}N{sub y}, plasma-enhanced (PE) Si{sub x}N{sub y} and Si{sub x}Ge{sub 1-x} stressors, were measured by convergent beam electron diffraction (CBED) and the finite element method (FEM). The results of CBED show that, while the strain along the length of the FSSM is compressive in an LPSi{sub x}N{sub y}/Si sample, those along the length of the FSSM are tensile in PESi{sub x}N{sub y}/Si and Si{sub x}Ge{sub 1-x}/Si samples. The average absolute values of strains are different in FSSM with LPSi{sub x}N{sub y}, PESi{sub x}N{sub y} and Si{sub x}Ge{sub 1-x} as stressors. The FEM was used to compensate the results of CBED taking into account the strain relaxation in transmission electron microscopy (TEM) sample preparation. The FEM results give the strain properties in three dimensions, and are in good agreement with the results of CBED. There is approximately no strain relaxation along the length of FSSM, and the elastic strains along the other two axes in FSSM are partially relaxed by thinning down for the preparation of TEM samples.

  6. X-ray grazing incidence study of inhomogeneous strain relaxation in Si/SiGe wires

    International Nuclear Information System (INIS)

    Hesse, A.; Zhuang, Y.; Holy, V.; Stangl, J.; Zerlauth, S.; Schaeffler, F.; Bauer, G.; Darowski, N.; Pietsch, U.

    2003-01-01

    The elastic strain relaxation in a series of dry-etched periodic multilayer Si/SiGe wire samples with different etching depths was investigated systematically by means of grazing incidence diffraction (GID). The samples were patterned by holographic lithography and reactive ion etching from a Si/SiGe superlattice grown by molecular beam epitaxy. Scanning electron microscopy and atomic force microscopy were employed to obtain information on the shape of the wires. The inhomogeneous strain distribution in the etched wires and in the non-etched part of the multilayers was derived by means of finite element calculations which were used as an input for simulations of the scattered X-ray intensities in depth dependent GID. The theoretical calculations for the scattered intensities are based on distorted-wave Born approximation. The unperturbed scattering potential was chosen with a reduced optical density corresponding to the ratio of wire width and wire period, in order to reflect the main interaction between the incident X-rays and the patterned samples. The calculations are in good agreement with the experimental data demonstrating the variation of strain relaxation with depth

  7. Capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator

    International Nuclear Information System (INIS)

    Kim, Tae-Hyun; Park, Jea-Gun

    2013-01-01

    We investigated the combined effect of the strained Si channel and hole confinement on the memory margin enhancement for a capacitor-less memory cell fabricated on nano-scale strained Si on a relaxed SiGe layer-on-insulator (ε-Si SGOI). The memory margin for the ε-Si SGOI capacitor-less memory cell was higher than that of the memory cell fabricated on an unstrained Si-on-insulator (SOI) and increased with increasing Ge concentration of the relaxed SiGe layer; i.e. the memory margin for the ε-Si SGOI capacitor-less memory cell (138.6 µA) at a 32 at% Ge concentration was 3.3 times higher than the SOI capacitor-less memory cell (43 µA). (paper)

  8. Effect of strain on bond-specific reaction kinetics during the oxidation of H-terminated (111) Si

    International Nuclear Information System (INIS)

    Gokce, Bilal; Aspnes, David E.; Gundogdu, Kenan

    2011-01-01

    Although strain is used in semiconductor technology for manipulating optical, electronic, and chemical properties of semiconductors, the understanding of the microscopic phenomena that are affected or influenced by strain is still incomplete. Second-harmonic generation data obtained during the air oxidation of H-terminated (111) Si reveal the effect of compressive strain on this chemical reaction. Even small amounts of strain manipulate the reaction kinetics of surface bonds significantly, with tensile strain enhancing oxidation and compressive strain retarding it. This dramatic change suggests a strain-driven charge transfer mechanism between Si-H up bonds and Si-Si back bonds in the outer layer of Si atoms.

  9. Monte-Carlo investigation of in-plane electron transport in tensile strained Si and Si{_{1-y}}C{_y} (y {leq 0.03})

    Science.gov (United States)

    Dollfus, Ph.; Galdin, S.; Hesto, P.

    1999-07-01

    Electron transport properties in tensile strained Si-based materials are theoretically analyzed using Monte-Carlo calculation. We focus our interest on in-plane transport in Si and Si{1-y}Cy (yleq 0.03), grown respectively on Effect-Transistor application. In comparison with unstrained Si, the tensile strain effect is shown to be very attractive in Si: drift mobilities greater than 3000 cm^2/Vs are obtained at 300 K for a Ge fraction mole of 0.2 in the pseudo-substrate. In the Si{1-y}Cy/Si system, that does not need any pseudo-substrate, the beneficial strain effect on transport is counterbalanced by the alloy scattering whose influence on mobility is studied. If the alloy potential is greater than about 1 eV, the advantage of strain-induced reduction of effective mass is lost in terms of stationary transport performance at 300 K.

  10. Generation of uniaxial tensile strain of over 1% on a Ge substrate for short-channel strained Ge n-type Metal–Insulator–Semiconductor Field-Effect Transistors with SiGe stressors

    International Nuclear Information System (INIS)

    Moriyama, Yoshihiko; Kamimuta, Yuuichi; Ikeda, Keiji; Tezuka, Tsutomu

    2012-01-01

    Tensile strain of over 1% in Ge stripes sandwiched between a pair of SiGe source-drain stressors was demonstrated. The Metal–Oxide–Semiconductor Field-Effect Transistor (MOSFET)-like structures were fabricated on a (001)-Ge substrate having SiO 2 dummy-gate stripes with widths down to 26 nm. Recess-regions adjacent to the dummy-gate stripes were formed by an anisotropic wet etching technique. A damage-free and well-controlled anisotropic wet etching process is developed in order to avoid plasma-induced damage during a conventional Reactive-ion Etching process. The SiGe stressors were epitaxially grown on the recesses to simulate strained Ge n-channel Metal–Insulator–Semiconductor Field-Effect Transistors (MISFETs) having high electron mobility. A micro-Raman spectroscopy measurement revealed tensile strain in the narrow Ge regions which became higher for narrower regions. Tensile strain of up to 1.2% was evaluated from the measurement under an assumption of uniaxial strain configuration. These results strongly suggest that higher electron mobility than the upper limit for a Si-MOSFET is obtainable in short-channel strained Ge-nMISFETs with the embedded SiGe stressors.

  11. Enhanced Electron Mobility in Nonplanar Tensile Strained Si Epitaxially Grown on SixGe1-x Nanowires.

    Science.gov (United States)

    Wen, Feng; Tutuc, Emanuel

    2018-01-10

    We report the growth and characterization of epitaxial, coherently strained Si x Ge 1-x -Si core-shell nanowire heterostructure through vapor-liquid-solid growth mechanism for the Si x Ge 1-x core, followed by an in situ ultrahigh-vacuum chemical vapor deposition for the Si shell. Raman spectra acquired from individual nanowire reveal the Si-Si, Si-Ge, and Ge-Ge modes of the Si x Ge 1-x core and the Si-Si mode of the shell. Because of the compressive (tensile) strain induced by lattice mismatch, the core (shell) Raman modes are blue (red) shifted compared to those of unstrained bare Si x Ge 1-x (Si) nanowires, in good agreement with values calculated using continuum elasticity model coupled with lattice dynamic theory. A large tensile strain of up to 2.3% is achieved in the Si shell, which is expected to provide quantum confinement for electrons due to a positive core-to-shell conduction band offset. We demonstrate n-type metal-oxide-semiconductor field-effect transistors using Si x Ge 1-x -Si core-shell nanowires as channel and observe a 40% enhancement of the average electron mobility compared to control devices using Si nanowires due to an increased electron mobility in the tensile-strained Si shell.

  12. Model-independent determination of the strain distribution for a SiGe/Si superlattice using X-ray diffractometry data

    International Nuclear Information System (INIS)

    Nikulin, A.Y.; Stevenson, A.W.; Hashizume, H.

    1996-01-01

    The strain distribution in a Si 0.9 Ge 0.l/Si superlattice is determined from x-ray diffractometry data with a 25 Angstroms depth resolution. A logarithmic dispersion relation is used to determine the phase of the structure factor with information available a priori on the sample structure. Phase information is obtained from the observed reflection intensity via a logarithmic Hilbert transform and the a priori information is used to select the zeros to be included in the solution. The reconstructed lattice strain profile clearly resolves SiGe and Si layers of 90 - 160 Angstroms thickness alternately stacked on a silicon substrate. The SiGe layer is found to have a lattice spacing in the surface-normal direction significantly smaller than predicted by Vegard's law. The result is supported by very good agreement of the simulated rocking curve profile with the observation. 18 refs., 1 tab., 5 figs

  13. Highly effective strain-induced band-engineering of (111) oriented, direct-gap GeSn crystallized on amorphous SiO{sub 2} layers

    Energy Technology Data Exchange (ETDEWEB)

    Li, Haofeng; Wang, Xiaoxin; Liu, Jifeng, E-mail: Jifeng.Liu@dartmouth.edu [Thayer School of Engineering, Dartmouth College, 14 Engineering Drive, Hanover, New Hampshire 03755 (United States)

    2016-03-07

    We demonstrate highly effective strain-induced band-engineering of (111) oriented direct-gap Ge{sub 1−x}Sn{sub x} thin films (0.074 < x < 0.085) crystallized on amorphous SiO{sub 2} towards 3D photonic integration. Due to a much smaller Poisson's ratio for (111) vs. (100) orientation, 0.44% thermally induced biaxial tensile strain reduces the direct-gap by 0.125 eV towards enhanced direct-gap semiconductor properties, twice as effective as the tensile strain in Ge(100) films. Correspondingly, the optical response is extended to λ = 2.8 μm. A dilatational deformation potential of a = −12.8 ± 0.8 eV is derived. These GeSn films also demonstrate high thermal stability, offering both excellent direct-gap optoelectronic properties and fabrication/operation robustness for integrated photonics.

  14. Reliability study of ultra-thin gate oxides on strained-Si/SiGe MOS structures

    International Nuclear Information System (INIS)

    Varzgar, John B.; Kanoun, Mehdi; Uppal, Suresh; Chattopadhyay, Sanatan; Tsang, Yuk Lun; Escobedo-Cousins, Enrique; Olsen, Sarah H.; O'Neill, Anthony; Hellstroem, Per-Erik; Edholm, Jonas; Ostling, Mikael; Lyutovich, Klara; Oehme, Michael; Kasper, Erich

    2006-01-01

    The reliability of gate oxides on bulk Si and strained Si (s-Si) has been evaluated using constant voltage stressing (CVS) to investigate their breakdown characteristics. The s-Si architectures exhibit a shorter life time compared to that of bulk Si, which is attributed to higher bulk oxide charges (Q ox ) and increased surface roughness in the s-Si structures. The gate oxide in the s-Si structure exhibits a hard breakdown (HBD) at 1.9 x 10 4 s, whereas HBD is not observed in bulk Si up to a measurement period of 1.44 x 10 5 s. The shorter lifetime of the s-Si gate oxide is attributed to a larger injected charge (Q inj ) compared to Q inj in bulk Si. Current-voltage (I-V) measurements for bulk Si samples at different stress intervals show an increase in stress induced leakage current (SILC) of two orders in the low voltage regime from zero stress time to up to 5 x 10 4 s. In contrast, superior performance enhancements in terms of drain current, maximum transconductance and effective channel mobility are observed in s-Si MOSFET devices compared to bulk Si. The results from this study indicate that further improvement in gate oxide reliability is needed to exploit the sustained performance enhancement of s-Si devices over bulk Si

  15. Relaxation of a strained 3C-SiC(1 1 1) thin film on silicon by He+ and O+ ion beam defect engineering

    International Nuclear Information System (INIS)

    Häberlen, M.; Murphy, B.; Stritzker, B.; Lindner, J.K.N.

    2012-01-01

    In this paper we report on the successful reduction of tensile strain in a thin strained ion-beam synthesized 3C-SiC(1 1 1) layer on silicon. The creation of a near-interface defect structure consisting of nanometric voids and stacking fault type defects by He ion implantation and subsequent annealing yields significant relaxation in the top SiC film. The microstructure of the defect layer is studied by transmission electron microscopy, and the strain state of the 3C-SiC layer was studied by high-resolution X-ray diffraction in a parallel beam configuration. Typical process conditions for the growth of GaN films on the SiC layer were emulated by high temperature treatments in a rapid thermal annealer or a quartz tube furnace. It is found that prolonged annealing at high temperatures leads to ripening of the voids and to a weaker reduction of the tensile strain. It is shown that this problem can be overcome by the co-implantation of oxygen ions to form highly thermally stable void/extended defect structures.

  16. Oblique roughness replication in strained SiGe/Si multilayers

    NARCIS (Netherlands)

    Holy, V.; Darhuber, A.A.; Stangl, J.; Bauer, G.; Nützel, J.-F.; Abstreiter, G.

    1998-01-01

    The replication of the interface roughness in SiGe/Si multilayers grown on miscut Si(001) substrates has been studied by means of x-ray reflectivity reciprocal space mapping. The interface profiles were found to be highly correlated and the direction of the maximal replication was inclined with

  17. The Effects of Annealing Temperatures on Composition and Strain in Si x Ge1-x Obtained by Melting Growth of Electrodeposited Ge on Si (100).

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-02-24

    The effects of annealing temperatures on composition and strain in Si x Ge 1- x , obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm -1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of Si x Ge 1- x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  18. Strain-symmetrized Si/SiGe multi-quantum well structures grown by molecular beam epitaxy for intersubband engineering

    International Nuclear Information System (INIS)

    Zhao, M.; Karim, A.; Ni, W.-X.; Pidgeon, C.R.; Phillips, P.J.; Carder, D.; Murdin, B.N.; Fromherz, T.; Paul, D.J.

    2006-01-01

    Three strain-symmetrized Si/SiGe multi-quantum well structures, designed for probing the carrier lifetime of intrawell intersubband transitions between heavy hole 1 (HH1) and light hole 1 (LH1) states with transition energies below the optical phonon energy, were grown by molecular beam epitaxy at low temperature on fully relaxed SiGe virtual substrates. The grown structures were characterized by using various experimental techniques, showing a high crystalline quality and very precise growth control. The lifetime of the LH1 excited state was determined directly with pump-probe spectroscopy. The measurements indicated an increase of the lifetime by a factor of ∼2 due to the increasingly unconfined LH1 state, which agreed very well with the design. It also showed a very long lifetime of several hundred picoseconds for the holes excited out of the well to transit back to the well through a diagonal process

  19. Analysis of Strain and Intermixing in a Single Layer Ge/Si dots using polarized Raman Spectroscopy

    OpenAIRE

    PEROVA, TANIA; MOORE, ROBERT

    2006-01-01

    PUBLISHED The built-in strain and composition of as-grown and Si-capped single layers of Ge?Si dots grown at various temperatures (460?800 ?C) are studied by a comparative analysis of the Ge-Ge and Si-Ge modes in the polarized Raman spectra of the dots. A pronounced reduction of the strain and Ge content in the dots after deposition of the cap layer at low temperatures is observed, indicating that strain-induced Si diffusion from the cap layer is occurring. For large dots grown at 700?800...

  20. A study of channeling patterns from strained Si1-xGex/Si bilayers close to (011) axes

    NARCIS (Netherlands)

    Breese, MBH; Smulders, PJM

    This paper characterises the angular intensity distribution of MeV protons transmitted through strained Si1-xGex/Si bilayers close to the axis. The ring-like intensity distribution produced by the front layer axis when it is aligned close to the beam direction is projected either towards or away

  1. XRD analysis of strained Ge-SiGe heterostructures on relaxed SiGe graded buffers grown by hybrid epitaxy on Si(0 0 1) substrates

    International Nuclear Information System (INIS)

    Franco, N.; Barradas, N.P.; Alves, E.; Vallera, A.M.; Morris, R.J.H.; Mironov, O.A.; Parker, E.H.C.

    2005-01-01

    Ge/Si 1-x Ge x inverted modulation doped heterostructures with Ge channel thickness of 16 and 20 nm were grown by a method of hybrid epitaxy followed by ex situ annealing at 650 deg. C for p-HMOS application. The thicker layers of the virtual substrate (6000 nm graded SiGe up to x = 0.6 and 1000 nm uniform composition with x = 0.6) were produced by ultrahigh vacuum chemical vapor deposition (UHV-CVD) while the thinner, Si(2 nm)-SiGe(20 nm)-Ge-SiGe(15 nm + 5 nm B-doped + 20 nm) active layers were grown by low temperature solid-source (LT-SS) MBE at T = 350 deg. C. As-grown and annealed samples were measured by X-ray diffraction (XRD). Reciprocal space maps (RSMs) allowed us to determine non-destructively the precise composition (∼1%) and strain of the Ge channel, along with similar information regarding the other layers that made up the whole structure. Layer thickness was determined with complementary high-resolution Rutherford backscattering (RBS) experiments

  2. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    Science.gov (United States)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  3. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Isa, F.; Isella, G.; Salvalaglio, M.; Miglio, L.; Wewior, L.; Fuster, D.; Alén, B.; Richter, M.; Uccelli, E.; Niedermann, P.; Neels, A.; Dommann, A.; Mancarella, F.

    2014-01-01

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images

  4. Strain relaxation of GaAs/Ge crystals on patterned Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Taboada, A. G., E-mail: gonzalez@phys.ethz.ch; Kreiliger, T.; Falub, C. V.; Känel, H. von [Laboratory for Solid State Physics, ETH Zürich, Otto-Stern-Weg 1, CH-8093 Zürich (Switzerland); Isa, F.; Isella, G. [L-NESS, Department of Physics, Politecnico di Milano, via Anzani 42, I-22100 Como (Italy); Salvalaglio, M.; Miglio, L. [L-NESS, Department of Materials Science, Università di Milano-Bicocca, via Cozzi 55, I-20125 Milano (Italy); Wewior, L.; Fuster, D.; Alén, B. [IMM, Instituto de Microelectrónica de Madrid (CNM, CSIC), C/Isaac Newton 8, E-28760 Tres Cantos, Madrid (Spain); Richter, M.; Uccelli, E. [Functional Materials Group, IBM Research-Zürich, Säumerstrasse 4, CH-8803 Rüschlikon (Switzerland); Niedermann, P.; Neels, A.; Dommann, A. [Centre Suisse d' Electronique et Microtechnique, Jaquet-Droz 1, CH-2002 Neuchatel (Switzerland); Mancarella, F. [CNR-IMM of Bologna, Via Gobetti 101, I-40129 Bologna (Italy)

    2014-01-13

    We report on the mask-less integration of GaAs crystals several microns in size on patterned Si substrates by metal organic vapor phase epitaxy. The lattice parameter mismatch is bridged by first growing 2-μm-tall intermediate Ge mesas on 8-μm-tall Si pillars by low-energy plasma enhanced chemical vapor deposition. We investigate the morphological evolution of the GaAs crystals towards full pyramids exhibiting energetically stable (111) facets with decreasing Si pillar size. The release of the strain induced by the mismatch of thermal expansion coefficients in the GaAs crystals has been studied by X-ray diffraction and photoluminescence measurements. The strain release mechanism is discussed within the framework of linear elasticity theory by Finite Element Method simulations, based on realistic geometries extracted from scanning electron microscopy images.

  5. Thermoelectric energy conversion in layered structures with strained Ge quantum dots grown on Si surfaces

    Science.gov (United States)

    Korotchenkov, Oleg; Nadtochiy, Andriy; Kuryliuk, Vasyl; Wang, Chin-Chi; Li, Pei-Wen; Cantarero, Andres

    2014-03-01

    The efficiency of the energy conversion devices depends in many ways on the materials used and various emerging cost-effective nanomaterials have promised huge potentials in highly efficient energy conversion. Here we show that thermoelectric voltage can be enhanced by a factor of 3 using layer-cake growth of Ge quantum dots through thermal oxidation of SiGe layers stacked in SiO2/Si3N4 multilayer structure. The key to achieving this behavior has been to strain the Ge/Si interface by Ge dots migrating to Si substrate. Calculations taking into account the carrier trapping in the dot with a quantum transmission into the neighboring dot show satisfactory agreement with experiments above ≈200 K. The results may be of interest for improving the functionality of thermoelectric devices based on Ge/Si.

  6. Atomic-scale Ge diffusion in strained Si revealed by quantitative scanning transmission electron microscopy

    Science.gov (United States)

    Radtke, G.; Favre, L.; Couillard, M.; Amiard, G.; Berbezier, I.; Botton, G. A.

    2013-05-01

    Aberration-corrected scanning transmission electron microscopy is employed to investigate the local chemistry in the vicinity of a Si0.8Ge0.2/Si interface grown by molecular-beam epitaxy. Atomic-resolution high-angle annular dark field contrast reveals the presence of a nonuniform diffusion of Ge from the substrate into the strained Si thin film. On the basis of multislice calculations, a model is proposed to quantify the experimental contrast, showing that the Ge concentration in the thin film reaches about 4% at the interface and decreases monotonically on a typical length scale of 10 nm. Diffusion occurring during the growth process itself therefore appears as a major factor limiting the abruptness of interfaces in the Si-Ge system.

  7. Thermomechanically induced residual strains in Al/SiCp metal-matrix composites

    DEFF Research Database (Denmark)

    Lorentzen, T.; Clarke, A.P.

    1998-01-01

    Residual lattice strains in the aluminium and SiC phases of F3S.20S extruded A359 20% SiC metal-matrix composite were measured by using neutron diffi action at room and elevated temperatures to monitor the effects of in situ uniaxial plastic deformations. The results are interpreted with referenc...

  8. Analytical modeling of subthreshold current and subthreshold swing of Gaussian-doped strained-Si-on-insulator MOSFETs

    International Nuclear Information System (INIS)

    Rawat, Gopal; Kumar, Sanjay; Goel, Ekta; Kumar, Mirgender; Jit, S.; Dubey, Sarvesh

    2014-01-01

    This paper presents the analytical modeling of subthreshold current and subthreshold swing of short-channel fully-depleted (FD) strained-Si-on-insulator (SSOI) MOSFETs having vertical Gaussian-like doping profile in the channel. The subthreshold current and subthreshold swing have been derived using the parabolic approximation method. In addition to the effect of strain on silicon layer, various other device parameters such as channel length (L), gate-oxide thickness (t ox ), strained-Si channel thickness (t s-Si ), peak doping concentration (N P ), project range (R p ) and straggle (σ p ) of the Gaussian profile have been considered while predicting the device characteristics. The present work may help to overcome the degradation in subthreshold characteristics with strain engineering. These subthreshold current and swing models provide valuable information for strained-Si MOSFET design. Accuracy of the proposed models is verified using the commercially available ATLAS™, a two-dimensional (2D) device simulator from SILVACO. (semiconductor devices)

  9. Tailoring the strain in Si nano-structures for defect-free epitaxial Ge over growth.

    Science.gov (United States)

    Zaumseil, P; Yamamoto, Y; Schubert, M A; Capellini, G; Skibitzki, O; Zoellner, M H; Schroeder, T

    2015-09-04

    We investigate the structural properties and strain state of Ge nano-structures selectively grown on Si pillars of about 60 nm diameter with different SiGe buffer layers. A matrix of TEOS SiO2 surrounding the Si nano-pillars causes a tensile strain in the top part at the growth temperature of the buffer that reduces the misfit and supports defect-free initial growth. Elastic relaxation plays the dominant role in the further increase of the buffer thickness and subsequent Ge deposition. This method leads to Ge nanostructures on Si that are free from misfit dislocations and other structural defects, which is not the case for direct Ge deposition on these pillar structures. The Ge content of the SiGe buffer is thereby not a critical parameter; it may vary over a relatively wide range.

  10. Strain-induced formation of fourfold symmetric SiGe quantum dot molecules.

    Science.gov (United States)

    Zinovyev, V A; Dvurechenskii, A V; Kuchinskaya, P A; Armbrister, V A

    2013-12-27

    The strain field distribution at the surface of a multilayer structure with disklike SiGe nanomounds formed by heteroepitaxy is exploited to arrange the symmetric quantum dot molecules typically consisting of four elongated quantum dots ordered along the [010] and [100] directions. The morphological transition from fourfold quantum dot molecules to continuous fortresslike quantum rings with an increasing amount of deposited Ge is revealed. We examine key mechanisms underlying the formation of lateral quantum dot molecules by using scanning tunneling microscopy and numerical calculations of the strain energy distribution on the top of disklike SiGe nanomounds. Experimental data are well described by a simple thermodynamic model based on the accurate evaluation of the strain dependent part of the surface chemical potential. The spatial arrangement of quantum dots inside molecules is attributed to the effect of elastic property anisotropy.

  11. Direct evidence of strain transfer for InAs island growth on compliant Si substrates

    Energy Technology Data Exchange (ETDEWEB)

    Marçal, L. A. B.; Magalhães-Paniago, R.; Malachias, Angelo, E-mail: angeloms@fisica.ufmg.br [Universidade Federal de Minas Gerais, Av. Antonio Carlos 6627, CEP 31270-901, Belo Horizonte (Brazil); Richard, M.-I. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Aix-Marseille University, IM2NP-CNRS, Faculté des Sciences de St Jérôme, 13397 Marseille (France); Cavallo, F. [Center for High Technology Materials, University of New Mexico, 1313 Goddard St., Albuquerque, New Mexico 87106 (United States); University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Lagally, M. G. [University of Wisconsin-Madison, 1500 Engineering Drive, Madison, Wisconsin 53706 (United States); Schmidt, O. G. [Institute for Integrative Nanosciences, IFW-Dresden, D-01171 Dresden (Germany); Schülli, T. Ü. [European Synchrotron (ESRF), ID01 beamline, CS 40220, 38043 Grenoble Cedex 9 (France); Deneke, Ch. [Laboratório Nacional de Nanotecnologia (LNNano/CNPEM), C.P. 6192, CEP 13083-970, Campinas (Brazil)

    2015-04-13

    Semiconductor heteroepitaxy on top of thin compliant layers has been explored as a path to make inorganic electronics mechanically flexible as well as to integrate materials that cannot be grown directly on rigid substrates. Here, we show direct evidences of strain transfer for InAs islands on freestanding Si thin films (7 nm). Synchrotron X-ray diffraction measurements using a beam size of 300 × 700 nm{sup 2} can directly probe the strain status of the compliant substrate underneath deposited islands. Using a recently developed diffraction mapping technique, three-dimensional reciprocal space maps were reconstructed around the Si (004) peak for specific illuminated positions of the sample. The strain retrieved was analyzed using continuous elasticity theory via Finite-element simulations. The comparison of experiment and simulations yields the amount of strain from the InAs islands, which is transferred to the compliant Si thin film.

  12. Technique for producing highly planar Si/SiO0.64Ge0.36/Si metal-oxide-semiconductor field effect transistor channels

    Science.gov (United States)

    Grasby, T. J.; Parry, C. P.; Phillips, P. J.; McGregor, B. M.; Morris, , R. J. H.; Braithwaite, G.; Whall, T. E.; Parker, E. H. C.; Hammond, R.; Knights, A. P.; Coleman, P. G.

    1999-03-01

    Si/Si0.64Ge0.36/Si heterostructures have been grown at low temperature (450 °C) to avoid the strain-induced roughening observed for growth temperatures of 550 °C and above. The electrical properties of these structures are poor, and thought to be associated with grown-in point defects as indicated in positron annihilation spectroscopy. However, after an in situ annealing procedure (800 °C for 30 min) the electrical properties dramatically improve, giving an optimum 4 K mobility of 2500 cm2 V-1 s-1 for a sheet density of 6.2×1011 cm-2. The low temperature growth yields highly planar interfaces, which are maintained after anneal as evidenced from transmission electron microscopy. This and secondary ion mass spectroscopy measurements demonstrate that the metastably strained alloy layer can endure the in situ anneal procedure necessary for enhanced electrical properties. Further studies have shown that the layers can also withstand a 120 min thermal oxidation at 800 °C, commensurate with metal-oxide-semiconductor device fabrication.

  13. Strain relaxation in epitaxial GaAs/Si (0 0 1) nanostructures

    Science.gov (United States)

    Kozak, Roksolana; Prieto, Ivan; Arroyo Rojas Dasilva, Yadira; Erni, Rolf; Skibitzki, Oliver; Capellini, Giovanni; Schroeder, Thomas; von Känel, Hans; Rossell, Marta D.

    2017-11-01

    Crystal defects, present in 100 nm GaAs nanocrystals grown by metal organic vapour phase epitaxy on top of (0 0 1)-oriented Si nanotips (with a tip opening 50-90 nm), have been studied by means of high-resolution aberration-corrected high-angle annular dark-field scanning transmission electron microscopy. The role of 60° perfect, 30° and 90° Shockley partial misfit dislocations (MDs) in the plastic strain relaxation of GaAs on Si is discussed. Formation conditions of stair-rod dislocations and coherent twin boundaries in the GaAs nanocrystals are explained. Also, although stacking faults are commonly observed, we show here that synthesis of GaAs nanocrystals with a minimum number of these defects is possible. On the other hand, from the number of MDs, we have to conclude that the GaAs nanoparticles are fully relaxed plastically, such that for the present tip sizes no substrate compliance can be observed.

  14. High-temperature elastic properties of in situ-reinforced Si3N4

    International Nuclear Information System (INIS)

    Swift, Geoffrey A.; Uestuendag, Ersan; Clausen, Bjoern; Bourke, Mark A.M.; Lin, H.-T.

    2003-01-01

    A high-temperature tensile stress study of a monolithic silicon nitride (Si 3 N 4 ) was performed with time-of-flight neutron diffraction. A dedicated engineering diffractometer was employed at temperatures reaching 1375 deg. C. Rietveld refinements of diffraction spectra allowed the determination of (1) the coefficient of thermal expansion tensor during heating and (2) lattice strains during loading. The stress-strain response of individual lattice reflections was used to calculate the single-crystal elastic stiffness tensor of Si 3 N 4 at 1375 deg. C via a self-consistent model

  15. Induced electrostatic confinement of electron gas in W-designed strain-compensated Si/Si1-x Ge x /Si type-II quantum wells

    International Nuclear Information System (INIS)

    Sfina, N.; Lazzari, J.-L.; Christol, P.; Cuminal, Y.; Said, M.

    2006-01-01

    We present a numerical modeling of the conduction- and the valence-band diagrams of W designed Si/Si 0.4 Ge 0.6 /Si type II quantum wells. These W structures, strain-compensated on relaxed Si 0.75 Ge 0.25 pseudo-substrates, are potentially interesting for emission and photo-detection around a 1.55μm wavelength. Two main features have been extrapolated by solving self-consistently Schroedinger and Poisson equations, taking into account the electrostatic attraction induced by carrier injection: (i) Coulomb attraction strongly modifies the band profiles and increases the electron probability density at the quantum well interfaces. (ii) The injected carrier concentration enhances the in-plane oscillator strength and the electron-hole wave-function overlap

  16. SPICE compatible analytical electron mobility model for biaxial strained-Si-MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Chaudhry, Amit; Sangwan, S. [UIET, Panjab University, Chandigarh (India); Roy, J. N., E-mail: amit_chaudhry01@yahoo.com [Solar Semiconductro Pvt. Ltd, Hyderabad (India)

    2011-05-15

    This paper describes an analytical model for bulk electron mobility in strained-Si layers as a function of strain. Phonon scattering, columbic scattering and surface roughness scattering are included to analyze the full mobility model. Analytical explicit calculations of all of the parameters to accurately estimate the electron mobility have been made. The results predict an increase in the electron mobility with the application of biaxial strain as also predicted from the basic theory of strain physics of metal oxide semiconductor (MOS) devices. The results have also been compared with numerically reported results and show good agreement. (semiconductor devices)

  17. SPICE compatible analytical electron mobility model for biaxial strained-Si-MOSFETs

    International Nuclear Information System (INIS)

    Chaudhry, Amit; Sangwan, S.; Roy, J. N.

    2011-01-01

    This paper describes an analytical model for bulk electron mobility in strained-Si layers as a function of strain. Phonon scattering, columbic scattering and surface roughness scattering are included to analyze the full mobility model. Analytical explicit calculations of all of the parameters to accurately estimate the electron mobility have been made. The results predict an increase in the electron mobility with the application of biaxial strain as also predicted from the basic theory of strain physics of metal oxide semiconductor (MOS) devices. The results have also been compared with numerically reported results and show good agreement. (semiconductor devices)

  18. Reduced Pressure-Chemical Vapour Deposition of Si/SiGe heterostructures for nanoelectronics

    International Nuclear Information System (INIS)

    Hartmann, J.M.; Andrieu, F.; Lafond, D.; Ernst, T.; Bogumilowicz, Y.; Delaye, V.; Weber, O.; Rouchon, D.; Papon, A.M.; Cherkashin, N.

    2008-01-01

    We have first of all quantified the impact of pressure on Si and SiGe growth kinetics. Definite growth rate and Ge concentration increases with the pressure have been evidenced at low temperatures (650-750 deg. C). By contrast, the high temperature (950-1050 deg. C) Si growth rate either increases or decreases with pressure (gaseous precursor depending). We have then described the selective epitaxial growth process we use to form Si or Si 0.7 Ge 0.3 :B raised sources and drains on ultra-thin patterned Silicon-On-Insulator (SOI) substrates. We have afterwards presented the specifics of SiGe virtual substrates and of the tensile-strained Si layers grown on top (used as templates for the elaboration of tensily strained-SOI wafers). The tensile strain, which can be tailored from 1.3 up to 3 GPa, leads to an electron mobility gain by a factor of 2 in n-Metal Oxide Semiconductor Field Effect Transistors (MOSFETs) built on top. High Ge content SiGe virtual substrates can also be used for the elaboration of compressively strained Ge channels, with impressive hole mobility gains (x9) compared to bulk Si. After that, we have described the main structural features of thick Ge layers grown directly on Si (that can be used as donor wafers for the elaboration of GeOI wafers or as the active medium of near infrared photo-detectors). Finally, we have shown how Si/SiGe multilayers can be used for the formation of high performance 3D devices such as multi-bridge channel or nano-beam gate-all-around FETs, the SiGe sacrificial layers being removed thanks to plasma dry etching, wet etching or in situ gaseous HCl etching

  19. Deformation mechanisms of bent Si nanowires governed by the sign and magnitude of strain

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Lihua, E-mail: wlh@bjut.edu.cn, E-mail: xdhan@bjut.edu.cn, E-mail: j.zou@uq.edu.au [Beijing Key Lab of Microstructure and Property of Advanced Material, Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Materials Engineering, The University of Queensland, Brisbane, QLD 4072 (Australia); Kong, Deli; Xin, Tianjiao; Shu, Xinyu; Zheng, Kun; Xiao, Lirong; Sha, Xuechao; Lu, Yan; Han, Xiaodong, E-mail: wlh@bjut.edu.cn, E-mail: xdhan@bjut.edu.cn, E-mail: j.zou@uq.edu.au [Beijing Key Lab of Microstructure and Property of Advanced Material, Institute of Microstructure and Properties of Advanced Materials, Beijing University of Technology, Beijing 100124 (China); Zhang, Ze [Department of Materials Science, Zhejiang University, Hangzhou 310008 (China); Zou, Jin, E-mail: wlh@bjut.edu.cn, E-mail: xdhan@bjut.edu.cn, E-mail: j.zou@uq.edu.au [Materials Engineering, The University of Queensland, Brisbane, QLD 4072 (Australia); Centre for Microscopy and Microanalysis, The University of Queensland, Brisbane, QLD 4072 (Australia)

    2016-04-11

    In this study, the deformation mechanisms of bent Si nanowires are investigated at the atomic scale with bending strain up to 12.8%. The sign and magnitude of the applied strain are found to govern their deformation mechanisms, in which the dislocation types (full or partial dislocations) can be affected by the sign (tensile or compressive) and magnitude of the applied strain. In the early stages of bending, plastic deformation is controlled by 60° full dislocations. As the bending increases, Lomer dislocations can be frequently observed. When the strain increases to a significant level, 90° partial dislocations induced from the tensile surfaces of the bent nanowires are observed. This study provides a deeper understanding of the effect of the sign and magnitude of the bending strain on the deformation mechanisms in bent Si nanowires.

  20. Strain-softening behavior of an Fe-6.5 wt%Si alloy during warm deformation and its applications

    International Nuclear Information System (INIS)

    Fu Huadong; Zhang Zhihao; Yang Qiang; Xie Jianxin

    2011-01-01

    Research highlights: → An Fe-6.5 wt%Si alloy exhibits strain-softening behavior after large deformation. → The decrease of the order degree is responsible for the strain-softening behavior. → The strain-softening behavior of Fe-6.5 wt%Si alloy can be applied in cold rolling. → An Fe-6.5 wt%Si thin strip with thickness of 0.20 mm is fabricated by cold rolling. - Abstract: An Fe-6.5 wt%Si alloy with columnar grains was compressed at a temperature below its recrystallization temperature. The Vickers hardness and structure of the alloy before and after deformation were investigated. The results showed that with an increase in the degree of deformation, Vickers hardness of the alloy initially increased rapidly and then decreased slowly, indicating that the alloy had a strain-softening behavior after a large deformation. Meanwhile, the work-hardening exponent of the alloy decreased significantly. Transmission electron microscopy confirmed that the decrease of the order degree was responsible for the strain-softening behavior of the deformed alloy. Applying its softening behavior, the Fe-6.5 wt%Si alloy with columnar grains was rolled at 400 deg. C and then at room temperature. An Fe-6.5 wt%Si thin strip with thickness of 0.20 mm was fabricated. The surface of the strip was bright and had no obvious edge cracks.

  1. Growth of light-emitting SiGe heterostructures on strained silicon-on-insulator substrates with a thin oxide layer

    Energy Technology Data Exchange (ETDEWEB)

    Baidakova, N. A., E-mail: banatale@ipmras.ru [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Bobrov, A. I. [University of Nizhny Novgorod (Russian Federation); Drozdov, M. N.; Novikov, A. V. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation); Pavlov, D. A. [University of Nizhny Novgorod (Russian Federation); Shaleev, M. V.; Yunin, P. A.; Yurasov, D. V.; Krasilnik, Z. F. [Russian Academy of Sciences, Institute for Physics of Microstructures (Russian Federation)

    2015-08-15

    The possibility of using substrates based on “strained silicon on insulator” structures with a thin (25 nm) buried oxide layer for the growth of light-emitting SiGe structures is studied. It is shown that, in contrast to “strained silicon on insulator” substrates with a thick (hundreds of nanometers) oxide layer, the temperature stability of substrates with a thin oxide is much lower. Methods for the chemical and thermal cleaning of the surface of such substrates, which make it possible to both retain the elastic stresses in the thin Si layer on the oxide and provide cleaning of the surface from contaminating impurities, are perfecte. It is demonstrated that it is possible to use the method of molecular-beam epitaxy to grow light-emitting SiGe structures of high crystalline quality on such substrates.

  2. Characterization of carrier transport properties in strained crystalline Si wall-like structures in the quasi-quantum regime

    Energy Technology Data Exchange (ETDEWEB)

    Mayberry, C. S.; Huang, Danhong, E-mail: danhong.huang@us.af.mil; Kouhestani, C. [Air Force Research Laboratory, Space Vehicles Directorate, Kirtland Air Force Base, New Mexico 87117 (United States); Balakrishnan, G. [Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); Islam, N. [Department of Electrical and Computer Engineering, University of Missouri-Columbia, Columbia, Missouri 65211 (United States); Brueck, S. R. J. [Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States); Department of Physics and Astronomy, University of New Mexico, Albuquerque, New Mexico 87106 (United States); Sharma, A. K. [Air Force Research Laboratory, Space Vehicles Directorate, Kirtland Air Force Base, New Mexico 87117 (United States); Department of Electrical and Computer Engineering, University of New Mexico, Albuquerque, New Mexico 87106 (United States)

    2015-10-07

    We report the transport characteristics of both electrons and holes through narrow constricted crystalline Si “wall-like” long-channels that were surrounded by a thermally grown SiO{sub 2} layer. The strained buffering depth inside the Si region (due to Si/SiO{sub 2} interfacial lattice mismatch) is where scattering is seen to enhance some modes of the carrier-lattice interaction, while suppressing others, thereby changing the relative value of the effective masses of both electrons and holes, as compared to bulk Si. In the narrowest wall devices, a considerable increase in conductivity was observed as a result of higher carrier mobilities due to lateral constriction and strain. The strain effects, which include the reversal splitting of light- and heavy-hole bands as well as the decrease of conduction-band effective mass by reduced Si bandgap energy, are formulated in our microscopic model for explaining the experimentally observed enhancements in both conduction- and valence-band mobilities with reduced Si wall thickness. Also, the enhancements of the valence-band and conduction-band mobilities are found to be associated with different aspects of theoretical model.

  3. Single-fabrication-step Ge nanosphere/SiO2/SiGe heterostructures: a key enabler for realizing Ge MOS devices

    Science.gov (United States)

    Liao, P. H.; Peng, K. P.; Lin, H. C.; George, T.; Li, P. W.

    2018-05-01

    We report channel and strain engineering of self-organized, gate-stacking heterostructures comprising Ge-nanosphere gate/SiO2/SiGe-channels. An exquisitely-controlled dynamic balance between the concentrations of oxygen, Si, and Ge interstitials was effectively exploited to simultaneously create these heterostructures in a single oxidation step. Process-controlled tunability of the channel length (5–95 nm diameters for the Ge-nanospheres), gate oxide thickness (2.5–4.8 nm), as well as crystal orientation, chemical composition and strain engineering of the SiGe-channel was achieved. Single-crystalline (100) Si1‑x Ge x shells with Ge content as high as x = 0.85 and with a compressive strain of 3%, as well as (110) Si1‑x Ge x shells with Ge content of x = 0.35 and corresponding compressive strain of 1.5% were achieved. For each crystal orientation, our high Ge-content, highly-stressed SiGe shells feature a high degree of crystallinity and thus, provide a core ‘building block’ required for the fabrication of Ge-based MOS devices.

  4. On the compliant behaviour of free-standing Si nanostructures on Si(001) for Ge nanoheteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Kozlowski, Grzegorz

    2012-04-24

    Selective chemical vapor deposition Ge heteroepitaxy approaches for high quality Ge nanostructure growth with reasonable thermal budget must be developed for local Ge photonic module integration. A promising vision is offered by the compliant substrate effects within nanometer scale Ge/Si heteroepitaxial structures. Here, in contrast to the classical Ge deposition on bulk Si substrates, the thermal and lattice mismatch strain energy accumulated in the Ge epilayer is partially shifted to the free-standing Si nanostructure. This strain partitioning phenomenon is at the very heart of the nanoheteroepitaxy theory (NHE) and, if strain energy levels are correctly balanced, offers the vision to grow defect-free nanostructures of lattice mismatched semiconductors on Si. In case of the Ge/Si heterosystem with a lattice mismatch of 4.2%, the strain partitioning phenomenon is expected to be triggered when free-standing Si nanopillars with the width of 50 nm and below are used. In order to experimentally verify NHE with its compliant substrate effects, a set of free-standing Ge/Si nanostructures with diameter ranging from 150 to 50 nm were fabricated and investigated. The main limitation corresponds to a simultaneous detection of (a) the strain partitioning phenomenon between Ge and Si and (b) the absence of defects on the nano-scale. In this respect, synchrotron-based grazing incidence X-ray diffraction was applied to study the epitaxial relationship, defect and strain characteristics with high resolution and sensitivity in a non-destructive way. Raman spectroscopy supported by finite element method calculations were used to investigate the strain distribution within a single Ge/Si nanostructure. Special focus was devoted to transmission electron microscopy to determine the quality of the Ge epilayer. It was found, that although high quality Ge nanoclusters can be achieved by thermal annealing on Si pillars bigger than 50 nm in width, no proof of strain partitioning

  5. Effect of addition of V and C on strain recovery characteristics in Fe-Mn-Si alloy

    International Nuclear Information System (INIS)

    Lin Chengxin; Wang Guixin; Wu Yandong; Liu Qingsuo; Zhang Jianjun

    2006-01-01

    Shape recoverable strain, recovery stress and low-temperature stress relaxation characteristics in an Fe-17Mn-5Si-10Cr-4Ni (0.08C) alloy and an Fe-17Mn-2Cr-5Si-2Ni-1V (0.23C) alloy have been studied by means of X-ray diffraction, transmission electron microscopy and measurement of recoverable strain and recovery stress. The amount of stress-induced ε martensite under tensile deformation at room temperature, recoverable strain and recovery stress are increased obviously with addition V and C in Fe-Mn-Si alloy, which is owing to the influence of addition V and C on strengthening austenitic matrix. Addition of V and C in Fe-Mn-Si alloy is evidently effective to reduce the degree of low-temperature stress relaxation, for the dispersed VC particles 50-180 nm in size precipitated during annealing restrain the stress induced martensitic transformation

  6. DotFETs : MOSFETs strained by a Single SiGE dot in a Low-Temperature ELA Technology

    NARCIS (Netherlands)

    Biasotto, C.

    2011-01-01

    The work presented in this thesis was performed in the context of the European Sixth Framework Program FP6 project “Disposable Dot Field Effect Transistor for High Speed Si Integrated Circuits”, referred to as the D-DotFET project. The project had the goal of realizing strain-enhanced mobility in

  7. The effects of drain scatterings on the electron transport properties of strained-Si diodes with ballistic and non-ballistic channels

    International Nuclear Information System (INIS)

    Yasenjan Ghupur; Mamtimin Geni; Mamatrishat Mamat; Abudukelimu Abudureheman

    2015-01-01

    The effects of multiple scattering on the electron transport properties in drain regions are numerically investigated for the cases of strained-Si diodes with or without scattering in the channel. The performance of non-ballistic (with scattering) channel Si-diodes is compared with that of ballistic (without scattering) channel Si-diodes, using the strain and scattering model. Our results show that the values of the electron velocity and the current in the strain model are higher than the respective values in the unstrained model, and the values of the velocity and the current in the ballistic channel model are higher than the respective values in the non-ballistic channel model. In the strain and scattering models, the effect of each carrier scattering mechanism on the performance of the Si-diodes is analyzed in the drain region. For the ballistic channel model, our results show that inter-valley optical phonon scattering improves device performance, whereas intra-valley acoustic phonon scattering degrades device performance. For the strain model, our results imply that the larger energy splitting of the strained Si could suppress the inter-valley phonon scattering rate. In conclusion, for the drain region, investigation of the strained-Si and scattering mechanisms are necessary, in order to improve the performance of nanoscale ballistic regime devices. (paper)

  8. Anomalous radial and angular strain relaxation around dilute p-, isoelectronic-, and n-type dopants in Si crystal

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Mingshu [School of Physical Sciences, University of Science and Technology of China, Hefei, Anhui Province 230026 (China); Dong, Juncai, E-mail: dongjc@ihep.ac.cn [Beijing Synchrotron Radiation Facility, Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049 (China); Chen, Dongliang [Beijing Synchrotron Radiation Facility, Institute of High Energy Physics, Chinese Academy of Sciences, Beijing 100049 (China)

    2017-02-01

    Doping is widely applied in yielding desirable properties and functions in silicon technology; thus, fully understanding the relaxation mechanism for lattice-mismatch strain is of fundamental importance. Here we systematically study the local lattice distortion near dilute IIIA-, IVA-, and VA-group substitutional dopants in Si crystal using density functional theory, and anomalous radial and angular strain relaxation modes are first revealed. Both the nearest-neighbor (NN) bond-distances and the tetrahedral bond-angles are found to exhibit completely opposite dependence on the electronic configurations for the low Z (Z<26) and high Z (Z>26) dopants. More surprisingly, negative and positive angular shifts for the second NN twelve Si2 atoms are unveiled surrounding the p- and n-type dopants, respectively. While electron localization function shows that the doped hole and electron are highly localized near the dopants, hence being responsible for the abnormal angular shifts, a universal radial strain relaxation mechanism dominated by a competition of the Coulomb interactions among the ion-core, bond-charge, and the localized hole or electron is also proposed. These findings may prove to be instrumental in precise design of silicon-based solotronics.

  9. A benchmark of co-flow and cyclic deposition/etch approaches for the selective epitaxial growth of tensile-strained Si:P

    Science.gov (United States)

    Hartmann, J. M.; Veillerot, M.; Prévitali, B.

    2017-10-01

    We have compared co-flow and cyclic deposition/etch processes for the selective epitaxial growth of Si:P layers. High growth rates, relatively low resistivities and significant amounts of tensile strain (up to 10 nm min-1, 0.55 mOhm cm and a strain equivalent to 1.06% of substitutional C in Si:C layers) were obtained at 700 °C, 760 Torr with a co-flow approach and a SiH2Cl2 + PH3 + HCl chemistry. This approach was successfully used to thicken the sources and drains regions of n-type fin-shaped Field Effect Transistors. Meanwhile, the (Si2H6 + PH3/HCl + GeH4) CDE process evaluated yielded at 600 °C, 80 Torr even lower resistivities (0.4 mOhm cm, typically), at the cost however of the tensile strain which was lost due to (i) the incorporation of Ge atoms (1.5%, typically) into the lattice during the selective etch steps and (ii) a reduction by a factor of two of the P atomic concentration in CDE layers compared to that in layers grown in a single step (5 × 1020 cm-3 compared to 1021 cm-3).

  10. DotFETs: MOSFETs strained by a Single SiGE dot in a Low-Temperature ELA Technology

    OpenAIRE

    Biasotto, C.

    2011-01-01

    The work presented in this thesis was performed in the context of the European Sixth Framework Program FP6 project “Disposable Dot Field Effect Transistor for High Speed Si Integrated Circuits”, referred to as the D-DotFET project. The project had the goal of realizing strain-enhanced mobility in CMOS transistors by transferring strain from a self-assembled germanium dot to the channel of a transistor fabricated above the dot. The initial idea was to dispose of the Ge dot underneath the chann...

  11. High-dose MeV electron irradiation of Si-SiO2 structures implanted with high doses Si+

    Science.gov (United States)

    Kaschieva, S.; Angelov, Ch; Dmitriev, S. N.

    2018-03-01

    The influence was studied of 22-MeV electron irradiation on Si-SiO2 structures implanted with high-fluence Si+ ions. Our earlier works demonstrated that Si redistribution is observed in Si+-ion-implanted Si-SiO2 structures (after MeV electron irradiation) only in the case when ion implantation is carried out with a higher fluence (1016 cm-2). We focused our attention on the interaction of high-dose MeV electron irradiation (6.0×1016 cm-2) with n-Si-SiO2 structures implanted with Si+ ions (fluence 5.4×1016 cm-2 of the same order magnitude). The redistribution of both oxygen and silicon atoms in the implanted Si-SiO2 samples after MeV electron irradiation was studied by Rutherford back-scattering (RBS) spectroscopy in combination with a channeling technique (RBS/C). Our results demonstrated that the redistribution of oxygen and silicon atoms in the implanted samples reaches saturation after these high doses of MeV electron irradiation. The transformation of amorphous SiO2 surface into crystalline Si nanostructures (after MeV electron irradiation) was evidenced by atomic force microscopy (AFM). Silicon nanocrystals are formed on the SiO2 surface after MeV electron irradiation. The shape and number of the Si nanocrystals on the SiO2 surface depend on the MeV electron irradiation, while their size increases with the dose. The mean Si nanocrystals height is 16-20 nm after irradiation with MeV electrons at the dose of 6.0×1016 cm-2.

  12. A Combined Precipitation, Yield Stress, and Work Hardening Model for Al-Mg-Si Alloys Incorporating the Effects of Strain Rate and Temperature

    Science.gov (United States)

    Myhr, Ole Runar; Hopperstad, Odd Sture; Børvik, Tore

    2018-05-01

    In this study, a combined precipitation, yield strength, and work hardening model for Al-Mg-Si alloys known as NaMo has been further developed to include the effects of strain rate and temperature on the resulting stress-strain behavior. The extension of the model is based on a comprehensive experimental database, where thermomechanical data for three different Al-Mg-Si alloys are available. In the tests, the temperature was varied between 20 °C and 350 °C with strain rates ranging from 10-6 to 750 s-1 using ordinary tension tests for low strain rates and a split-Hopkinson tension bar system for high strain rates, respectively. This large span in temperatures and strain rates covers a broad range of industrial relevant problems from creep to impact loading. Based on the experimental data, a procedure for calibrating the different physical parameters of the model has been developed, starting with the simplest case of a stable precipitate structure and small plastic strains, from which basic kinetic data for obstacle limited dislocation glide were extracted. For larger strains, when work hardening becomes significant, the dynamic recovery was linked to the Zener-Hollomon parameter, again using a stable precipitate structure as a basis for calibration. Finally, the complex situation of concurrent work hardening and dynamic evolution of the precipitate structure was analyzed using a stepwise numerical solution algorithm where parameters representing the instantaneous state of the structure were used to calculate the corresponding instantaneous yield strength and work hardening rate. The model was demonstrated to exhibit a high degree of predictive power as documented by a good agreement between predictions and measurements, and it is deemed well suited for simulations of thermomechanical processing of Al-Mg-Si alloys where plastic deformation is carried out at various strain rates and temperatures.

  13. Si, Ge and SiGe wires for sensor application

    International Nuclear Information System (INIS)

    Druzhinin, A.A.; Khoverko, Yu.M.; Ostrovskii, I.P.; Nichkalo, S.I.; Nikolaeva, A.A.; Konopko, L.A.; Stich, I.

    2011-01-01

    Resistance and magnetoresistance of Si, Ge and Si-Ge micro- and nanowires were studied in temperature range 4,2-300 K at magnetic fields up to 14 T. The wires diameters range from 200 nm to 20 μm. Ga-In gates were created to wires and ohmic I-U characteristics were observed in all temperature range. It was found high elastic strain for Ge nanowires (of about 0,7%) as well as high magnitude of magnetoresistance (of about 250% at 14 T), which was used to design multifunctional sensor of simultaneous measurements of strain and magnetic field intensity. (authors)

  14. Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers

    International Nuclear Information System (INIS)

    Ghandi, R.; Kolahdouz, M.; Hallstedt, J.; Wise, R.; Wejtmans, Hans; Radamson, H.H.

    2008-01-01

    In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si 1-x Ge x (x = 0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers

  15. Effect of strain, substrate surface and growth rate on B-doping in selectively grown SiGe layers

    Energy Technology Data Exchange (ETDEWEB)

    Ghandi, R. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)], E-mail: ghandi@kth.se; Kolahdouz, M.; Hallstedt, J. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden); Wise, R.; Wejtmans, Hans [Texas Instrument, 13121 TI Boulevard, Dallas, Tx 75243 (United States); Radamson, H.H. [School of Information and Communication Technology, KTH (Royal Institute of Technology), Isafjordsg. 22-26, Electrum 229, 16640 Kista (Sweden)

    2008-11-03

    In this work, the role of strain and growth rate on boron incorporation in selective epitaxial growth (SEG) of B-doped Si{sub 1-x}Ge{sub x} (x = 0.15-0.25) layers in recessed or unprocessed (elevated) openings for source/drain applications in CMOS has been studied. A focus has been made on the strain distribution and B incorporation in SEG of SiGe layers.

  16. Magnetostriction-strain-induced enhancement and modulation of photovoltaic performance in Si-p-n/TbxDy1-xFe2 composite

    International Nuclear Information System (INIS)

    Wu, Zheng; Zhang, Yihe; Fang, Cong; Ma, Ke; Lin, He; Jia, Yanmin; Chen, Jianrong; Wang, Yu; Chan, Helen Lai Wa

    2014-01-01

    High photovoltaic efficiency is a key index in the application of silicon (Si) solar cells. In this study, a composite of a photovoltaic Si p-n junction solar cell and a magnetostrictive Tb x Dy 1-x Fe 2 alloy was fabricated. By utilizing the magnetostrictive strain to modulate the energy bandgap of Si, the open-circuit voltage and the maximum photovoltaic output power of the Si p-n junction solar cell could be enhanced by ∝12% and 9.1% under a dc magnetic field of ∝250 mT, respectively. The significantly enhanced photovoltaic performance and the simple fabrication process make the Si-p-n/Tb x Dy 1-x Fe 2 composite a promising material for high-efficiency solar cell devices. The structure of the proposed Si-p-n/Tb x Dy 1-x Fe 2 laminated composite. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  17. Towards highly sensitive strain sensing based on nanostructured materials

    International Nuclear Information System (INIS)

    Dao, Dzung Viet; Nakamura, Koichi; Sugiyama, Susumu; Bui, Tung Thanh; Dau, Van Thanh; Yamada, Takeo; Hata, Kenji

    2010-01-01

    This paper presents our recent theoretical and experimental study of piezo-effects in nanostructured materials for highly sensitive, high resolution mechanical sensors. The piezo-effects presented here include the piezoresistive effect in a silicon nanowire (SiNW) and single wall carbon nanotube (SWCNT) thin film, as well as the piezo-optic effect in a Si photonic crystal (PhC) nanocavity. Firstly, the electronic energy band structure of the silicon nanostructure is discussed and simulated by using the First-Principles Calculations method. The result showed a remarkably different energy band structure compared with that of bulk silicon. This difference in the electronic state will result in different physical, chemical, and therefore, sensing properties of silicon nanostructures. The piezoresistive effects of SiNW and SWCNT thin film were investigated experimentally. We found that, when the width of ( 110 ) p-type SiNW decreases from 500 to 35 nm, the piezoresistive effect increases by more than 60%. The longitudinal piezoresistive coefficient of SWCNT thin film was measured to be twice that of bulk p-type silicon. Finally, theoretical investigations of the piezo-optic effect in a PhC nanocavity based on Finite Difference Time Domain (FDTD) showed extremely high resolution strain sensing. These nanostructures were fabricated based on top-down nanofabrication technology. The achievements of this work are significant for highly sensitive, high resolution and miniaturized mechanical sensors

  18. In situ observation of low temperature growth of Ge on Si(1 1 1) by reflection high energy electron diffraction

    International Nuclear Information System (INIS)

    Grimm, Andreas; Fissel, Andreas; Bugiel, Eberhard; Wietler, Tobias F.

    2016-01-01

    Highlights: • Investigation of the initial stages of epitaxial growth of Ge on Si(1 1 1) in situ by RHEED. • Impact of growth temperature on strain evolution for temperatures between 200 °C and 400 °C. • Epitaxy with a high degree of structural perfection already at growth temperature of 200 °C. • Ordered interfacial dislocation networks already at 200 °C. • Tensile strain contribution of Si(1 1 1) 7 × 7-surface reconstruction to strain relaxation process for epitaxial growth of Ge. - Abstract: In this paper we investigate the initial stages of epitaxial growth of Ge on Si(1 1 1) and the impact of growth temperature on strain evolution in situ by reflection high energy electron diffraction (RHEED) for temperatures between 200 °C and 400 °C. The change in surface morphology from a flat wetting layer to subsequent islanding that is characteristic for Stranski–Krastanov growth is monitored by spot intensity analysis. The corresponding critical layer thickness is determined to 3.1 < d c < 3.4 ML. In situ monitoring of the strain relaxation process reveals a contribution of the Si(1 1 1) 7 × 7-surface reconstruction to the strain relaxation process. High resolution transmission electron microscopy confirms that the Ge islands exhibit a high degree of structural perfection and an ordered interfacial misfit dislocation network already at a growth temperature of 200 °C is established. The temperature dependency of island shape, density and height is characterized by atomic force microscopy and compared to the RHEED investigations.

  19. Surface Morphology Transformation Under High-Temperature Annealing of Ge Layers Deposited on Si(100).

    Science.gov (United States)

    Shklyaev, A A; Latyshev, A V

    2016-12-01

    We study the surface morphology and chemical composition of SiGe layers after their formation under high-temperature annealing at 800-1100 °C of 30-150 nm Ge layers deposited on Si(100) at 400-500 °C. It is found that the annealing leads to the appearance of the SiGe layers of two types, i.e., porous and continuous. The continuous layers have a smoothened surface morphology and a high concentration of threading dislocations. The porous and continuous layers can coexist. Their formation conditions and the ratio between their areas on the surface depend on the thickness of deposited Ge layers, as well as on the temperature and the annealing time. The data obtained suggest that the porous SiGe layers are formed due to melting of the strained Ge layers and their solidification in the conditions of SiGe dewetting on Si. The porous and dislocation-rich SiGe layers may have properties interesting for applications.

  20. Si Complies with GaN to Overcome Thermal Mismatches for the Heteroepitaxy of Thick GaN on Si.

    Science.gov (United States)

    Tanaka, Atsunori; Choi, Woojin; Chen, Renjie; Dayeh, Shadi A

    2017-10-01

    Heteroepitaxial growth of lattice mismatched materials has advanced through the epitaxy of thin coherently strained layers, the strain sharing in virtual and nanoscale substrates, and the growth of thick films with intermediate strain-relaxed buffer layers. However, the thermal mismatch is not completely resolved in highly mismatched systems such as in GaN-on-Si. Here, geometrical effects and surface faceting to dilate thermal stresses at the surface of selectively grown epitaxial GaN layers on Si are exploited. The growth of thick (19 µm), crack-free, and pure GaN layers on Si with the lowest threading dislocation density of 1.1 × 10 7 cm -2 achieved to date in GaN-on-Si is demonstrated. With these advances, the first vertical GaN metal-insulator-semiconductor field-effect transistors on Si substrates with low leakage currents and high on/off ratios paving the way for a cost-effective high power device paradigm on an Si CMOS platform are demonstrated. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Engineering of nearly strain-free ZnO films on Si(1 1 1) by tuning AlN buffer thickness

    International Nuclear Information System (INIS)

    Venkatachalapathy, Vishnukanthan; Galeckas, Augustinas; Lee, In-Hwan; Kuznetsov, Andrej Yu.

    2012-01-01

    ZnO properties were investigated as a function of AlN buffer layer thickness (0–100 nm) in ZnO/AlN/Si(1 1 1) structures grown by metal organic vapor phase epitaxy. A significant improvement of ZnO film crystallinity by tuning AlN buffer thickness was confirmed by x-ray diffraction, topography and photoluminescence measurements. An optimal AlN buffer layer thickness of 50 nm is defined, which allows for growth of nearly strain-free ZnO films. The presence of free excitons at 10 K suggests high crystal quality for all ZnO samples grown on AlN/Si(1 1 1) templates. The intensities of neutral and ionized donor bound exciton lines are found to correlate with the in-plane and out-of-plane strain in the films, respectively.

  2. Engineering of nearly strain-free ZnO films on Si(1 1 1) by tuning AlN buffer thickness

    Energy Technology Data Exchange (ETDEWEB)

    Venkatachalapathy, Vishnukanthan, E-mail: vishnukanthan.venkatachalapathy@smn.uio.no [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, NO-0316 Oslo (Norway); Galeckas, Augustinas [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, NO-0316 Oslo (Norway); Lee, In-Hwan [School of Advanced Materials Engineering, Research Centre for Advanced Materials Development (RCAMD), Chonbuk National University, Jeonju 561-756 (Korea, Republic of); Kuznetsov, Andrej Yu. [Department of Physics/Centre for Materials Science and Nanotechnology, University of Oslo, P.O. Box 1048 Blindern, NO-0316 Oslo (Norway)

    2012-05-15

    ZnO properties were investigated as a function of AlN buffer layer thickness (0-100 nm) in ZnO/AlN/Si(1 1 1) structures grown by metal organic vapor phase epitaxy. A significant improvement of ZnO film crystallinity by tuning AlN buffer thickness was confirmed by x-ray diffraction, topography and photoluminescence measurements. An optimal AlN buffer layer thickness of 50 nm is defined, which allows for growth of nearly strain-free ZnO films. The presence of free excitons at 10 K suggests high crystal quality for all ZnO samples grown on AlN/Si(1 1 1) templates. The intensities of neutral and ionized donor bound exciton lines are found to correlate with the in-plane and out-of-plane strain in the films, respectively.

  3. Effect of particle shapes on effective strain gradient of SiC particle reinforced aluminum composites

    International Nuclear Information System (INIS)

    Liu, X; Cao, D F; Mei, H; Liu, L S; Lei, Z T

    2013-01-01

    The stress increments depend not only on the plastic strain but also on the gradient of plastic strain, when the characteristic length scale associated with non-uniform plastic deformation is on the order of microns. In the present research, the Taylor-based nonlocal theory of plasticity (TNT plasticity), with considering both geometrically necessary dislocations and statistically stored dislocations, is applied to investigated the effect of particle shapes on the strain gradient and mechanical properties of SiC particle reinforced aluminum composites (SiC/Al composites). Based on this theory, a two-dimensional axial symmetry cell model is built in the ABAQUS finite element code through its USER-ELEMENT (UEL) interface. Some comparisons with the classical plastic theory demonstrate that the effective stress predicted by TNT plasticity is obviously higher than that predicted by classical plastic theory. The results also demonstrate that the irregular particles cause higher effective gradient strain which is attributed to the fact that angular shape particles give more geometrically.

  4. GaN transistors on Si for switching and high-frequency applications

    Science.gov (United States)

    Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke

    2014-10-01

    In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.

  5. Depth profile of strain and composition in Si/Ge dot multilayers by microscopic phonon Raman spectroscopy

    International Nuclear Information System (INIS)

    Tan, P.H.; Bougeard, D.; Abstreiter, G.; Brunner, K.

    2005-01-01

    We characterized strain and Ge content depending on depth in a self-assembled Si/Ge dot multilayer by scanning a microscopic Raman probe at a (110) cleavage plane. The multilayer structure was deposited by molecular-beam epitaxy on a (001) Si substrate and consisted of 80 periods, each of them composed by 25 nm Si spacers and 8 monolayer Ge forming laterally and vertically uncorrelated islands with a height of 2 nm and a lateral diameter of about 20 nm. An average biaxial strain of -3.5% within the core regions of islands is determined from the splitting of longitudinal and transversal optical Ge-Ge phonon modes observed in polarized Raman measurements. The absolute mode frequencies further enable analysis of a Ge content of 0.82. The analyzed strain and composition of islands are nearly independent from depths below the sample surface. This indicates well-controlled deposition parameters and negligible intermixing during deposition of subsequent layers. These Raman results are in agreement with x-ray diffraction data. Small, local Raman frequency shifts were observed and discussed with respect to partial elastic strain relaxation of the multilayer stack after cleavage, undefined Raman-scattering geometries at the sample edge, and local heating by the laser probe

  6. Technique for producing highly planar Si/SiO0.64Ge0.36/Si metal–oxide–semiconductor field effect transistor channels

    OpenAIRE

    Grasby, T. J.; Parry, C. P.; Phillips, P. J. (Peter J.); McGregor, Barry M.; Morris, R. J. H. (Richard J. H.); Braithwaite, Glyn; Whall, Terry E.; Parker, Evan H. C.; Hammond, Richard; Knights, Andrew P.; Coleman, P. G.

    1999-01-01

    Si/Si0.64Ge0.36/Si heterostructures have been grown at low temperature (450 °C) to avoid the strain-induced roughening observed for growth temperatures of 550 °C and above. The electrical properties of these structures are poor, and thought to be associated with grown-in point defects as indicated in positron annihilation spectroscopy. However, after an in situ annealing procedure (800 °C for 30 min) the electrical properties dramatically improve, giving an optimum 4 K mobility of 2500 cm2 V ...

  7. Band structure of Si/Ge core-shell nanowires along the [110] direction modulated by external uniaxial strain

    International Nuclear Information System (INIS)

    Peng Xihong; Tang Fu; Logan, Paul

    2011-01-01

    Strain modulated electronic properties of Si/Ge core-shell nanowires along the [110] direction were reported, on the basis of first principles density-functional theory calculations. In particular, the energy dispersion relationship of the conduction/valence band was explored in detail. At the Γ point, the energy levels of both bands are significantly altered by applied uniaxial strain, which results in an evident change of the band gap. In contrast, for the K vectors far away from Γ, the variation of the conduction/valence band with strain is much reduced. In addition, with a sufficient tensile strain (∼1%), the valence band edge shifts away from Γ, which indicates that the band gap of the Si/Ge core-shell nanowires experiences a transition from direct to indirect. Our studies further showed that effective masses of charge carriers can also be tuned using the external uniaxial strain. The effective mass of the hole increases dramatically with tensile strain, while strain shows a minimal effect on tuning the effective mass of the electron. Finally, the relation between strain and the conduction/valence band edge is discussed thoroughly in terms of site-projected wavefunction characters.

  8. Luminescence and Morphological Properties of GaN Layers Grown on SiC/Si(111) Substrates

    Energy Technology Data Exchange (ETDEWEB)

    Sanchez-Garcia, M.A.; Ristic, J.; Calleja, E. [ISOM and Dpto. Ing. Electronica, ETSI Telecomunicacion, Univ. Politecnica de Madrid, Ciudad Universitaria s/n, 28040 Madrid (Spain); Perez-Rodriguez, A.; Serre, C.; Romano-Rodriguez, A.; Morante, J.R. [EME - Electronic Materials and Engineering, Department of Electronics, Universidad de Barcelona, Marti i Franques 1, 08028 Barcelona (Spain); Koegler, R.; Skorupa, W. [Institute of Ion Beam Physics and Materials Research, Forschungszentrum Rossendorf e.V., 01314 Dresden (Germany); Trampert, A.; Ploog, K.H. [Paul-Drude-Institut fuer Festkoerperelektronik, Hausvogteiplatz 5-7, 10117 Berlin (Germany)

    2002-08-16

    This article describes the fabrication of SiC thin films on top of Si(111) substrates by means of a multiple C-ion implantation and the subsequent growth by plasma-assisted molecular beam epitaxy of GaN layers. The stoichiometry of the top SiC layer is controlled by reactive ion etching. Photoluminescence spectra reveal that all GaN layers are under biaxial tensile strain of thermal origin. The photoluminescence efficiency clearly depends on the stoichiometry of the initial SiC layer and on whether AlN buffer layers are used or not. GaN layers grown directly on bare non-stoichiometric SiC layers exhibit the best photoluminescence efficiency but also a high degree of mosaicity, as measured by X-ray diffraction techniques. The nucleation process involved in the initial stages of the growth leads to the formation of large dislocation-free grains with a high PL efficiency and with a higher tensile strain character. Despite the lack of a perfect monocrystalline SiC substrate lattice, high quality GaN microcrystals are obtained. (Abstract Copyright[2002], Wiley Periodicals, Inc.)

  9. Reliability implications of defects in high temperature annealed Si/SiO2/Si structures

    International Nuclear Information System (INIS)

    Warren, W.L.; Fleetwood, D.M.; Shaneyfelt, M.R.; Winokur, P.S.; Devine, R.A.B.; Mathiot, D.; Wilson, I.H.; Xu, J.B.

    1994-01-01

    High-temperature post-oxidation annealing of poly-Si/SiO 2 /Si structures such as metal-oxide-semiconductor capacitors and metal-oxide-semiconductor field effect transistors is known to result in enhanced radiation sensitivity, increased 1/f noise, and low field breakdown. The authors have studied the origins of these effects from a spectroscopic standpoint using electron paramagnetic resonance (EPR) and atomic force microscopy. One result of high temperature annealing is the generation of three types of paramagnetic defect centers, two of which are associated with the oxide close to the Si/SiO 2 interface (oxygen-vacancy centers) and the third with the bulk Si substrate (oxygen-related donors). In all three cases, the origin of the defects may be attributed to out-diffusion of O from the SiO 2 network into the Si substrate with associated reduction of the oxide. The authors present a straightforward model for the interfacial region which assumes the driving force for O out-diffusion is the chemical potential difference of the O in the two phases (SiO 2 and the Si substrate). Experimental evidence is provided to show that enhanced hole trapping and interface-trap and border-trap generation in irradiated high-temperature annealed Si/SiO 2 /Si systems are all related either directly, or indirectly, to the presence of oxygen vacancies

  10. Enhanced relaxation of strained Ge{sub x}Si{sub 1-x} layers induced by Co/Ge{sub x}Si{sub 1-x} thermal reaction

    Energy Technology Data Exchange (ETDEWEB)

    Ridgway, M.C.; Elliman, R.G.; Rao, M.R. [Australian National Univ., Canberra, ACT (Australia); Baribeau, J.M. [National Research Council of Canada, Ottawa, ON (Canada)

    1993-12-31

    Enhanced relaxation of strained Ge{sub x}Si{sub l-x} layers during the formation of CoSi{sub 2} by Co/Ge{sub x}Si{sub 1-x} thermal reaction has been observed. Raman spectroscopy and transmission electron microscopy were used to monitor the extent of relaxation. Possible mechanisms responsible for the enhanced relaxation, including metal-induced dislocation nucleation, chemical and/or structural inhomogeneities at the reacted layer/Ge{sub x}Si{sub 1-x} interface and point defect injection due to silicide formation will be discussed. Also, methodologies for inhibiting relaxation will be presented. 11 refs., 1 fig.

  11. Enhanced relaxation of strained Ge{sub x}Si{sub 1-x} layers induced by Co/Ge{sub x}Si{sub 1-x} thermal reaction

    Energy Technology Data Exchange (ETDEWEB)

    Ridgway, M C; Elliman, R G; Rao, M R [Australian National Univ., Canberra, ACT (Australia); Baribeau, J M [National Research Council of Canada, Ottawa, ON (Canada)

    1994-12-31

    Enhanced relaxation of strained Ge{sub x}Si{sub l-x} layers during the formation of CoSi{sub 2} by Co/Ge{sub x}Si{sub 1-x} thermal reaction has been observed. Raman spectroscopy and transmission electron microscopy were used to monitor the extent of relaxation. Possible mechanisms responsible for the enhanced relaxation, including metal-induced dislocation nucleation, chemical and/or structural inhomogeneities at the reacted layer/Ge{sub x}Si{sub 1-x} interface and point defect injection due to silicide formation will be discussed. Also, methodologies for inhibiting relaxation will be presented. 11 refs., 1 fig.

  12. Atomistic Origins of High Capacity and High Structural Stability of Polymer-Derived SiOC Anode Materials.

    Science.gov (United States)

    Sun, Hong; Zhao, Kejie

    2017-10-11

    Capacity and structural stability are often mutually exclusive properties of electrodes in Li-ion batteries (LIBs): a gain in capacity is usually accompanied by the undesired large volumetric change of the host material upon lithiation. Polymer-derived ceramics, such as silicon oxycarbide (SiOC) of hybrid Si-O-C bonds, show an exceptional combination of high capacity and superior structural stability. We investigate the atomistic origins of the unique chemomechanical performance of carbon-rich SiOC using the first-principles theoretical approach. The atomic model of SiOC is composed of continuous Si-O-C units caged by a graphene-like cellular network and percolated nanovoids. The segregated sp 2 carbon network serves as the backbone to maintain the structural stability of the lattice. Li insertion is first absorbed at the nanovoid sites, and then it is accommodated by the SiOC tetrahedral units, excess C atoms, and topological defects at the edge of or within the segregated carbon network. SiOC expands up to 22% in volumetric strain at the fully lithiated capacity of 1230 mA h/g. We examine in great detail the evolution of the microscopic features of the SiOC molecule in the course of Li reactions. The first-principles modeling provides a fundamental understanding of the physicochemical properties of Si-based glass ceramics for their application in LIBs.

  13. Low-temperature magnetotransport in Si/SiGe heterostructures on 300 mm Si wafers

    Science.gov (United States)

    Scappucci, Giordano; Yeoh, L.; Sabbagh, D.; Sammak, A.; Boter, J.; Droulers, G.; Kalhor, N.; Brousse, D.; Veldhorst, M.; Vandersypen, L. M. K.; Thomas, N.; Roberts, J.; Pillarisetty, R.; Amin, P.; George, H. C.; Singh, K. J.; Clarke, J. S.

    Undoped Si/SiGe heterostructures are a promising material stack for the development of spin qubits in silicon. To deploy a qubit into high volume manufacturing in a quantum computer requires stringent control over substrate uniformity and quality. Electron mobility and valley splitting are two key electrical metrics of substrate quality relevant for qubits. Here we present low-temperature magnetotransport measurements of strained Si quantum wells with mobilities in excess of 100000 cm2/Vs fabricated on 300 mm wafers within the framework of advanced semiconductor manufacturing. These results are benchmarked against the results obtained in Si quantum wells deposited on 100 mm Si wafers in an academic research environment. To ensure rapid progress in quantum wells quality we have implemented fast feedback loops from materials growth, to heterostructure FET fabrication, and low temperature characterisation. On this topic we will present recent progress in developing a cryogenic platform for high-throughput magnetotransport measurements.

  14. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100)

    Science.gov (United States)

    Abidin, Mastura Shafinaz Zainal; Morshed, Tahsin; Chikita, Hironori; Kinoshita, Yuki; Muta, Shunpei; Anisuzzaman, Mohammad; Park, Jong-Hyeok; Matsumura, Ryo; Mahmood, Mohamad Rusop; Sadoh, Taizoh; Hashim, Abdul Manaf

    2014-01-01

    The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100) substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100°C for 1 s. All annealed samples show single crystalline structure in (100) orientation. A significant appearance of Si-Ge vibration mode peak at ~00 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance. PMID:28788521

  15. The Effects of Annealing Temperatures on Composition and Strain in SixGe1−x Obtained by Melting Growth of Electrodeposited Ge on Si (100

    Directory of Open Access Journals (Sweden)

    Mastura Shafinaz Zainal Abidin

    2014-02-01

    Full Text Available The effects of annealing temperatures on composition and strain in SixGe1−x, obtained by rapid melting growth of electrodeposited Ge on Si (100 substrate were investigated. Here, a rapid melting process was performed at temperatures of 1000, 1050 and 1100 °C for 1 s. All annealed samples show single crystalline structure in (100 orientation. A significant appearance of Si-Ge vibration mode peak at ~400 cm−1 confirms the existence of Si-Ge intermixing due to out-diffusion of Si into Ge region. On a rapid melting process, Ge melts and reaches the thermal equilibrium in short time. Si at Ge/Si interface begins to dissolve once in contact with the molten Ge to produce Si-Ge intermixing. The Si fraction in Si-Ge intermixing was calculated by taking into account the intensity ratio of Ge-Ge and Si-Ge vibration mode peaks and was found to increase with the annealing temperatures. It is found that the strain turns from tensile to compressive as the annealing temperature increases. The Si fraction dependent thermal expansion coefficient of SixGe1−x is a possible cause to generate such strain behavior. The understanding of compositional and strain characteristics is important in Ge/Si heterostructure as these properties seem to give significant effects in device performance.

  16. Recovery of strain-hardening rate in Ni-Si alloys

    Science.gov (United States)

    Yang, C. L.; Zhang, Z. J.; Cai, T.; Zhang, P.; Zhang, Z. F.

    2015-10-01

    In this study, the recovery of strain-hardening rate (RSHR) was discovered for the first time in polycrystalline materials (Ni-Si alloys) that have only dislocation activities during tensile test. Detailed microstructure characterizations show that the activation of dislocations in the secondary slip systems during tensile deformation is the major reason for this RSHR. By taking into account other metals that also exhibit RSHR during tension, a more general mechanism for the RSHR was proposed, i.e. the occurrence of a sharp decrease of dislocation mean free path (Λ) during plastic deformation, caused by either planar defects or linear defects.

  17. Ion-beam synthesis of Ge{sub x}Si{sub 1-x} strained layers for high speed electronic device applications

    Energy Technology Data Exchange (ETDEWEB)

    Elliman, R.G.; Jiang, H.; Wong, W.C.; Kringhoj, P. [Australian National Univ., Canberra, ACT (Australia)

    1996-12-31

    It is shown that Ge{sub x}S{sub 1-x} strained layers can be fabricated by Ge implantation and solid-phase epitaxy and that the use of these layers can improve the performance of electronic devices. Several materials science issues are addressed, including the effect of Ge on solid-phase-epitaxy, the effect of oxidation on the implanted Ge distribution, and the effect of Ge on the oxidation rate of Si. The process is demonstrated for metal-oxide-semiconductor field-effect-transistors (MOSFETs). 6 refs., 5 figs.

  18. Ion-beam synthesis of Ge{sub x}Si{sub 1-x} strained layers for high speed electronic device applications

    Energy Technology Data Exchange (ETDEWEB)

    Elliman, R G; Jiang, H; Wong, W C; Kringhoj, P [Australian National Univ., Canberra, ACT (Australia)

    1997-12-31

    It is shown that Ge{sub x}S{sub 1-x} strained layers can be fabricated by Ge implantation and solid-phase epitaxy and that the use of these layers can improve the performance of electronic devices. Several materials science issues are addressed, including the effect of Ge on solid-phase-epitaxy, the effect of oxidation on the implanted Ge distribution, and the effect of Ge on the oxidation rate of Si. The process is demonstrated for metal-oxide-semiconductor field-effect-transistors (MOSFETs). 6 refs., 5 figs.

  19. Magnetostriction-strain-induced enhancement and modulation of photovoltaic performance in Si-p-n/Tb{sub x}Dy{sub 1-x}Fe{sub 2} composite

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Zheng [School of Materials Science and Technology, China University of Geosciences, Beijing (China); Department of Physics and College of Geography and Environmental Sciences, Zhejiang Normal University, Jinhua (China); Zhang, Yihe [School of Materials Science and Technology, China University of Geosciences, Beijing (China); Fang, Cong; Ma, Ke; Lin, He; Jia, Yanmin; Chen, Jianrong [Department of Physics and College of Geography and Environmental Sciences, Zhejiang Normal University, Jinhua (China); Wang, Yu; Chan, Helen Lai Wa [Department of Applied Physics, The Hong Kong Polytechnic University (China)

    2014-03-15

    High photovoltaic efficiency is a key index in the application of silicon (Si) solar cells. In this study, a composite of a photovoltaic Si p-n junction solar cell and a magnetostrictive Tb{sub x}Dy{sub 1-x}Fe{sub 2} alloy was fabricated. By utilizing the magnetostrictive strain to modulate the energy bandgap of Si, the open-circuit voltage and the maximum photovoltaic output power of the Si p-n junction solar cell could be enhanced by ∝12% and 9.1% under a dc magnetic field of ∝250 mT, respectively. The significantly enhanced photovoltaic performance and the simple fabrication process make the Si-p-n/Tb{sub x}Dy{sub 1-x}Fe{sub 2} composite a promising material for high-efficiency solar cell devices. The structure of the proposed Si-p-n/Tb{sub x}Dy{sub 1-x}Fe{sub 2} laminated composite. (copyright 2014 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  20. Structure of MnSi on SiC(0001)

    Science.gov (United States)

    Meynell, S. A.; Spitzig, A.; Edwards, B.; Robertson, M. D.; Kalliecharan, D.; Kreplak, L.; Monchesky, T. L.

    2016-11-01

    We report on the growth and magnetoresistance of MnSi films grown on SiC(0001) by molecular beam epitaxy. The growth resulted in a textured MnSi(111) film with a predominantly [1 1 ¯0 ] MnSi (111 )∥[11 2 ¯0 ] SiC(0001) epitaxial relationship, as demonstrated by transmission electron microscopy, reflection high energy electron diffraction, and atomic force microscopy. The 500 ∘C temperature required to crystallize the film leads to a dewetting of the MnSi layer. Although the sign of the lattice mismatch suggested the films would be under compressive stress, the films acquire an in-plane tensile strain likely driven by the difference in thermal expansion coefficients between the film and substrate during annealing. As a result, the magnetoresistive response demonstrates that the films possess a hard-axis out-of-plane magnetocrystalline anisotropy.

  1. Design Guidelines for In-Plane Mechanical Properties of SiC Fiber-Reinforced Melt-Infiltrated SiC Composites

    Science.gov (United States)

    Morscher, Gregory N.; Pujar, Vijay V.

    2008-01-01

    In-plane tensile stress-strain, tensile creep, and after-creep retained tensile properties of melt-infiltrated SiC-SiC composites reinforced with different fiber types were evaluated with an emphasis on obtaining simple or first-order microstructural design guidelines for these in-plane mechanical properties. Using the mini-matrix approach to model stress-strain behavior and the results of this study, three basic general design criteria for stress and strain limits are formulated, namely a design stress limit, a design total strain limit, and an after-creep design retained strength limit. It is shown that these criteria can be useful for designing components for high temperature applications.

  2. Quantitative strain analysis of surfaces and interfaces using extremely asymmetric x-ray diffraction

    International Nuclear Information System (INIS)

    Akimoto, Koichi; Emoto, Takashi

    2010-01-01

    Strain can reduce carrier mobility and the reliability of electronic devices and affect the growth mode of thin films and the stability of nanometer-scale crystals. To control lattice strain, a technique for measuring the minute lattice strain at surfaces and interfaces is needed. Recently, an extremely asymmetric x-ray diffraction method has been developed for this purpose. By employing Darwin's dynamical x-ray diffraction theory, quantitative evaluation of strain at surfaces and interfaces becomes possible. In this paper, we review our quantitative strain analysis studies on native SiO 2 /Si interfaces, reconstructed Si surfaces, Ni/Si(111)-H interfaces, sputtered III-V compound semiconductor surfaces, high-k/Si interfaces, and Au ion-implanted Si. (topical review)

  3. Asymmetric, compressive, SiGe epilayers on Si grown by lateral liquid-phase epitaxy utilizing a distinction between dislocation nucleation and glide critical thicknesses

    Science.gov (United States)

    O'Reilly, Andrew J.; Quitoriano, Nathaniel

    2018-01-01

    Uniaxially strained Si1-xGex channels have been proposed as a solution for high mobility channels in next-generation MOSFETS to ensure continued device improvement as the benefits from further miniaturisation are diminishing. Previously proposed techniques to deposit uniaxially strained Si1-xGex epilayers on Si (0 0 1) substrates require multiple deposition steps and only yielded thin strips of uniaxially strained films. A lateral liquid-phase epitaxy (LLPE) technique was developed to deposit a blanket epilayer of asymmetrically strained Si97.4Ge2.6 on Si in a single step, where the epilayer was fully strained in the growth direction and 31% strain-relaxed in the orthogonal direction. The LLPE technique promoted the glide of misfit dislocations, which nucleated in a region with an orthogonal misfit dislocation network, into a region where the dislocation nucleation was inhibited. This created an array of parallel misfit dislocations which were the source of the asymmetric strain. By observing the thicknesses at which the dislocation network transitions from orthogonal to parallel and at which point dislocation glide is exhausted, the separate critical thicknesses for dislocation nucleation and dislocation glide can be determined.

  4. Applications of Si/SiGe heterostructures to CMOS devices

    International Nuclear Information System (INIS)

    Sidek, R.M.

    1999-03-01

    For more than two decades, advances in MOSFETs used in CMOS VLSI applications have been made through scaling to ever smaller dimensions for higher packing density, faster circuit speed and lower power dissipation. As scaling now approaches nanometer regime, the challenge for further scaling becomes greater in terms of technology as well as device reliability. This work presents an alternative approach whereby non-selectively grown Si/SiGe heterostructure system is used to improve device performance or to relax the technological challenge. SiGe is considered to be of great potential because of its promising properties and its compatibility with Si, the present mainstream material in microelectronics. The advantages of introducing strained SiGe in CMOS technology are examined through two types of device structure. A novel structure has been fabricated in which strained SiGe is incorporated in the source/drain of P-MOSFETs. Several advantages of the Si/SiGe source/drain P-MOSFETs over Si devices are experimentally, demonstrated for the first time. These include reduction in off-state leakage and punchthrough susceptibility, degradation of parasitic bipolar transistor (PBT) action, suppression of CMOS latchup and suppression of PBT-induced breakdown. The improvements due to the Si/SiGe heterojunction are supported by numerical simulations. The second device structure makes use of Si/SiGe heterostructure as a buried channel to enhance the hole mobility of P-MOSFETs. The increase in the hole mobility will benefit the circuit speed and device packing density. Novel fabrication processes have been developed to integrate non-selective Si/SiGe MBE layers into self-aligned PMOS and CMOS processes based on Si substrate. Low temperature processes have been employed including the use of low-pressure chemical vapor deposition oxide and plasma anodic oxide. Low field mobilities, μ 0 are extracted from the transfer characteristics, Id-Vg of SiGe channel P-MOSFETs with various Ge

  5. High-performance a -Si/c-Si heterojunction photoelectrodes for photoelectrochemical oxygen and hydrogen evolution

    KAUST Repository

    Wang, Hsin Ping; Sun, Ke; Noh, Sun Young; Kargar, Alireza; Tsai, Meng Lin; Huang, Ming Yi; Wang, Deli; He, Jr-Hau

    2015-01-01

    Amorphous Si (a-Si)/crystalline Si (c-Si) heterojunction (SiHJ) can serve as highly efficient and robust photoelectrodes for solar fuel generation. Low carrier recombination in the photoelectrodes leads to high photocurrents and photovoltages

  6. Enhancement of breakdown voltage for fully-vertical GaN-on-Si p-n diode by using strained layer superlattice as drift layer

    Science.gov (United States)

    Mase, Suguru; Hamada, Takeaki; Freedsman, Joseph J.; Egawa, Takashi

    2018-06-01

    We have demonstrated a vertical GaN-on-Si p-n diode with breakdown voltage (BV) as high as 839 V by using a low Si-doped strained layer superlattice (SLS). The p-n vertical diode fabricated by using the n‑-SLS layer as a part of the drift layer showed a remarkable enhancement in BV, when compared with the conventional n‑-GaN drift layer of similar thickness. The vertical GaN-on-Si p-n diodes with 2.3 μm-thick n‑-GaN drift layer and 3.0 μm-thick n‑-SLS layer exhibited a differential on-resistance of 4.0 Ω · cm2 and a BV of 839 V.

  7. Selective epitaxial growth of stepwise SiGe:B at the recessed sources and drains: A growth kinetics and strain distribution study

    Directory of Open Access Journals (Sweden)

    Sangmo Koo

    2016-09-01

    Full Text Available The selective epitaxial growth of Si1-xGex and the related strain properties were studied. Epitaxial Si1-xGex films were deposited on (100 and (110 orientation wafers and on patterned Si wafers with recessed source and drain structures via ultrahigh vacuum chemical vapor deposition using different growing steps and Ge concentrations. The stepwise process was split into more than 6 growing steps that ranged in thicknesses from a few to 120 nm in order to cover the wide stages of epitaxial growth. The growth rates of SiGe on the plane and patterned wafers were examined and a dependence on the surface orientation was identified. As the germanium concentration increased, defects were generated with thinner Si1-xGex growth. The defect generation was the result of the strain evolution which was examined for channel regions with a Si1-xGex source/drain (S/D structure.

  8. High energy X-ray diffraction analysis of strain and residual stress in silicon nitride ceramic diffusion bonds

    International Nuclear Information System (INIS)

    Vila, M.; Prieto, C.; Miranzo, P.; Osendi, M.I.; Terry, A.E.; Vaughan, G.B.M.

    2005-01-01

    High resolution X-ray scanning diffractometry is used to study the residual stress in binary metal/ceramic (Ni/Si 3 N 4 ) diffusion bonds fabricated by simultaneous high temperature heating and uniaxial pressing. In order to diminish the experimental error on the stress determination, the method consists of three steps: (i) to measure the axial and radial strains following some selected lines at the inner volume of the ceramic; (ii) to fit the strain data using finite element method (FEM) analysis and (iii) to determinate stresses by using the results obtained from the FEM method in the strain calculation

  9. Strain characterization of fin-shaped field effect transistors with SiGe stressors using nanobeam electron diffraction

    International Nuclear Information System (INIS)

    Kim, Sun-Wook; Byeon, Dae-Seop; Jang, Hyunchul; Koo, Sang-Mo; Ko, Dae-Hong; Lee, Hoo-Jeong

    2014-01-01

    This study undertook strain analysis on fin-shaped field effect transistor structures with epitaxial Si 1−x Ge x stressors, using nano-beam electron diffraction and finite elements method. Combining the two methods disclosed dynamic strain distribution in the source/drain and channel region of the fin structure, and the effects of dimensional factors such as the stressor thickness and fin width, offering valuable information for device design.

  10. Strain characterization of fin-shaped field effect transistors with SiGe stressors using nanobeam electron diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Sun-Wook; Byeon, Dae-Seop; Jang, Hyunchul; Koo, Sang-Mo; Ko, Dae-Hong, E-mail: dhko@yonsei.ac.kr [Department of Materials Science and Engineering, Yonsei University, Seoul 120-749 (Korea, Republic of); Lee, Hoo-Jeong, E-mail: hlee@skku.edu [Department of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 440-746 (Korea, Republic of)

    2014-08-25

    This study undertook strain analysis on fin-shaped field effect transistor structures with epitaxial Si{sub 1−x}Ge{sub x} stressors, using nano-beam electron diffraction and finite elements method. Combining the two methods disclosed dynamic strain distribution in the source/drain and channel region of the fin structure, and the effects of dimensional factors such as the stressor thickness and fin width, offering valuable information for device design.

  11. InSitu SEM Investigation of Microstructural Damage Evolution and Strain Relaxation in a Melt Infiltrated SiC/SiC Composite

    Science.gov (United States)

    Sevener, Kathy; Chen, Zhe; Daly, Sam; Tracy, Jared; Kiser, Doug

    2016-01-01

    With CMC components poised to complete flight certification in turbine engines on commercial aircraft within the near future, there are many efforts within the aerospace community to model the mechanical and environmental degradation of CMCs. Direct observations of damage evolution are needed to support these modeling efforts and provide quantitative measures of damage parameters used in the various models. This study was performed to characterize the damage evolution during tensile loading of a melt infiltrated (MI) silicon carbide reinforced silicon carbide (SiC/SiC) composite. A SiC/SiC tensile coupon was loaded to a maximum global stress of 30 ksi in a tensile fixture within an SEM while observations were made at 5 ksi increments. Both traditional image analysis and DIC (digital image correlation) were used to quantify damage evolution. With the DIC analysis, microscale damage was observed at the fiber-matrix interfaces at stresses as low as 5 ksi. First matrix cracking took place between 20 and 25 ksi, accompanied by an observable relaxation in strain near matrix cracks. Matrix crack opening measurements at the maximum load ranged from 200 nm to 1.5 m. Crack opening along the fiber-matrix interface was also characterized as a function of load and angular position relative to the loading axis. This characterization was funded by NASA GRC and was performed to support NASA GRC modeling of SiC/SiC environmental degradation

  12. A study of strain in thin epitaxial films of yttrium silicide on Si(111)

    Science.gov (United States)

    Siegal, Michelle F.; Martínez-Miranda, L. J.; Santiago-Avilés, J. J.; Graham, W. R.; Siegal, M. P.

    1994-02-01

    We present the results of an x-ray diffraction analysis of epitaxial yttrium silicide films grown on Si(111), with thicknesses ranging from 14 to 100 Å. The macroscopic strain along the out-of-plane direction for films containing pits or pinholes follows the trend observed previously in films of thicknesses up to 510 Å. The out-of-plane lattice parameter decreases linearly with film thickness. We show preliminary evidence that pinhole-free films do not follow the above trend, and that strain in these films has the opposite sign than in films with pinholes. Finally, our results also indicate that the mode of growth, coupled to the interfacial thermal properties of the films, affects the observed value for the strain in the films.

  13. A study of strain in thin epitaxial films of yttrium silicide on Si(111)

    International Nuclear Information System (INIS)

    Siegal, M.F.; Martinez-Miranda, L.J.; Santiago-Aviles, J.J.; Graham, W.R.; Siegal, M.P.

    1994-01-01

    We present the results of an x-ray diffraction analysis of epitaxial yttrium silicide films grown on Si(111), with thicknesses ranging from 14 to 100 A. The macroscopic strain along the out-of-plane direction for films containing pits or pinholes follows the trend observed previously in films of thicknesses up to 510 A. The out-of-plane lattice parameter decreases linearly with film thickness. We show preliminary evidence that pinhole-free films do not follow the above trend, and that strain in these films has the opposite sign than in films with pinholes. Finally, our results also indicate that the mode of growth, coupled to the interfacial thermal properties of the films, affects the observed value for the strain in the films

  14. Influence of Stored Strain on Fabricating of Al/SiC Nanocomposite by Friction Stir Processing

    Science.gov (United States)

    Khorrami, M. Sarkari; Kazeminezhad, M.; Kokabi, A. H.

    2015-05-01

    In this work, 1050 aluminum (Al) sheets were annealed and severely deformed by 1, 2, and 3 passes of constrained groove pressing process to obtain the various initial stored strain values of 0, 1.16, 2.32, and 3.48, respectively. Friction stir processing (FSP) was then applied using SiC nanoparticles to fabricate Al/SiC nanocomposite with approximately 1.5 vol pct reinforced particles. Microstructural examinations revealed that an increase in the initial stored strain of the base metal led to the formation of finer grain structure after 1 pass of FSP. The finer grain structure occurred in the stir zone where a sufficient amount of nanoparticles with a relatively proper distribution existed. However, the initial stored strain value had a contrary influence in the regions with low volume fraction of nanoparticles. In fact, more stored strain in the base metal provided more driving force for both nucleation and grain growth of newly recrystallized grains at the stir zone. Pinning effect of well-distributed nanoparticles could effectively retard grain growth leading to the formation of very fine grain structure. Also it was observed that the initial stored strain values did not have impressive rule in the microstructural evolutions at the stir zone during the second and third FSP passes signifying that all of the stored energy in the base metal would be released after 1 pass of FSP. The results obtained with microhardness measurement at the stir zone were fairly in agreement with those achieved by the microstructure assessments.

  15. Characterization of Carrier Transport Properties in Strained Crystalline Si Wall-Like Structures as a Function of Scaling into the Quasi-Quantum Regime

    Science.gov (United States)

    2017-05-03

    multi-gate or tri-gate architectures , also known as FinFET technology, although these devices have achieved performance enhancement by virtue of...Strain distribution around SiO2/Si interface in Si nanowires: A Molecular Dynamics Study,” Japanese Journal of Applied Physics 46, p. 3277, 2007

  16. Analytical threshold voltage modeling of ion-implanted strained-Si double-material double-gate (DMDG) MOSFETs

    Science.gov (United States)

    Goel, Ekta; Singh, Balraj; Kumar, Sanjay; Singh, Kunal; Jit, Satyabrata

    2017-04-01

    Two dimensional threshold voltage model of ion-implanted strained-Si double-material double-gate MOSFETs has been done based on the solution of two dimensional Poisson's equation in the channel region using the parabolic approximation method. Novelty of the proposed device structure lies in the amalgamation of the advantages of both the strained-Si channel and double-material double-gate structure with a vertical Gaussian-like doping profile. The effects of different device parameters (such as device channel length, gate length ratios, germanium mole fraction) and doping parameters (such as projected range, straggle parameter) on threshold voltage of the proposed structure have been investigated. It is observed that the subthreshold performance of the device can be improved by simply controlling the doping parameters while maintaining other device parameters constant. The modeling results show a good agreement with the numerical simulation data obtained by using ATLAS™, a 2D device simulator from SILVACO.

  17. High-performance a -Si/c-Si heterojunction photoelectrodes for photoelectrochemical oxygen and hydrogen evolution

    KAUST Repository

    Wang, Hsin Ping

    2015-05-13

    Amorphous Si (a-Si)/crystalline Si (c-Si) heterojunction (SiHJ) can serve as highly efficient and robust photoelectrodes for solar fuel generation. Low carrier recombination in the photoelectrodes leads to high photocurrents and photovoltages. The SiHJ was designed and fabricated into both photoanode and photocathode with high oxygen and hydrogen evolution efficiency, respectively, by simply coating of a thin layer of catalytic materials. The SiHJ photoanode with sol-gel NiOx as the catalyst shows a current density of 21.48 mA/cm2 at the equilibrium water oxidation potential. The SiHJ photocathode with 2 nm sputter-coated Pt catalyst displays excellent hydrogen evolution performance with an onset potential of 0.640 V and a solar to hydrogen conversion efficiency of 13.26%, which is the highest ever reported for Si-based photocathodes. © 2015 American Chemical Society.

  18. Strained silicon/silicon germanium heterojunction n-channel metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Olsen, Sarah H.

    2002-01-01

    Investigations into the performance of strained silicon/silicon-germanium (Si/SiGe) n-channel metal-oxide-semiconductor field effect transistors (MOSFETs) have been carried out. Theoretical predictions suggest that use of a strained Si/SiGe material system with advanced material properties compared with conventional silicon allows enhanced MOSFET device performance. This study has therefore investigated the practical feasibility of obtaining superior electrical performance using a Si/SiGe material system. The MOSFET devices consisted of a strained Si surface channel and were fabricated on relaxed SiGe material using a reduced thermal budget process in order to preserve the strain. Two batches of strained Si/SiGe devices fabricated on material grown by differing methods have been analysed and both showed good transistor action. A correlation of electrical and physical device data established that the electrical device behaviour was closely related to the SiGe material quality, which differed depending on growth technique. The cross-wafer variation in the electrical performance of the strained Si/SiGe devices was found to be a function of material quality, thus the viability of Si/SiGe MOSFET technology for commercial applications has been addressed. Of particular importance was the finding that large-scale 'cross-hatching' roughness associated with relaxed SiGe alloys led to degradation in the small-scale roughness at the gate oxide interface, which affects electrical device performance. The fabrication of strained Si MOSFET devices on high quality SiGe material thus enabled significant performance gains to be realised compared with conventional Si control devices. In contrast, the performance of devices fabricated on material with severe cross-hatching roughness was found to be diminished by the nanoscale oxide interface roughness. The effect of device processing on SiGe material with differing as-grown roughness has been carried out and compared with the reactions

  19. Conduction band structure and electron mobility in uniaxially strained Si via externally applied strain in nanomembranes

    Energy Technology Data Exchange (ETDEWEB)

    Chen Feng [Xi' an Jiaotong University, Xi' an, Shaanxi 710049 (China); Euaruksakul, Chanan; Himpsel, F J; Lagally, Max G [University of Wisconsin-Madison, Madison, WI 53706 (United States); Liu Zheng; Liu Feng, E-mail: lagally@engr.wisc.edu [University of Utah, Salt Lake City, UT 84112 (United States)

    2011-08-17

    Strain changes the band structure of semiconductors. We use x-ray absorption spectroscopy to study the change in the density of conduction band (CB) states when silicon is uniaxially strained along the [1 0 0] and [1 1 0] directions. High stress can be applied to silicon nanomembranes, because their thinness allows high levels of strain without fracture. Strain-induced changes in both the sixfold degenerate {Delta} valleys and the eightfold degenerate L valleys are determined quantitatively. The uniaxial deformation potentials of both {Delta} and L valleys are directly extracted using a strain tensor appropriate to the boundary conditions, i.e., confinement in the plane in the direction orthogonal to the straining direction, which correspond to those of strained CMOS in commercial applications. The experimentally determined deformation potentials match the theoretical predictions well. We predict electron mobility enhancement created by strain-induced CB modifications.

  20. Microstructural optimization of high temperature SiC/SiC composites by nite process

    International Nuclear Information System (INIS)

    Shimoda, K.; Park, J.S.; Hinoki, T.; Kohyama, A.

    2007-01-01

    Full text of publication follows: SiC/SiC composites are one of the promising structural materials for future fusion reactor because of the excellent potentiality in thermal and mechanical properties under very severe environment including high temperature and high energy neutron bombardment. For fusion-grade SiC/SiC composites, high-crystallinity and near-stoichiometric characteristic are required to keep excellent stability against neutron irradiation. The realization of the reactor will be strongly depend on optimization of SiC/SiC composites microstructure, particularly in regard to the materials and processes used for the fiber, interphase and matrix constituents. One of the important accomplishments is the new process, called nano-particle infiltration and transient eutectic phase (NITE) process developed in our group. The microstructure of NITE-SiC/SiC composites, such as fiber volume fraction, porosity and type of pores, can be controlled precisely by the selection of sintering temperature/applied stress history. The objective of this study is to investigate thermal stability and mechanical properties of NITE-SiC/SiC composites at high-temperature. Two kinds of highly-densified SiC/SiC composites with the difference of fiber volume fraction were prepared, and were subjected to exposure tests from 1000 deg. C to 1500 deg. C in an argon-oxygen gas mixture with an oxygen partial pressure of 0.1 Pa. The thermal stability of the composites was characterized through mass change and TEM/SEM observation. The in-situ tensile tests at 1300 deg. C and 1500 deg. C were carried out in the same atmosphere. Most of SiC/SiC composites, even for the advanced CVI-SiC/SiC composites with multi-layered SiC/C inter-phases, underwent reduction in the maximum strength by about 20% at 1300 deg. C. In particular, this reduction was attributed to a slight burnout of the carbon interphase due to oxygen impurities in test atmosphere. However, there was no significant degradation for

  1. Microstructural optimization of high temperature SiC/SiC composites by nite process

    Energy Technology Data Exchange (ETDEWEB)

    Shimoda, K. [Kyoto Univ., Graduate School of Energy Science (Japan); Park, J.S. [Kyoto Univ., Institute of Advanced Energy (Japan); Hinoki, T.; Kohyama, A. [Kyoto Univ., lnstitute of Advanced Energy, Gokasho, Uji (Japan)

    2007-07-01

    Full text of publication follows: SiC/SiC composites are one of the promising structural materials for future fusion reactor because of the excellent potentiality in thermal and mechanical properties under very severe environment including high temperature and high energy neutron bombardment. For fusion-grade SiC/SiC composites, high-crystallinity and near-stoichiometric characteristic are required to keep excellent stability against neutron irradiation. The realization of the reactor will be strongly depend on optimization of SiC/SiC composites microstructure, particularly in regard to the materials and processes used for the fiber, interphase and matrix constituents. One of the important accomplishments is the new process, called nano-particle infiltration and transient eutectic phase (NITE) process developed in our group. The microstructure of NITE-SiC/SiC composites, such as fiber volume fraction, porosity and type of pores, can be controlled precisely by the selection of sintering temperature/applied stress history. The objective of this study is to investigate thermal stability and mechanical properties of NITE-SiC/SiC composites at high-temperature. Two kinds of highly-densified SiC/SiC composites with the difference of fiber volume fraction were prepared, and were subjected to exposure tests from 1000 deg. C to 1500 deg. C in an argon-oxygen gas mixture with an oxygen partial pressure of 0.1 Pa. The thermal stability of the composites was characterized through mass change and TEM/SEM observation. The in-situ tensile tests at 1300 deg. C and 1500 deg. C were carried out in the same atmosphere. Most of SiC/SiC composites, even for the advanced CVI-SiC/SiC composites with multi-layered SiC/C inter-phases, underwent reduction in the maximum strength by about 20% at 1300 deg. C. In particular, this reduction was attributed to a slight burnout of the carbon interphase due to oxygen impurities in test atmosphere. However, there was no significant degradation for

  2. The effect of cooling and strain on martensitic transformation in Fe-Ni-Cr-Mn-Si alloy

    International Nuclear Information System (INIS)

    Park, Shin Hwa; Nam, Won Jong; Yoon, Man Son; Kang, Shin Wang; Lee, Dong Hyung

    1991-01-01

    In Fe-Ni-Cr-Mn-Si shape memory alloy, the effect of cooling methods and strain on the martensitic transformation was investigated. After the solution treatment at 900 deg C for 30 minutes, the specimens were air cooled, water cooled and quenched in liquid nitrogen. For air cooled specimens only austenite phase was detected, whereas austenite and ε-martensite phases were detected for specimens water cooled or quenched in liquid nitrogen. The amount of ε-martensite was increased with the cooling rate and strain. But the increasing rate of the amount of ε-martensite was decreased at 5% strain in air cooling and at 3% strain in water cooling, respectively. The occurrence of α-martensite was found at about 5% strain in air cooled specimens. For water cooled specimens it was found at about 3% strain. These strains almost coinceded with the strains at which the increasing rate of the amount of ε-martensite was changed. The occurrence of α-martensite in specimens quenched in liquid nitrogen was found less than 0.5% strain. (Author)

  3. Minimization of spurious strains by using a Si bent-perfect-crystal monochromator: neutron surface strain scanning of a shot-peened sample

    Science.gov (United States)

    Rebelo Kornmeier, Joana; Gibmeier, Jens; Hofmann, Michael

    2011-06-01

    Neutron strain measurements are critical at the surface. When scanning close to a sample surface, aberration peak shifts arise due to geometrical and divergence effects. These aberration peak shifts can be of the same order as the peak shifts related to residual strains. In this study it will be demonstrated that by optimizing the horizontal bending radius of a Si (4 0 0) monochromator, the aberration peak shifts from surface effects can be strongly reduced. A stress-free sample of fine-grained construction steel, S690QL, was used to find the optimal instrumental conditions to minimize aberration peak shifts. The optimized Si (4 0 0) monochromator and instrument settings were then applied to measure the residual stress depth gradient of a shot-peened SAE 4140 steel sample to validate the effectiveness of the approach. The residual stress depth profile is in good agreement with results obtained by x-ray diffraction measurements from an international round robin test (BRITE-EURAM-project ENSPED). The results open very promising possibilities to bridge the gap between x-ray diffraction and conventional neutron diffraction for non-destructive residual stress analysis close to surfaces.

  4. Minimization of spurious strains by using a Si bent-perfect-crystal monochromator: neutron surface strain scanning of a shot-peened sample

    International Nuclear Information System (INIS)

    Rebelo Kornmeier, Joana; Hofmann, Michael; Gibmeier, Jens

    2011-01-01

    Neutron strain measurements are critical at the surface. When scanning close to a sample surface, aberration peak shifts arise due to geometrical and divergence effects. These aberration peak shifts can be of the same order as the peak shifts related to residual strains. In this study it will be demonstrated that by optimizing the horizontal bending radius of a Si (4 0 0) monochromator, the aberration peak shifts from surface effects can be strongly reduced. A stress-free sample of fine-grained construction steel, S690QL, was used to find the optimal instrumental conditions to minimize aberration peak shifts. The optimized Si (4 0 0) monochromator and instrument settings were then applied to measure the residual stress depth gradient of a shot-peened SAE 4140 steel sample to validate the effectiveness of the approach. The residual stress depth profile is in good agreement with results obtained by x-ray diffraction measurements from an international round robin test (BRITE-EURAM-project ENSPED). The results open very promising possibilities to bridge the gap between x-ray diffraction and conventional neutron diffraction for non-destructive residual stress analysis close to surfaces

  5. Epitaxial growth of Si1−xGex alloys and Ge on Si(100) by electron-cyclotron-resonance Ar plasma chemical vapor deposition without substrate heating

    International Nuclear Information System (INIS)

    Ueno, Naofumi; Sakuraba, Masao; Murota, Junichi; Sato, Shigeo

    2014-01-01

    By using electron-cyclotron-resonance (ECR) Ar-plasma chemical vapor deposition (CVD) without substrate heating, the epitaxial growth process of Si 1−x Ge x alloy and Ge films deposited directly on dilute-HF-treated Si(100) was investigated. From the reflection high energy electron diffraction patterns of the deposited Si 1−x Ge x alloy (x = 0.50, 0.75) and Ge films on Si(100), it is confirmed that epitaxial growth can be realized without substrate heating, and that crystallinity degradation at larger film thickness is observed. The X-ray diffraction peak of the epitaxial films reveals the existence of large compressive strain, which is induced by lattice matching with the Si(100) substrate at smaller film thicknesses, as well as strain relaxation behavior at larger film thicknesses. The Ge fraction of Si 1−x Ge x thin film is in good agreement with the normalized GeH 4 partial pressure. The Si 1−x Ge x deposition rate increases with an increase of GeH 4 partial pressure. The GeH 4 partial pressure dependence of partial deposition rates [(Si or Ge fraction) × (Si 1−x Ge x thickness) / (deposition time)] shows that the Si partial deposition rate is slightly enhanced by the existence of Ge. From these results, it is proposed that the ECR-plasma CVD process can be utilized for Ge fraction control in highly-strained heterostructure formation of group IV semiconductors. - Highlights: • Si 1−x Ge x alloy and Ge were epitaxially grown on Si(100) without substrate heating. • Large strain and its relaxation behavior can be observed by X-ray diffraction. • Ge fraction of Si 1−x Ge x is equal to normalized GeH 4 partial pressure. • Si partial deposition rate is slightly enhanced by existence of Ge

  6. Subband Structure and Effective Mass in the Inversion Layer of a Strain Si-Based Alloy P-Type MOSFET.

    Science.gov (United States)

    Chen, Kuan-Ting; Fan, Jun Wei; Chang, Shu-Tong; Lin, Chung-Yi

    2015-03-01

    In this paper, the subband structure and effective mass of an Si-based alloy inversion layer in a PMOSFET are studied theoretically. The strain condition considered in our calculations is the intrinsic strain resulting from growth of the silicon-carbon alloy on a (001) Si substrate and mechanical uniaxial stress. The quantum confinement effect resulting from the vertically effective electric field was incorporated into the k · p calculation. The distinct effective mass, such as the quantization effective mass and the density-of-states (DOS) effective mass, as well as the subband structure of the silicon-carbon alloy inversion layer for a PMOSFET under substrate strain and various effective electric field strengths, were all investigated. Ore results show that subband structure of relaxed silicon-carbon alloys with low carbon content are almost the same as silicon. We find that an external stress applied parallel to the channel direction can efficiently reduce the effective mass along the channel direction, thus producing hole mobility enhancement.

  7. Propagation of misfit dislocations from buffer/Si interface into Si

    Science.gov (United States)

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  8. Computer Aided Multi-scale Design of SiC-Si3N4 Nanoceramic Composites for High-Temperature Structural Applications

    Energy Technology Data Exchange (ETDEWEB)

    Vikas Tomer; John Renaud

    2010-08-31

    It is estimated that by using better and improved high temperature structural materials, the power generation efficiency of the power plants can be increased by 15% resulting in significant cost savings. One such promising material system for future high-temperature structural applications in power plants is Silicon Carbide-Silicon Nitride (SiC-Si{sub 3}N{sub 4}) nanoceramic matrix composites. The described research work focuses on multiscale simulation-based design of these SiC-Si{sub 3}N{sub 4} nanoceramic matrix composites. There were two primary objectives of the research: (1) Development of a multiscale simulation tool and corresponding multiscale analyses of the high-temperature creep and fracture resistance properties of the SiC-Si{sub 3}N{sub 4} nanocomposites at nano-, meso- and continuum length- and timescales; and (2) Development of a simulation-based robust design optimization methodology for application to the multiscale simulations to predict the range of the most suitable phase morphologies for the desired high-temperature properties of the SiC-Si{sub 3}N{sub 4} nanocomposites. The multiscale simulation tool is based on a combination of molecular dynamics (MD), cohesive finite element method (CFEM), and continuum level modeling for characterizing time-dependent material deformation behavior. The material simulation tool is incorporated in a variable fidelity model management based design optimization framework. Material modeling includes development of an experimental verification framework. Using material models based on multiscaling, it was found using molecular simulations that clustering of the SiC particles near Si{sub 3}N{sub 4} grain boundaries leads to significant nanocomposite strengthening and significant rise in fracture resistance. It was found that a control of grain boundary thicknesses by dispersing non-stoichiometric carbide or nitride phases can lead to reduction in strength however significant rise in fracture strength. The

  9. Strain quantification in epitaxial thin films

    International Nuclear Information System (INIS)

    Cushley, M

    2008-01-01

    Strain arising in epitaxial thin films can be beneficial in some cases but devastating in others. By altering the lattice parameters, strain may give a thin film properties hitherto unseen in the bulk material. On the other hand, heavily strained systems are prone to develop lattice defects in order to relieve the strain, which can cause device failure or, at least, a decrease in functionality. Using convergent beam electron diffraction (CBED) and high-resolution transmission electron microscopy (HRTEM), it is possible to determine local strains within a material. By comparing the results from CBED and HRTEM experiments, it is possible to gain a complete view of a material, including the strain and any lattice defects present. As well as looking at how the two experimental techniques differ from each other, I will also look at how results from different image analysis algorithms compare. Strain in Si/SiGe samples and BST/SRO/MgO capacitor structures will be discussed.

  10. Partial transformation of austenite in Al-Mn-Si TRIP steel upon tensile straining: an in situ EBSD study

    DEFF Research Database (Denmark)

    Lomholt, Trine Nybo; Adachi, Y.; da Silva Fanta, Alice Bastos

    2013-01-01

    The transformation of austenite to martensite in an Al–Mn–Si transformation-induced plasticity steel was investigated with in situ electron backscatter diffraction (EBSD) measurements under tensile straining. The visualisation of the microstructure upon straining allows for an investigation...... to be more stable than large grains, while austenite grains located beside bainitic ferrite are the most stable. Moreover, it is demonstrated that austenite grains transform gradually...

  11. Structure and chemistry of passivated SiC/SiO{sub 2} interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Houston Dycus, J.; Xu, Weizong; LeBeau, James M. [Department of Materials Science and Engineering, North Carolina State University, Raleigh, North Carolina 27695-7907 (United States); Lichtenwalner, Daniel J.; Hull, Brett; Palmour, John W. [Power Devices R& D, Wolfspeed, A Cree Company, Research Triangle Park, North Carolina 27709 (United States)

    2016-05-16

    Here, we report on the chemistry and structure of 4H-SiC/SiO{sub 2} interfaces passivated either by nitric oxide annealing or Ba deposition. Using aberration corrected scanning transmission electron microscopy and spectroscopy, we find that Ba and N remain localized at SiC/SiO{sub 2} interface after processing. Further, we find that the passivating species can introduce significant changes to the near-interface atomic structure of SiC. Specifically, we quantify significant strain for nitric oxide annealed sample where Si dangling bonds are capped by N. In contrast, strain is not observed at the interface of the Ba treated samples. Finally, we place these results in the context of field effect mobility.

  12. Effects of SiNx on two-dimensional electron gas and current collapse of AlGaN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Fan, Ren; Zhi-Biao, Hao; Lei, Wang; Lai, Wang; Hong-Tao, Li; Yi, Luo

    2010-01-01

    SiN x is commonly used as a passivation material for AlGaN/GaN high electron mobility transistors (HEMTs). In this paper, the effects of SiN x passivation film on both two-dimensional electron gas characteristics and current collapse of AlGaN/GaN HEMTs are investigated. The SiN x films are deposited by high- and low-frequency plasma-enhanced chemical vapour deposition, and they display different strains on the AlGaN/GaN heterostructure, which can explain the experiment results. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  13. EVALUATION OF DISTAL UPPER EXTREMITY (DUE MUSCULOSKELETAL DISORDERS BY STRAIN INDEX (SI IN AN IRONWORK INDUSTRY

    Directory of Open Access Journals (Sweden)

    Seyed-Ali Moussavi-Najarkola

    2008-04-01

    Full Text Available Background and aims:Work-related musculoskeletal disorders (WMSDS is one of the mostimportant problems in working populations of Iranian industries; so, in order to evaluate theintegrated roles and effects of various ergonomic risk factors inducing such disorders, the StrainIndex (SI methods was used.Methods: This was a cross-sectional study conducted on 448 male subjects including 63controls working in administrative jobs and 385 cases working in lathing, welding, melting andfoundry jobs using integrated procedure which includes observations, interview, NordicMusculoskeletal Questionnaire (NMQ methods and SI model. All workers were questioned.Data were analyzed using SPSS software v. 11 and Excel package.Results: The most prevalent MSDs in upper limbs were found in melting lathing, foundry andwelding respectively. There was a significant relationship between age and job groups (c2=7.33;df=16; p<0.001. One-way analysis of variance showed a significant differences among means ofcalculated Strain Indices of administrative (1.06, lathing (6.52, welding (3.68, melting (7.79and foundry (6.33 jobs (F=5.92; df=16; p=0.005. Also it was revealed that melting job wasattributed as "hazardous job" (4 risk level, lathing and foundry jobs were referred to "moderaterisk level" (3 risk level, welding job was allocated as "uncertain risk level" (2 risk level, andadministrative job was attributed as "safe risk level" (1 risk level. Moreover, there was asignificant relationship between DUE and job groups (c2=11.92; df=12; p=0.004.The paired ttestshowed significant difference with direct and relatively complete correlation between meansof Strain Indices in right (6.53 and left (4.29 hands (r=0.69; t=3.15; p<0.001.Conclusion: The Strain Index (SI model can be referred as an efficient and applicable methodfor the assessment of ergonomics risk factors inducing upper extremity musculoskeletal disorders(UEMSDs, classifying jobs, correcting and modifying work situations

  14. Si-FeSi2/C nanocomposite anode materials produced by two-stage high-energy mechanical milling

    Science.gov (United States)

    Yang, Yun Mo; Loka, Chadrasekhar; Kim, Dong Phil; Joo, Sin Yong; Moon, Sung Whan; Choi, Yi Sik; Park, Jung Han; Lee, Kee-Sun

    2017-05-01

    High capacity retention Silicon-based nanocomposite anode materials have been extensively explored for use in lithium-ion rechargeable batteries. Here we report the preparation of Si-FeSi2/C nanocomposite through scalable a two-stage high-energy mechanical milling process, in which nano-scale Si-FeSi2 powders are besieged by the carbon (graphite/amorphous phase) layer; and investigation of their structure, morphology and electrochemical performance. Raman analysis revealed that the carbon layer structure comprised of graphitic and amorphous phase rather than a single amorphous phase. Anodes fabricated with the Si-FeSi2/C showed excellent electrochemical behavior such as a first discharge capacity of 1082 mAh g-1 and a high capacity retention until the 30th cycle. A remarkable coulombic efficiency of 99.5% was achieved within a few cycles. Differential capacity plots of the Si-FeSi2/C anodes revealed a stable lithium reaction with Si for lithiation/delithiation. The enhanced electrochemical properties of the Si-FeSi2/C nanocomposite are mainly attributed to the nano-size Si and stable solid electrolyte interface formation and highly conductive path driven by the carbon layer.

  15. Interfacial stability of CoSi2/Si structures grown by molecular beam epitaxy

    Science.gov (United States)

    George, T.; Fathauer, R. W.

    1992-01-01

    The stability of CoSi2/Si interfaces was examined in this study using columnar silicide structures grown on (111) Si substrates. In the first set of experiments, Co and Si were codeposited using MBE at 800 C and the resulting columnar silicide layer was capped by epitaxial Si. Deposition of Co on the surface of the Si capping layer at 800 C results in the growth of the buried silicide columns. The buried columns grow by subsurface diffusion of the deposited Co, suppressing the formation of surface islands of CoSi2. The column sidewalls appear to be less stable than the top and bottom interfaces, resulting in preferential lateral growth and ultimately in the coalescence of the columns to form a continuous buried CoSi2 layer. In the second set of experiments, annealing of a 250 nm-thick buried columnar layer at 1000 C under a 100 nm-thick Si capping layer results in the formation of a surface layer of CoSi2 with a reduction in the sizes of the CoSi2 columns. For a sample having a thicker Si capping layer the annealing leads to Ostwald ripening producing buried equiaxed columns. The high CoSi2/Si interfacial strain could provide the driving force for the observed behavior of the buried columns under high-temperature annealing.

  16. GaSb and GaSb/AlSb Superlattice Buffer Layers for High-Quality Photodiodes Grown on Commercial GaAs and Si Substrates

    Science.gov (United States)

    Gutiérrez, M.; Lloret, F.; Jurczak, P.; Wu, J.; Liu, H. Y.; Araújo, D.

    2018-05-01

    The objective of this work is the integration of InGaAs/GaSb/GaAs heterostructures, with high indium content, on GaAs and Si commercial wafers. The design of an interfacial misfit dislocation array, either on GaAs or Si substrates, allowed growth of strain-free devices. The growth of purposely designed superlattices with their active region free of extended defects on both GaAs and Si substrates is demonstrated. Transmission electron microscopy technique is used for the structural characterization and plastic relaxation study. In the first case, on GaAs substrates, the presence of dopants was demonstrated to reduce several times the threading dislocation density through a strain-hardening mechanism avoiding dislocation interactions, while in the second case, on Si substrates, similar reduction of dislocation interactions is obtained using an AlSb/GaSb superlattice. The latter is shown to redistribute spatially the interfacial misfit dislocation array to reduce dislocation interactions.

  17. Metallization of ion beam synthesized Si/3C-SiC/Si layer systems by high-dose implantation of transition metal ions

    International Nuclear Information System (INIS)

    Lindner, J.K.N.; Wenzel, S.; Stritzker, B.

    2001-01-01

    The formation of metal silicide layers contacting an ion beam synthesized buried 3C-SiC layer in silicon by means of high-dose titanium and molybdenum implantations is reported. Two different strategies to form such contact layers are explored. The titanium implantation aims to convert the Si top layer of an epitaxial Si/SiC/Si layer sequence into TiSi 2 , while Mo implantations were performed directly into the SiC layer after selectively etching off all capping layers. Textured and high-temperature stable C54-TiSi 2 layers with small additions of more metal-rich silicides are obtained in the case of the Ti implantations. Mo implantations result in the formation of the high-temperature phase β-MoSi 2 , which also grows textured on the substrate. The formation of cavities in the silicon substrate at the lower SiC/Si interface due to the Si consumption by the growing silicide phase is observed in both cases. It probably constitutes a problem, occurring whenever thin SiC films on silicon have to be contacted by silicide forming metals independent of the deposition technique used. It is shown that this problem can be solved with ion beam synthesized contact layers by proper adjustment of the metal ion dose

  18. Cavities at the Si projected range by high dose and energy Si ion implantation in Si

    International Nuclear Information System (INIS)

    Canino, M.; Regula, G.; Lancin, M.; Xu, M.; Pichaud, B.; Ntzoenzok, E.; Barthe, M.F.

    2009-01-01

    Two series of n-type Si samples α and β are implanted with Si ions at high dose (1 x 10 16 ) and high energies, 0.3 and 1.0 MeV, respectively. Both sort of samples are then implanted with 5 x 10 16 He cm -2 (at 10 or 50 keV) and eventually with B atoms. Some of the samples are annealed at temperatures ranging from 800 to 1000 deg. C to allow the thermal growth of He-cavities, located between sample surface and the projected range (R p ) of Si. After the triple ion implantation, which corresponds to defect engineering, samples were characterized by cross-section transmission electron microscopy (XTEM). Voids (or bubbles) are observed not only at the R p (He) on all annealed samples, but also at the R p (Si) on β samples implanted with He at 50 keV. The samples are also studied by positron annihilation spectroscopy (PAS) and the spectra confirm that as-implanted samples contain di-vacancies and that the annealed ones, even at high temperature have bigger open volumes, which are assumed to be the same voids observed by XTEM. It is demonstrated that a sole Si implantation at high energy and dose is efficient to create cavities which are thermally stable up to 1000 deg. C only in the presence of He.

  19. Formation, structure, and phonon confinement effect of nanocrystalline Si1-xGex in SiO2-Si-Ge cosputtered films

    International Nuclear Information System (INIS)

    Yang, Y.M.; Wu, X.L.; Siu, G.G.; Huang, G.S.; Shen, J.C.; Hu, D.S.

    2004-01-01

    Using magnetron cosputtering of SiO 2 , Ge, and Si targets, Si-based SiO 2 :Ge:Si films were fabricated for exploring the influence of Si target proportion (P Si ) and annealing temperature (Ta) on formation, local structure, and phonon properties of nanocrystalline Si 1-x Ge x (nc-Si 1-x Ge x ). At low P Si and Ta higher than 800 deg. C, no nc-Si 1-x Ge x but a kind of composite nanocrystal consisting of a Ge core, GeSi shell, and amorphous Si outer shell is formed in the SiO 2 matrix. At moderate P Si , nc-Si 1-x Ge x begins to be formed at Ta=800 deg. C and coexists with nc-Ge at Ta=1100 deg. C. At high P Si , it was disclosed that both optical phonon frequency and lattice spacing of nc-Si 1-x Ge x increase with raising Ta. The possible origin of this phenomenon is discussed by considering three factors, the phonon confinement, strain effect, and composition variation of nc-Si 1-x Ge x . This work will be helpful in understanding the growth process of ternary GeSiO films and beneficial to further investigations on optical properties of nc-Ge 1-x Si x in the ternary matrix

  20. Strain evolution in Si substrate due to implantation of MeV ion observed by extremely asymmetric x-ray diffraction

    International Nuclear Information System (INIS)

    Emoto, T.; Ghatak, J.; Satyam, P. V.; Akimoto, K.

    2009-01-01

    We studied the strain introduced in a Si(111) substrate due to MeV ion implantation using extremely asymmetric x-ray diffraction and measured the rocking curves of asymmetrical 113 diffraction for the Si substrates implanted with a 1.5 MeV Au 2+ ion at fluence values of 1x10 13 , 5x10 13 , and 1x10 14 /cm 2 . The measured curves consisted of a bulk peak and accompanying subpeak with an interference fringe. The positional relationship of the bulk peak to the subpeak and the intensity variation of those peaks with respect to the wavelengths of the x rays indicated that crystal lattices near the surface were strained; the lattice spacing of surface normal (111) planes near the surface was larger than that of the bulk. Detailed strain profiles along the depth direction were successfully estimated using a curve-fitting method based on Darwin's dynamical diffraction theory. Comparing the shapes of resultant strain profiles, we found that a strain evolution rapidly occurred within a depth of ∼300 nm at fluence values between 1x10 13 and 5x10 13 /cm 2 . This indicates that formation of the complex defects progressed near the surface when the fluence value went beyond a critical value between 1x10 13 and 5x10 13 /cm 2 and the defects brought a large strain to the substrate.

  1. Effect of Si content on fatigue fracture behavior of hot-rolled high-silicon steels

    Science.gov (United States)

    Umezawa, Osamu; Kanda, Jyunichi; Yamazaki, Takao

    2017-05-01

    As the Si content was increased from 1.5 to 5 mass%, both the yield stress and ultimate tensile strength were increased, respectively. The work hardening rate was also increased as the increase of Si content. On the contrary, the elongation was decreased as the increase of Si content, and the fracture manner was shifted from ductile to brittle. The 107 cycles fatigue strength was higher as the increase of Si content. The small misorientation distribution as ladder-like was detected in the grains of 1.5 mass%Si steel. Around the grain boundary, the strain incompatibility was detected in the steels containing over 3 mass%Si. The lattice rotation was locally detected in the vicinity of grain boundaries.

  2. Biotechnological Potential of Bacillus salmalaya 139SI: A Novel Strain for Remediating Water Polluted with Crude Oil Waste

    OpenAIRE

    Ismail, Salmah; Dadrasnia, Arezoo

    2015-01-01

    Environmental contamination by petroleum hydrocarbons, mainly crude oil waste from refineries, is becoming prevalent worldwide. This study investigates the bioremediation of water contaminated with crude oil waste. Bacillus salamalaya 139SI, a bacterium isolated from a private farm soil in the Kuala Selangor in Malaysia, was found to be a potential degrader of crude oil waste. When a microbial population of 108 CFU ml-1 was used, the 139SI strain degraded 79% and 88% of the total petroleum hy...

  3. Investigation of high mobility pseudomorphic SiGe p-channels in Si MOSFETS at low and high electric fields

    International Nuclear Information System (INIS)

    Palmer, Martin John

    2001-01-01

    Silicon Metal-Oxide-Semiconductor Field Effect Transistors (MOSFETs) for high speed, high current applications are rapidly approaching the physical and financial limits of the technology. This opens opportunities for the incorporation of materials with intrinsically better transport characteristics. An alloy of silicon and germanium is one such material that is gaining much recognition as the active component of MOSFETs and as the secondary structures (such as the gate electrode). This work examines a batch of buried channel Si 0.64 Ge 0.36 p-MOSFETs, with a minimum effective length of 0.35 μm, under different bias conditions and at different temperatures. High current and transconductance enhancements are apparent at long gate lengths. The carrier mobility is up to a factor of 2.5 times that of silicon at room temperature and 7.5 times at 4 K. A clear trend of decreasing peak mobility with decreasing silicon cap thickness is evident. Simulations show that scattering caused by the roughness of the SiO 2 /Si interface dominates, rather than alloy scattering or Si/SiGe roughness, even for a buried channel. This scattering increases with the proximity of the carriers to the interface. An increase of interface trap density with decreasing cap thickness, demonstrates that segregated germanium exists some distance into the cap and interferes with the oxidation process. This will increase scattering through increased SiO 2 /Si roughness and increased trapped charge. The short channel, high field results are comparable or slightly worse than those of silicon due to lower saturation drift velocity. However, fitting to a drift-diffusion model shows an apparent increase in saturation velocity for short channels, especially at low temperatures. This effect correlates with the low field mobility and is greater for devices containing SiGe. This is an indication of velocity overshoot, which may enhance the performance of SiGe MOSFETs at deep submicron gate lengths. (author)

  4. Transformation of sludge Si to nano-Si/SiOx structure by oxygen inward diffusion as precursor for high performance anodes in lithium ion batteries

    Science.gov (United States)

    Hua, Qiqi; Dai, Dongyang; Zhang, Chengzhi; Han, Fei; Lv, Tiezheng; Li, Xiaoshan; Wang, Shijie; Zhu, Rui; Liao, Haojie; Zhang, Shiguo

    2018-05-01

    Although several Si/C composite structures have been proposed for high-performance lithium-ion batteries (LIBs), they have still suffered from expensive and complex processes of nano-Si production. Herein, a simple, controllable oxygen inward diffusion was utilized to transform Si sludge obtained from the photovoltaic (PV) industry into the nano-Si/SiOx structure as a result of the high diffusion efficiency of O inside Si and high surface area of the sludge. After further process, a yolk/shell Si/C structure was obtained as an anode material for LIBs. This composite demonstrated an excellent cycling stability, with a high reversible capacity (˜ 1250 mAh/g for 500 cycles), by void space originally left by the SiOx accommodate inner Si expansion. We believe this is a rather simple way to convert the waste Si into a valuable nano-Si for LIB applications.

  5. Property Evaluation and Damage Evolution of Environmental Barrier Coatings and Environmental Barrier Coated SiC/SiC Ceramic Matrix Composite Sub-Elements

    Science.gov (United States)

    Zhu, Dongming; Halbig, Michael; Jaskowiak, Martha; Hurst, Janet; Bhatt, Ram; Fox, Dennis S.

    2014-01-01

    This paper describes recent development of environmental barrier coatings on SiC/SiC ceramic matrix composites. The creep and fatigue behavior at aggressive long-term high temperature conditions have been evaluated and highlighted. Thermal conductivity and high thermal gradient cyclic durability of environmental barrier coatings have been evaluated. The damage accumulation and complex stress-strain behavior environmental barrier coatings on SiCSiC ceramic matrix composite turbine airfoil subelements during the thermal cyclic and fatigue testing of have been also reported.

  6. Circumferential tensile test method for mechanical property evaluation of SiC/SiC tube

    Energy Technology Data Exchange (ETDEWEB)

    Yu, Ju-Hyeon, E-mail: 15096018@mmm.muroran-it.ac.jp [Graduate School, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); Kishimoto, Hirotatsu [Graduate School, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); OASIS, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); Park, Joon-soo [OASIS, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); Nakazato, Naofumi [Graduate School, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan); Kohyama, Akira [OASIS, Muroran Institute of Technology, 27-1, Muroran, Hokkaido (Japan)

    2016-11-01

    Highlights: • NITE SiC/SiC cooling channel system to be a candidate of divertor system in future. • Hoop strength is one of the important factors for a tube. • This research studies the relationship between deformation and strain of SiC/SiC tube. - Abstract: SiC fiber reinforced/SiC matrix (SiC/SiC) composite is expected to be a candidate material for the first-wall, components in the blanket and divertor of fusion reactors in future. In such components, SiC/SiC composites need to be formed to be various shapes. SiC/SiC tubes has been expected to be employed for blanket and divertor after DEMO reactor, but there is not established mechanical investigation technique. Recent progress of SiC/SiC processing techniques is likely to realize strong, having gas tightness SiC/SiC tubes which will contribute for the development of fusion reactors. This research studies the relationship between deformation and strain of SiC/SiC tube using a circumferential tensile test method to establish a mechanical property investigation method of SiC/SiC tubes.

  7. Microstructure and High Temperature Plastic Deformation Behavior of Al-12Si Based Alloy Fabricated by an Electromagnetic Casting and Stirring Process

    Energy Technology Data Exchange (ETDEWEB)

    Jeon, Kyung-Soo; Roh, Heung-Ryeol; Kim, Mok-Soon [Inha University, Incheon (Korea, Republic of); Kim, Jong-Ho; Park, Joon-Pyo [Research Institute of Industrial Science and Technology, Pohang (Korea, Republic of)

    2017-06-15

    An as-received EMC/S (electromagnetic casting and stirring)-processed Al-12Si based alloy billet was homogenized to examine its microstructure and high temperature plastic deformation behavior, using compressive tests over the temperature range from 623 to 743 K and a strain rate range from 1.0×10{sup -3} to 1.0×10{sup 0}s{sup -1}. The results were compared with samples processed by the direct chill casting (DC) method. The fraction of equiaxed structure for the as-received EMC/S billet(41%) was much higher than that of the as-received DC billet(6 %). All true stress – true strain curves acquired from the compressive tests exhibited a peak stress at the initial stage of plastic deformation. Flow stress showed a steady state region after the appearance of peak stress with increasing strain. The peak stress decreased with increasing temperature at a given strain rate and a decreasing strain rate at a given temperature. A constitutive equation was made for each alloy, which could be used to predict the peak stress. A recrystallized grain structure was observed in all the deformed specimens, indicating that dynamic recrystallization is the predominant mechanism during high temperature plastic deformation of both the homogenized EMC/S and DC-processed Al-12Si based alloys.

  8. V3Si multifilamentary superconductor with high overall Jc

    International Nuclear Information System (INIS)

    Takeuchi, Takao; Inoue, Kiyoshi; Kosuge, Michio; Iijima, Yasuo; Watanabe, Kazuo

    1994-01-01

    V 3 Si is one of the A15-type superconducting compounds from which single crystals can be quite easily obtained due to the nature of the equilibrium phase diagram. Thus, the fundamental characteristics of A15 compounds (such as electronic structure and cubic-to-tetragonal structural transformation) have been studied with this compound. V 3 Si is, however, also promising in practical use as an alternative to Nb 3 Sn for high field magnets, since the upper critical field H c2 (4.2 K) is more than 20 T. Although the open-quotes bronze process,close quotes the established commercial process to produce Nb 3 Sn conductors, is also available for V 3 Si, the ternary section of the Cu-V-Si phase diagram indicates two diffusion paths are possible: One from the bronze with low Si content (Si 3 Si, and the other from the bronze with higher Si content to V 3 Si via V 5 Si 3 . The high Si bronze is likely to be advantageous in reducing the bronze volume fraction and hence achieving high overall critical current density J c . This is because the initially formed V 5 Si 3 is eventually converted to V 3 Si as long as the total proportion of V to Si in the composite (overall V/Si molar ratio) is kept around 3. However, long times at high temperatures are necessary for appreciable V 3 Si layer growth, thereby yielding grain growth of V 3 Si and lowering the J c of the V 3 Si compound and the overall J c accordingly. In the present study, in order to improve the overall J c , the authors have realized ∼1μm filament diameter by preparing a double-stacked Cu-8.5at.%Si/V composite. The primary bundle is sheathed with a Ta tube. The Si in the bronze inside the Ta is available only for the diffusion reaction, and the overall V/Si ratios is ∼3

  9. SiC Nanoparticles Toughened-SiC/MoSi2-SiC Multilayer Functionally Graded Oxidation Protective Coating for Carbon Materials at High Temperatures

    Science.gov (United States)

    Abdollahi, Alireza; Ehsani, Naser; Valefi, Zia; Khalifesoltani, Ali

    2017-05-01

    A SiC nanoparticle toughened-SiC/MoSi2-SiC functionally graded oxidation protective coating on graphite was prepared by reactive melt infiltration (RMI) at 1773 and 1873 K under argon atmosphere. The phase composition and anti-oxidation behavior of the coatings were investigated. The results show that the coating was composed of MoSi2, α-SiC and β-SiC. By the variations of Gibbs free energy (calculated by HSC Chemistry 6.0 software), it could be suggested that the SiC coating formed at low temperatures by solution-reprecipitation mechanism and at high temperatures by gas-phase reactions and solution-reprecipitation mechanisms simultaneously. SiC nanoparticles could improve the oxidation resistance of SiC/MoSi2-SiC multiphase coating. Addition of SiC nanoparticles increases toughness of the coating and prevents spreading of the oxygen diffusion channels in the coating during the oxidation test. The mass loss and oxidation rate of the SiC nanoparticle toughened-SiC/MoSi2-SiC-coated sample after 10-h oxidation at 1773 K were only 1.76% and 0.32 × 10-2 g/cm3/h, respectively.

  10. Investigation of capacitance voltage characteristics of strained Si/SiGe n-channel MODFET varactor

    Science.gov (United States)

    Elogail, Y.; Kasper, E.; Gunzer, F.; Shaker, A.; Schulze, J.

    2016-06-01

    This work is concerned with the investigation of Capacitance-Voltage (CV) behavior of n-channel Si/SiGe MODFET varactors. This investigation provides a valuable insight into the high frequency response of the device under test and its dependence on design parameters; especially regarding the modulation layer doping concentration. The heterostructure under consideration is much more complicated than conventional MOS varactor with respect to non-uniform doping, energy band offsets and the pn-junction in series. Subsequently, CV characterization has never been applied to such MODFET varactor structure. Experimental CV measurements have shown a non-monotonic behavior with a transition point minimum and higher saturation levels on both sides, in contradiction to the conventional high frequency MOS characteristics. This behavior was confirmed qualitatively using simulations. Moreover, we explain some fundamental capacitance properties of the structure, which provide already very interesting perceptions of the MODFET varactor operation, modeling and possible applications using the obtained stimulating results.

  11. Assessing strain mapping by electron backscatter diffraction and confocal Raman microscopy using wedge-indented Si

    Energy Technology Data Exchange (ETDEWEB)

    Friedman, Lawrence H.; Vaudin, Mark D.; Stranick, Stephan J.; Stan, Gheorghe; Gerbig, Yvonne B.; Osborn, William; Cook, Robert F., E-mail: robert.cook@nist.gov

    2016-04-15

    The accuracy of electron backscatter diffraction (EBSD) and confocal Raman microscopy (CRM) for small-scale strain mapping are assessed using the multi-axial strain field surrounding a wedge indentation in Si as a test vehicle. The strain field is modeled using finite element analysis (FEA) that is adapted to the near-indentation surface profile measured by atomic force microscopy (AFM). The assessment consists of (1) direct experimental comparisons of strain and deformation and (2) comparisons in which the modeled strain field is used as an intermediate step. Direct experimental methods (1) consist of comparisons of surface elevation and gradient measured by AFM and EBSD and of Raman shifts measured and predicted by CRM and EBSD, respectively. Comparisons that utilize the combined FEA–AFM model (2) consist of predictions of distortion, strain, and rotation for comparison with EBSD measurements and predictions of Raman shift for comparison with CRM measurements. For both EBSD and CRM, convolution of measurements in depth-varying strain fields is considered. The interconnected comparisons suggest that EBSD was able to provide an accurate assessment of the wedge indentation deformation field to within the precision of the measurements, approximately 2×10{sup −4} in strain. CRM was similarly precise, but was limited in accuracy to several times this value. - Highlights: • We map strain by electron backscatter diffraction and confocal Raman microscopy. • The test vehicle is the multi-axial strain field of wedge-indented silicon. • Strain accuracy is assessed by direct experimental intercomparison. • Accuracy is also assessed by atomic force microscopy and finite element analyses. • Electron diffraction measurements are accurate; Raman measurements need refinement.

  12. Assessing strain mapping by electron backscatter diffraction and confocal Raman microscopy using wedge-indented Si

    International Nuclear Information System (INIS)

    Friedman, Lawrence H.; Vaudin, Mark D.; Stranick, Stephan J.; Stan, Gheorghe; Gerbig, Yvonne B.; Osborn, William; Cook, Robert F.

    2016-01-01

    The accuracy of electron backscatter diffraction (EBSD) and confocal Raman microscopy (CRM) for small-scale strain mapping are assessed using the multi-axial strain field surrounding a wedge indentation in Si as a test vehicle. The strain field is modeled using finite element analysis (FEA) that is adapted to the near-indentation surface profile measured by atomic force microscopy (AFM). The assessment consists of (1) direct experimental comparisons of strain and deformation and (2) comparisons in which the modeled strain field is used as an intermediate step. Direct experimental methods (1) consist of comparisons of surface elevation and gradient measured by AFM and EBSD and of Raman shifts measured and predicted by CRM and EBSD, respectively. Comparisons that utilize the combined FEA–AFM model (2) consist of predictions of distortion, strain, and rotation for comparison with EBSD measurements and predictions of Raman shift for comparison with CRM measurements. For both EBSD and CRM, convolution of measurements in depth-varying strain fields is considered. The interconnected comparisons suggest that EBSD was able to provide an accurate assessment of the wedge indentation deformation field to within the precision of the measurements, approximately 2×10"−"4 in strain. CRM was similarly precise, but was limited in accuracy to several times this value. - Highlights: • We map strain by electron backscatter diffraction and confocal Raman microscopy. • The test vehicle is the multi-axial strain field of wedge-indented silicon. • Strain accuracy is assessed by direct experimental intercomparison. • Accuracy is also assessed by atomic force microscopy and finite element analyses. • Electron diffraction measurements are accurate; Raman measurements need refinement.

  13. Solving the critical thermal bowing in 3C-SiC/Si(111) by a tilting Si pillar architecture

    Science.gov (United States)

    Albani, Marco; Marzegalli, Anna; Bergamaschini, Roberto; Mauceri, Marco; Crippa, Danilo; La Via, Francesco; von Känel, Hans; Miglio, Leo

    2018-05-01

    The exceptionally large thermal strain in few-micrometers-thick 3C-SiC films on Si(111), causing severe wafer bending and cracking, is demonstrated to be elastically quenched by substrate patterning in finite arrays of Si micro-pillars, sufficiently large in aspect ratio to allow for lateral pillar tilting, both by simulations and by preliminary experiments. In suspended SiC patches, the mechanical problem is addressed by finite element method: both the strain relaxation and the wafer curvature are calculated at different pillar height, array size, and film thickness. Patches as large as required by power electronic devices (500-1000 μm in size) show a remarkable residual strain in the central area, unless the pillar aspect ratio is made sufficiently large to allow peripheral pillars to accommodate the full film retraction. A sublinear relationship between the pillar aspect ratio and the patch size, guaranteeing a minimal curvature radius, as required for wafer processing and micro-crack prevention, is shown to be valid for any heteroepitaxial system.

  14. Si-O compound formation by oxygen ion implantation into silicon

    International Nuclear Information System (INIS)

    Hensel, E.; Wollschlaeger, K.; Kreissig, U.; Skorupa, W.; Schulze, D.; Finster, J.

    1985-01-01

    High dose oxygen ion implantation into silicon at 30 keV was performed to produce understoichiometric and stoichiometric surface oxide layers of approx. 160 nm thickness. The oxygen depth profile and oxide stoichiometry was determined by RBS and XPS. Si-O compound formation was found by IR spectroscopy and XPS in the unannealed samples as well as after target heating, furnace or flash lamp annealing. As implanted understoichiometric layers consist of random bonding like SiOsub(x) (O 2 after annealing. Unannealed stoichiometric layers are bond strained SiO 2 . The activation energies of demixing and of the annealing of bond strains are determined to 0.19 and 0.13 eV, respectively. The removing of bond strains occurs at temperatures >= 800 C in a time shorter than 1 s. The SiO 2 /Si transition region of unannealed stoichiometric layers consists of SiOsub(x) with an extent of about 10 nm. After annealing this extent diminishes to 0.8 to 1 nm in consequence of oxidation by excess oxygen from the overstoichiometric oxide region. This thickness is comparable with that of thermal oxide. (author)

  15. Metastability and relaxation in tensile SiGe on Ge(001) virtual substrates

    International Nuclear Information System (INIS)

    Frigerio, Jacopo; Lodari, Mario; Chrastina, Daniel; Mondiali, Valeria; Isella, Giovanni; Bollani, Monica

    2014-01-01

    We systematically study the heteroepitaxy of SiGe alloys on Ge virtual substrates in order to understand strain relaxation processes and maximize the tensile strain in the SiGe layer. The degree of relaxation is measured by high-resolution x-ray diffraction, and surface morphology is characterized by atomic force microscopy. The results are analyzed in terms of a numerical model, which considers dislocation nucleation, multiplication, thermally activated glide, and strain-dependent blocking. Relaxation is found to be sensitive to growth rate and substrate temperature as well as epilayer misfit and thickness, and growth parameters are found which allow a SiGe film with over 4 GPa of tensile stress to be obtained.

  16. Surface and interface strains studied by x-ray diffraction

    International Nuclear Information System (INIS)

    Akimoto, Koichi; Emoto, Takashi; Ichimiya, Ayahiko

    1998-01-01

    The authors have developed a technique of X-ray diffraction in order to measure strain fields near semiconductor surface and interface. The diffraction geometry is using the extremely asymmetric Bragg-case bulk reflection of a small incident angle to the surface and a large angle exiting from the surface. The incident angle of the X-rays is set near critical angle of total reflection by tuning X-ray energy of synchrotron radiation at the Photon Factory, Japan. For thermally grown-silicon oxide/Si(100) interface, the X-ray intensity of the silicon substrate 311 reflection has been measured. From comparison of the full width at half maxima (FWHM) of X-ray rocking curves of various thickness of silicon oxides, it has been revealed that silicon substrate lattice is highly strained in the thin (less than about 5 nm) silicon oxide/silicon system. In order to know the original silicon surface strain, the authors have also performed the same kind of measurements in the ultra-high vacuum chamber. A clean Si(111) 7x7 surface gives sharper X-ray diffraction peak than that of the native oxide/Si(111) system. From these measurements, it is concluded that the thin silicon oxide film itself gives strong strain fields to the silicon substrates, which may be the reason of the existence of the structural transition layer at the silicon oxide/Si interface

  17. Low-temperature strain gauges based on silicon whiskers

    Directory of Open Access Journals (Sweden)

    Druzhinin A. A.

    2008-08-01

    Full Text Available To create low-temperature strain gauges based on p-type silicon whiskers tensoresistive characteristics of these crystals in 4,2—300 K temperature range were studied. On the basis of p-type Si whiskers with different resistivity the strain gauges for different materials operating at cryogenic temperatures with extremely high gauge factor at 4,2 K were developed, as well as strain gauges operating at liquid helium temperatures in high magnetic fields.

  18. Resonant tunneling measurements of size-induced strain relaxation

    Science.gov (United States)

    Akyuz, Can Deniz

    Lattice mismatch strain available in such semiconductor heterostructures as Si/SiGe or GaAs/AlGaAs can be employed to alter the electronic and optoelectronic properties of semiconductor structures and devices. When deep submicron structures are fabricated from strained material, strained layers relax by sidewall expansion giving rise to size- and geometry-dependent strain gradients throughout the structure. This thesis describes a novel experimental technique to probe the size-induced strain relaxation by studying the tunneling current characteristics of strained p-type Si/SiGe resonant tunneling diodes. Our current-voltage measurements on submicron strained p-Si/SiGe double- and triple-barrier resonant tunneling structures as a function of device diameter, D, provide experimental access to both the average strain relaxation (which leads to relative shifts in the tunneling current peak positions) and strain gradients (which give rise to a fine structure in the current peaks due to inhomogeneous strain-induced lateral quantization). We find that strain relaxation is significant, with a large fraction of the strain energy relaxed on average in D ≤ 0.25 m m devices. Further, the in-plane potentials that arise from inhomogeneous strain gradients are large. In the D ˜ 0.2 m m devices, the corresponding lateral potentials are approximately parabolic exceeding ˜ 25 meV near the perimeter. These potentials create discrete hole states in double-barrier structures (single well), and coupled hole states in triple-barrier structures (two wells). Our results are in excellent agreement with finite-element strain calculations in which the strained layers are permitted to relax to a state of minimum energy by sidewall expansion. Size-induced strain relaxation will undoubtedly become a serious technological issue once strained devices are scaled down to the deep submicron regime. Interestingly, our calculations predict and our measurements are consistent with the appearance of

  19. Biotechnological potential of Bacillus salmalaya 139SI: a novel strain for remediating water polluted with crude oil waste.

    Science.gov (United States)

    Ismail, Salmah; Dadrasnia, Arezoo

    2015-01-01

    Environmental contamination by petroleum hydrocarbons, mainly crude oil waste from refineries, is becoming prevalent worldwide. This study investigates the bioremediation of water contaminated with crude oil waste. Bacillus salamalaya 139SI, a bacterium isolated from a private farm soil in the Kuala Selangor in Malaysia, was found to be a potential degrader of crude oil waste. When a microbial population of 108 CFU ml-1 was used, the 139SI strain degraded 79% and 88% of the total petroleum hydrocarbons after 42 days of incubation in mineral salt media containing 2% and 1% of crude oil waste, respectively, under optimum conditions. In the uninoculated medium containing 1% crude oil waste, 6% was degraded. Relative to the control, the degradation was significantly greater when a bacteria count of 99 × 108 CFU ml-1 was added to the treatments polluted with 1% oil. Thus, this isolated strain is useful for enhancing the biotreatment of oil in wastewater.

  20. Biotechnological potential of Bacillus salmalaya 139SI: a novel strain for remediating water polluted with crude oil waste.

    Directory of Open Access Journals (Sweden)

    Salmah Ismail

    Full Text Available Environmental contamination by petroleum hydrocarbons, mainly crude oil waste from refineries, is becoming prevalent worldwide. This study investigates the bioremediation of water contaminated with crude oil waste. Bacillus salamalaya 139SI, a bacterium isolated from a private farm soil in the Kuala Selangor in Malaysia, was found to be a potential degrader of crude oil waste. When a microbial population of 108 CFU ml-1 was used, the 139SI strain degraded 79% and 88% of the total petroleum hydrocarbons after 42 days of incubation in mineral salt media containing 2% and 1% of crude oil waste, respectively, under optimum conditions. In the uninoculated medium containing 1% crude oil waste, 6% was degraded. Relative to the control, the degradation was significantly greater when a bacteria count of 99 × 108 CFU ml-1 was added to the treatments polluted with 1% oil. Thus, this isolated strain is useful for enhancing the biotreatment of oil in wastewater.

  1. Experimental study on the microstructure evolution of 55SiMnMo

    International Nuclear Information System (INIS)

    Zhao, Y Q; Liu, F F; Wang, X G; Qi, H Y; Ma, Z H

    2015-01-01

    Isothermal compressive experiments on 55SiMnMo steel were carried out with the Gleeble 3500 hot-simulation machine. High temperature flow stress-strain curves were measured over the deformation temperature range of 950 to 1050 °C and a strain rate from 0.01 to 10 s -1 . Experimental results revealed that the peak stress decreases with increasing deformation temperature and decreasing strain rate. In addition, when the deformation temperature T ≥ 1000°C, and the strain rate ≤ 0.1 s -1 , the dynamic recrystallization of 55SiMnMo steel occurs. The stress and strain constitutive models and austenite recrystallization model were constructed to form the foundation for studying the forming process of drill rods. (paper)

  2. Theoretical Prediction of an Antimony-Silicon Monolayer (penta-Sb2Si): Band Gap Engineering by Strain Effect

    Science.gov (United States)

    Morshedi, Hosein; Naseri, Mosayeb; Hantehzadeh, Mohammad Reza; Elahi, Seyed Mohammad

    2018-04-01

    In this paper, using a first principles calculation, a two-dimensional structure of silicon-antimony named penta-Sb2Si is predicted. The structural, kinetic, and thermal stabilities of the predicted monolayer are confirmed by the cohesive energy calculation, phonon dispersion analysis, and first principles molecular dynamic simulation, respectively. The electronic properties investigation shows that the pentagonal Sb2Si monolayer is a semiconductor with an indirect band gap of about 1.53 eV (2.1 eV) from GGA-PBE (PBE0 hybrid functional) calculations which can be effectively engineered by employing external biaxial compressive and tensile strain. Furthermore, the optical characteristics calculation indicates that the predicted monolayer has considerable optical absorption and reflectivity in the ultraviolet region. The results suggest that a Sb2Si monolayer has very good potential applications in new nano-optoelectronic devices.

  3. Strain-Compensated InGaAsP Superlattices for Defect Reduction of InP Grown on Exact-Oriented (001 Patterned Si Substrates by Metal Organic Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Ludovico Megalini

    2018-02-01

    Full Text Available We report on the use of InGaAsP strain-compensated superlattices (SC-SLs as a technique to reduce the defect density of Indium Phosphide (InP grown on silicon (InP-on-Si by Metal Organic Chemical Vapor Deposition (MOCVD. Initially, a 2 μm thick gallium arsenide (GaAs layer was grown with very high uniformity on exact oriented (001 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2 stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD; atomic force microscopy (AFM; transmission electron microscopy (TEM; and electron channeling contrast imaging (ECCI; which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer.

  4. Analysis of tensile strain enhancement in Ge nano-belts on an insulator surrounded by dielectrics

    International Nuclear Information System (INIS)

    Lu Wei-Fang; Li Cheng; Huang Shi-Hao; Lin Guang-Yang; Wang Chen; Yan Guang-Ming; Huang Wei; Lai Hong-Kai; Chen Song-Yan

    2013-01-01

    Ge nano-belts with large tensile strain are considered as one of the promising materials for high carrier mobility metal—oxide—semiconductor transistors and efficient photonic devices. In this paper, we design the Ge nano-belts on an insulator surrounded by Si 3 N 4 or SiO 2 for improving their tensile strain and simulate the strain profiles by using the finite difference time domain (FDTD) method. The width and thickness parameters of Ge nano-belts on an insulator, which have great effects on the strain profile, are optimized. A large uniaxial tensile strain of 1.16% in 50-nm width and 12-nm thickness Ge nano-belts with the sidewalls protected by Si 3 N 4 is achieved after thermal treatments, which would significantly tailor the band gap structures of Ge-nanobelts to realize the high performance devices. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Growing GaN LEDs on amorphous SiC buffer with variable C/Si compositions

    Science.gov (United States)

    Cheng, Chih-Hsien; Tzou, An-Jye; Chang, Jung-Hung; Chi, Yu-Chieh; Lin, Yung-Hsiang; Shih, Min-Hsiung; Lee, Chao-Kuei; Wu, Chih-I; Kuo, Hao-Chung; Chang, Chun-Yen; Lin, Gong-Ru

    2016-01-01

    The epitaxy of high-power gallium nitride (GaN) light-emitting diode (LED) on amorphous silicon carbide (a-SixC1−x) buffer is demonstrated. The a-SixC1−x buffers with different nonstoichiometric C/Si composition ratios are synthesized on SiO2/Si substrate by using a low-temperature plasma enhanced chemical vapor deposition. The GaN LEDs on different SixC1−x buffers exhibit different EL and C-V characteristics because of the extended strain induced interfacial defects. The EL power decays when increasing the Si content of SixC1−x buffer. The C-rich SixC1−x favors the GaN epitaxy and enables the strain relaxation to suppress the probability of Auger recombination. When the SixC1−x buffer changes from Si-rich to C-rich condition, the EL peak wavelengh shifts from 446 nm to 450 nm. Moreover, the uniform distribution contour of EL intensity spreads between the anode and the cathode because the traping density of the interfacial defect gradually reduces. In comparison with the GaN LED grown on Si-rich SixC1−x buffer, the device deposited on C-rich SixC1−x buffer shows a lower turn-on voltage, a higher output power, an external quantum efficiency, and an efficiency droop of 2.48 V, 106 mW, 42.3%, and 7%, respectively. PMID:26794268

  6. High density plasma via hole etching in SiC

    International Nuclear Information System (INIS)

    Cho, H.; Lee, K.P.; Leerungnawarat, P.; Chu, S.N.G.; Ren, F.; Pearton, S.J.; Zetterling, C.-M.

    2001-01-01

    Throughwafer vias up to 100 μm deep were formed in 4H-SiC substrates by inductively coupled plasma etching with SF 6 /O 2 at a controlled rate of ∼0.6 μm min-1 and use of Al masks. Selectivities of >50 for SiC over Al were achieved. Electrical (capacitance-voltage: current-voltage) and chemical (Auger electron spectroscopy) analysis techniques showed that the etching produced only minor changes in reverse breakdown voltage, Schottky barrier height, and near surface stoichiometry of the SiC and had high selectivity over common frontside metallization. The SiC etch rate was a strong function of the incident ion energy during plasma exposure. This process is attractive for power SiC transistors intended for high current, high temperature applications and also for SiC micromachining

  7. High-temperature deformation of SiC-whisker-reinforced MgO-PSZ/mullite composites

    International Nuclear Information System (INIS)

    Parthasarathy, T.A.; Hay, R.S.; Ruh, R.

    1996-01-01

    The effect of 33.5 vol% SiC whisker loading on high-temperature deformation of 1 wt% MgO-38.5 wt% zirconia-mullite composites was studied between 1,300 and 1,400 C. At strain rates of 10 -6 to 5 x 10 -4 /s the creep resistance of zirconia-mullite composites without SiC reinforcement was inferior to monolithic mullite of similar grain size. Analysis of the results suggested that the decreased creep resistance of mullite-zirconia composites compared to pure mullite could be at least partially explained by mechanical effects of the weaker zirconia phase, increased effective diffusivity of mullite by zirconia addition, and to the differences in mullite grain morphology. With SiC whisker reinforcement, the deformation rate at high stress was nearly the same as that of the unreinforced material, but at low stress the creep rates of the SiC-reinforced material were significantly lowered. The stress dependence of the creep rate of unreinforced material suggested that diffusional creep was the operative mechanism, while the reinforced material behaved as if a threshold stress for creep existed. The threshold stress could be rationalized based on a whisker network model. This was supported by data on other whisker-containing materials; however, the threshold stress had a temperature dependence that was orders of magnitude higher than the elastic constants, leaving the physical model incomplete. The effects of residual stresses and amorphous phases at whisker/matrix interfaces are invoked to help complete the physical model for creep threshold stress

  8. First-principles calculations of orientation dependence of Si thermal oxidation based on Si emission model

    Science.gov (United States)

    Nagura, Takuya; Kawachi, Shingo; Chokawa, Kenta; Shirakawa, Hiroki; Araidai, Masaaki; Kageshima, Hiroyuki; Endoh, Tetsuo; Shiraishi, Kenji

    2018-04-01

    It is expected that the off-state leakage current of MOSFETs can be reduced by employing vertical body channel MOSFETs (V-MOSFETs). However, in fabricating these devices, the structure of the Si pillars sometimes cannot be maintained during oxidation, since Si atoms sometimes disappear from the Si/oxide interface (Si missing). Thus, in this study, we used first-principles calculations based on the density functional theory, and investigated the Si emission behavior at the various interfaces on the basis of the Si emission model including its atomistic structure and dependence on Si crystal orientation. The results show that the order in which Si atoms are more likely to be emitted during thermal oxidation is (111) > (110) > (310) > (100). Moreover, the emission of Si atoms is enhanced as the compressive strain increases. Therefore, the emission of Si atoms occurs more easily in V-MOSFETs than in planar MOSFETs. To reduce Si missing in V-MOSFETs, oxidation processes that induce less strain, such as wet or pyrogenic oxidation, are necessary.

  9. Ultra-high current density thin-film Si diode

    Science.gov (United States)

    Wang, Qi [Littleton, CO

    2008-04-22

    A combination of a thin-film .mu.c-Si and a-Si:H containing diode structure characterized by an ultra-high current density that exceeds 1000 A/cm.sup.2, comprising: a substrate; a bottom metal layer disposed on the substrate; an n-layer of .mu.c-Si deposited the bottom metal layer; an i-layer of .mu.c-Si deposited on the n-layer; a buffer layer of a-Si:H deposited on the i-layer, a p-layer of .mu.c-Si deposited on the buffer layer; and a top metal layer deposited on the p-layer.

  10. Fabrication of highly oriented D03-Fe3Si nanocrystals by solid-state dewetting of Si ultrathin layer

    International Nuclear Information System (INIS)

    Naito, Muneyuki; Nakagawa, Tatsuhiko; Machida, Nobuya; Shigematsu, Toshihiko; Nakao, Motoi; Sudoh, Koichi

    2013-01-01

    In this paper, highly oriented nanocrystals of Fe 3 Si with a D0 3 structure are fabricated on SiO 2 using ultrathin Si on insulator substrate. First, (001) oriented Si nanocrystals are formed on the SiO 2 layer by solid state dewetting of the top Si layer. Then, Fe addition to the Si nanocrystals is performed by reactive deposition epitaxy and post-deposition annealing at 500 °C. The structures of the Fe–Si nanocrystals are analyzed by cross-sectional transmission electron microscopy and nanobeam electron diffraction. We observe that Fe 3 Si nanocrystals with D0 3 , B2, and A2 structures coexist on the 1-h post-annealed samples. Prolonged annealing at 500 °C is effective in obtaining Fe 3 Si nanocrystals with a D0 3 single phase, thereby promoting structural ordering in the nanocrystals. We discuss the formation process of the highly oriented D0 3 -Fe 3 Si nanocrystals on the basis of the atomistic structural information. - Highlights: • Highly oriented Fe–Si nanocrystals (NCs) are fabricated by reactive deposition. • Si NCs formed by solid state dewetting of Si thin layers are used as seed crystals. • The structures of Fe–Si NCs are analyzed by nanobeam electron diffraction. • Most of Fe–Si NCs possess the D0 3 structure after post-deposition annealing

  11. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R.G.; Kringhoj, P. [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1993-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  12. Strain relaxation during solid-phase epitaxial crystallisation of Ge{sub x}Si{sub 1-x} alloy layers with depth dependent G{sub e} compositions

    Energy Technology Data Exchange (ETDEWEB)

    Wong, Wahchung; Elliman, R G; Kringhoj, P [Australian National Univ., Canberra, ACT (Australia). Research School of Physical Sciences

    1994-12-31

    The solid-phase epitaxial crystallisation of depth dependent Ge{sub x}Si{sub lx} alloy layers produced by implanting Ge into Si substrates was studied. In-situ monitoring was done using time-resolved reflectivity (TRR) whilst post-anneal defect structures were characterised by Rutherford backscattering and channeling spectrometry (RBS-C) and transmission electron microscopy (TEM). Particular attention was directed at Ge concentrations above the critical concentration for the growth of fully strained layers. Strain relief is shown to be correlated with a sudden reduction in crystallisation velocity caused by roughening of the crystalline/amorphous interface. 11 refs., 1 tab., 2 figs.

  13. Growth and properties of blue/green InGaN/GaN MQWs on Si(111) substrates

    International Nuclear Information System (INIS)

    Lee, Kang Jea; Oh, Tae Su; Kim, Tae Ki; Yang, Gye Mo; Lim, Kee Young

    2005-01-01

    InGaN/GaN multiple quantum wells (MQWs) were grown on highly tensile-strained GaN films on Si(111) substrate by metalorganic chemical vapor deposition. Due to the large difference of lattice constant and thermal expansion coefficient between GaN and Si, GaN growth on Si(111) substrate usually leads to an initially high dislocation density and cracks. We demonstrate low dislocation-density and crack-free GaN films grown on Si(111) substrate by introducing an AlN/GaN strain-compensation layer and Si x N y dislocation masking layer. Blue/green-emitting InGaN/GaN MQW heterostructures have been successfully grown on Si(111) substrates. Two sets of InGaN/GaN MQWs with different In solid composition and number of pairs grown between 820 .deg. C and 900 .deg. C were studied by high-resolution X-ray diffraction and photoluminescence spectroscopy. The emission wavelengths of InGaN MQW structures were significantly dependent on growth temperature.

  14. Monolithic integration of AlGaInP laser diodes on SiGe/Si substrates by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Kwon, O.; Boeckl, J. J.; Lee, M. L.; Pitera, A. J.; Fitzgerald, E. A.; Ringel, S. A.

    2006-01-01

    Room temperature operation of visible AlGaInP laser diodes epitaxially integrated on Si was demonstrated. Compressively strained laser heterostructures were grown by molecular beam epitaxy (MBE) on low dislocation density SiGe/Si substrates, where the threading dislocation density of the top relaxed Ge layers was measured in the range of 2x10 6 cm -2 . A threshold current density of J th ∼1.65 kA/cm 2 for the as-cleaved, gain-guided AlGaInP laser grown on SiGe/Si was obtained at the peak emission wavelength of 680 nm under pulsed mode current injection. These results show that not only can high quality AlGaInP materials grown by MBE be achieved on Si via relaxed SiGe interlayers, but the prototype demonstration of laser diode operation on Si illustrates that very defect sensitive optoelectronics in the III-P system can indeed be integrated with Si substrates by heteroepitaxial methods

  15. Growth and characterization of Ge nanostructures selectively grown on patterned Si

    International Nuclear Information System (INIS)

    Cheng, M.H.; Ni, W.X.; Luo, G.L.; Huang, S.C.; Chang, J.J.; Lee, C.Y.

    2008-01-01

    By utilizing different distribution of strain fields around the edges of oxide, which are dominated by a series of sizes of oxide-patterned windows, long-range ordered self-assembly Ge nanostructures, such as nano-rings, nano-disks and nano-dots, were selectively grown by ultra high vacuum chemical vapor deposition (UHV-CVD) on Si (001) substrates. High-resolution double-crystal symmetrical ω/2θ scans and two-dimensional reciprocal space mapping (2D-RSM) technologies employing the triple axis X-ray diffractometry have been used to evaluate the quality and strain status of as-deposited as well as in-situ annealed Ge nanostructures. Furthermore, we also compare the quality and strain status of Ge epilayers grown on planar unpatterned Si substrates. It was found that the quality of all Ge epitaxial structures is improved after in-situ annealing process and the quality of Ge nano-disk structures is better than that of Ge epilayers on planar unpatterned Si substrates, because oxide sidewalls are effective dislocation sinks. We also noted that the degree of relaxation for as-deposited Ge epilayers on planar unpatterned Si substrates is less than that for as-deposited Ge nano-disk structures. After in-situ annealing process, all Ge epitaxial structures are almost at full relaxation whatever Ge epitaxial structures grew on patterned or unpatterned Si substrates

  16. Measurement of minute local strain in semiconductor materials and electronic devices by using a highly parallel X-ray microbeam

    International Nuclear Information System (INIS)

    Matsui, J.; Tsusaka, Y.; Yokoyama, K.; Takeda, S.; Katou, M.; Kurihara, H.; Watanabe, K.; Kagoshima, Y.; Kimura, S.

    2003-01-01

    We have developed an X-ray microbeam with a small angular divergence by adopting X-ray optics with successive use of asymmetric Bragg reflection from silicon crystals for the both polarizations of the synchrotron X-rays. The microbeam actually obtained is several microns in size and possesses an angular divergence of less than 2 arcsec which enables us to measure the strain of 10 -5 -10 -6 . By scanning the sample against the microbeam, distribution of the minute local strain in various regions of semiconductor crystals for electronic devices, e.g., the strain around the SiO 2 /Si film edge in silicon devices, the strain in an InGaAsP/InP stripe laser were measured

  17. Valence band variation in Si (110) nanowire induced by a covered insulator

    International Nuclear Information System (INIS)

    Hong-Hua, Xu; Xiao-Yan, Liu; Yu-Hui, He; Gang, Du; Ru-Qi, Han; Jin-Feng, Kang; Chun, Fan; Ai-Dong, Sun

    2010-01-01

    In this work, we investigate strain effects induced by the deposition of gate dielectrics on the valence band structures in Si (110) nanowire via the simulation of strain distribution and the calculation of a generalized 6×6k·p strained valence band. The nanowire is surrounded by the gate dielectric. Our simulation indicates that the strain of the amorphous SiO 2 insulator is negligible without considering temperature factors. On the other hand, the thermal residual strain in a nanowire with amorphous SiO 2 insulator which has negligible lattice misfit strain pushes the valence subbands upwards by chemical vapour deposition and downwards by thermal oxidation treatment. In contrast with the strain of the amorphous SiO 2 insulator, the strain of the HfO 2 gate insulator in Si (110) nanowire pushes the valence subbands upwards remarkably. The thermal residual strain by HfO 2 insulator contributes to the up-shifting tendency. Our simulation results for valence band shifting and warping in Si nanowires can provide useful guidance for further nanowire device design. (classical areas of phenomenology)

  18. Optical transitions in Ge/SiGe multiple quantum wells with Ge-rich barriers

    Science.gov (United States)

    Bonfanti, M.; Grilli, E.; Guzzi, M.; Virgilio, M.; Grosso, G.; Chrastina, D.; Isella, G.; von Känel, H.; Neels, A.

    2008-07-01

    Direct-gap and indirect-gap transitions in strain-compensated Ge/SiGe multiple quantum wells with Ge-rich SiGe barriers have been studied by optical transmission spectroscopy and photoluminescence experiments. An sp3d5s∗ tight-binding model has been adopted to interpret the experimental results. Photoluminescence spectra and their comparison with theoretical calculations prove the existence of type-I band alignment in compressively strained Ge quantum wells grown on relaxed Ge-rich SiGe buffers. The high quality of the transmission spectra opens up other perspectives for application of these structures in near-infrared optical modulators.

  19. Positron annihilation in Si and Si-related materials in thermal equilibrium at high temperature

    International Nuclear Information System (INIS)

    Uedono, A.; Muramatsu, M.; Ubukata, T.; Tanino, H.; Shiraishi, T.; Tanigawa, S.; Takasu, S.

    2001-01-01

    Annihilation characteristics of positrons in the carbon/Si structure in thermal equilibrium at high temperature were studied using a monoenergetic positron beam. Doppler broadening spectra of the annihilation radiation were measured as a function of incident positron energy in the temperature range between 298 K and 1473 K. Above 1173 K, the value of S corresponding to the annihilation of positrons near the carbon/Si interface started to increase, which was attributed to the carbonization of Si and the introduction of open-space defects due to the diffusion of Si atoms toward the carbon layer. The behavior of Ps in a thermally grown SiO 2 film was also studied at 298-1523 K. (orig.)

  20. Strain, doping, and disorder effects in GaAs/Ge/Si heterostructures: A Raman spectroscopy investigation

    Science.gov (United States)

    Mlayah, A.; Carles, R.; Leycuras, A.

    1992-01-01

    The present work is devoted to a Raman study of GaAs/Ge/Si heterostructures grown by the vapor-phase epitaxy technique. We first show that the GaAs epilayers are submitted to a biaxial tensile strain. The strain relaxation generates misfit dislocations and thus disorder effects which we analyze in terms of translational invariance loss and Raman selection rules violation. The first-order Raman spectra of annealed samples exhibit an unexpected broadband we identify as due to scattering by a coupled LO phonon-damped plasmon mode. This is corroborated by an accurate line-shape analysis which accounts for the recorded spectra and makes evident the presence of free carriers within the GaAs layers. Their density is estimated from the deduced plasmon frequency and also using a method we have presented in a previous work.

  1. Strength of SiCf-SiCm composite tube under uniaxial and multiaxial loading

    Science.gov (United States)

    Shapovalov, Kirill; Jacobsen, George M.; Alva, Luis; Truesdale, Nathaniel; Deck, Christian P.; Huang, Xinyu

    2018-03-01

    The authors report mechanical strength of nuclear grade silicon carbide fiber reinforced silicon carbide matrix composite (SiCf-SiCm) tubing under several different stress states. The composite tubing was fabricated via a Chemical Vapor Infiltration (CVI) process, and is being evaluated for accident tolerant nuclear fuel cladding. Several experimental techniques were applied including uniaxial tension, elastomer insert burst test, open and closed end hydraulic bladder burst test, and torsion test. These tests provided critical stress and strain values at proportional limit and at ultimate failure points. Full field strain measurements using digital image correlation (DIC) were obtained in order to acquire quantitative information on localized deformation during application of stress. Based on the test results, a failure map was constructed for the SiCf-SiCm composites.

  2. Manipulation of strain state in silicon nanoribbons by top-down approach

    Energy Technology Data Exchange (ETDEWEB)

    Mu, Zhiqiang; Zhang, Miao; Xue, Zhongying; Sun, Gaodi; Guo, Qinglei; Chen, Da; Di, Zengfeng, E-mail: zfdi@mail.sim.ac.cn; Wang, Xi [State Key Laboratory of Functional Materials for Informatics, Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050 (China); Huang, Gaoshan; Mei, Yongfeng [Department of Materials Science, Fudan University, Shanghai 200433 (China); Chu, Paul K. [Department of Physics and Materials Science, City University of Hong Kong, Tat Chee Avenue, Kowloon, Hong Kong (China)

    2015-04-27

    Tensile strain is often utilized to enhance the electron mobility and luminescent characteristics of semiconductors. A top-down approach in conjunction with roll-up technology is adopted to produce high tensile strain in Si nanoribbons by patterning and releasing of the bridge-like structures. The tensile strain can be altered between uniaxial state and biaxial state by adjusting the dimensions of the patterns and can be varied controllably up to 3.2% and 0.9% for the uniaxial- and biaxial-strained Si nanoribbons, respectively. Three-dimensional finite element analysis is performed to investigate the mechanism of strain generation during patterning and releasing of the structure. Since the process mainly depends on the geometrical factors, the technique can be readily extended to other types of mechanical, electrical, and optical membranes.

  3. Properties of three-dimensional structures prepared by Ge dewetting from Si(111) at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Shklyaev, Alexander, E-mail: shklyaev@isp.nsc.ru [A. V. Rzhanov Institute of Semiconductor Physics, SB RAS, Novosibirsk 630090 (Russian Federation); Novosibirsk State University, Novosibirsk 630090 (Russian Federation); Bolotov, Leonid; Poborchii, Vladimir; Tada, Tetsuya [National Institute of Advanced Industrial Science and Technology, Higashi 1-1-1, Tsukuba, Ibaraki 305-8562 (Japan)

    2015-05-28

    The formation of three-dimensional (3D) structures during Ge deposition on Si(111) at about 800 °C is studied with scanning tunneling, Kelvin probe and electron microscopies, and scanning tunneling and Raman spectroscopies. The observed surface morphology is formed by dewetting of Ge from Si(111), since it occurs mainly by means of minimization of surface and interfacial energies. The dewetting proceeds through massive Si eroding around growing 3D structures, providing them to be composed of SiGe with about a 30% Ge content, and leads to the significant reduction of the SiGe/Si interface area. It is found that the SiGe top component of 3D structures forms sharp interfaces with the underlying Si. The minimization of interfacial and strain energies occurs on the way that the 3D structures appear to get the dendrite-like shape. The Ge distribution in the 3D SiGe structures is inhomogeneous in the lateral dimension with a higher Ge concentration in their central areas and Ge segregation on their surface.

  4. Properties of three-dimensional structures prepared by Ge dewetting from Si(111) at high temperatures

    International Nuclear Information System (INIS)

    Shklyaev, Alexander; Bolotov, Leonid; Poborchii, Vladimir; Tada, Tetsuya

    2015-01-01

    The formation of three-dimensional (3D) structures during Ge deposition on Si(111) at about 800 °C is studied with scanning tunneling, Kelvin probe and electron microscopies, and scanning tunneling and Raman spectroscopies. The observed surface morphology is formed by dewetting of Ge from Si(111), since it occurs mainly by means of minimization of surface and interfacial energies. The dewetting proceeds through massive Si eroding around growing 3D structures, providing them to be composed of SiGe with about a 30% Ge content, and leads to the significant reduction of the SiGe/Si interface area. It is found that the SiGe top component of 3D structures forms sharp interfaces with the underlying Si. The minimization of interfacial and strain energies occurs on the way that the 3D structures appear to get the dendrite-like shape. The Ge distribution in the 3D SiGe structures is inhomogeneous in the lateral dimension with a higher Ge concentration in their central areas and Ge segregation on their surface

  5. Measurement of minute local strain in semiconductor materials and electronic devices by using a highly parallel X-ray microbeam

    CERN Document Server

    Matsui, J; Yokoyama, K; Takeda, S; Katou, M; Kurihara, H; Watanabe, K; Kagoshima, Y; Kimura, S

    2003-01-01

    We have developed an X-ray microbeam with a small angular divergence by adopting X-ray optics with successive use of asymmetric Bragg reflection from silicon crystals for the both polarizations of the synchrotron X-rays. The microbeam actually obtained is several microns in size and possesses an angular divergence of less than 2 arcsec which enables us to measure the strain of 10 sup - sup 5 -10 sup - sup 6. By scanning the sample against the microbeam, distribution of the minute local strain in various regions of semiconductor crystals for electronic devices, e.g., the strain around the SiO sub 2 /Si film edge in silicon devices, the strain in an InGaAsP/InP stripe laser were measured.

  6. Measurement of minute local strain in semiconductor materials and electronic devices by using a highly parallel X-ray microbeam

    Energy Technology Data Exchange (ETDEWEB)

    Matsui, J. E-mail: matsui@sci.himeji-tech.ac.jp; Tsusaka, Y.; Yokoyama, K.; Takeda, S.; Katou, M.; Kurihara, H.; Watanabe, K.; Kagoshima, Y.; Kimura, S

    2003-01-01

    We have developed an X-ray microbeam with a small angular divergence by adopting X-ray optics with successive use of asymmetric Bragg reflection from silicon crystals for the both polarizations of the synchrotron X-rays. The microbeam actually obtained is several microns in size and possesses an angular divergence of less than 2 arcsec which enables us to measure the strain of 10{sup -5}-10{sup -6}. By scanning the sample against the microbeam, distribution of the minute local strain in various regions of semiconductor crystals for electronic devices, e.g., the strain around the SiO{sub 2}/Si film edge in silicon devices, the strain in an InGaAsP/InP stripe laser were measured.

  7. Tunable gaps and enhanced mobilities in strain-engineered silicane

    International Nuclear Information System (INIS)

    Restrepo, Oscar D.; Mishra, Rohan; Windl, Wolfgang; Goldberger, Joshua E.

    2014-01-01

    The recent demonstration of single-atom thick, sp 3 -hybridized group 14 analogues of graphene enables the creation of materials with electronic structures that are manipulated by the nature of the covalently bound substituents above and below the sheet. These analogues can be electronically derived from isolated (111) layers of the bulk diamond lattice. Here, we perform systematic Density Functional Theory calculations to understand how the band dispersions, effective masses, and band gaps change as the bulk silicon (111) layers are continuously separated from each other until they are electronically isolated, and then passivated with hydrogen. High-level calculations based on HSE06 hybrid functionals were performed on each endpoint to compare directly with experimental values. We find that the change in the electronic structure due to variations in the Si-H bond length, Si-Si-Si bond angle, and most significantly the Si-Si bond length can tune the nature of the band gap from indirect to direct with dramatic effects on the transport properties. First-principles calculations of the phonon-limited electron mobility predict a value of 464 cm 2 /Vs for relaxed indirect band gap Si-H monolayers at room temperature. However, for 1.6% tensile strain, the band gap becomes direct, which increases the mobility significantly (8 551 cm 2 /Vs at 4% tensile strain). In total, this analysis of Si-based monolayers suggests that strain can change the nature of the band gap from indirect to direct and increase the electron mobility more than 18-fold

  8. The role of SiGe buffer in growth and relaxation of Ge on free-standing Si(001) nano-pillars.

    Science.gov (United States)

    Zaumseil, P; Kozlowski, G; Schubert, M A; Yamamoto, Y; Bauer, J; Schülli, T U; Tillack, B; Schroeder, T

    2012-09-07

    We study the growth and relaxation processes of Ge nano-clusters selectively grown by chemical vapor deposition on free-standing 90 nm wide Si(001) nano-pillars with a thin Si(0.23)Ge(0.77) buffer layer. We found that the dome-shaped SiGe layer with a height of about 28 nm as well as the Ge dot deposited on top of it partially relaxes, mainly by elastic lattice bending. The Si nano-pillar shows a clear compliance behavior-an elastic response of the substrate on the growing film-with the tensile strained top part of the pillar. Additional annealing at 800 °C leads to the generation of misfit dislocation and reduces the compliance effect significantly. This example demonstrates that despite the compressive strain generated due to the surrounding SiO(2) growth mask it is possible to realize an overall tensile strain in the Si nano-pillar and following a compliant substrate effect by using a SiGe buffer layer. We further show that the SiGe buffer is able to improve the structural quality of the Ge nano-dot.

  9. Ultra high hole mobilities in a pure strained Ge quantum well

    International Nuclear Information System (INIS)

    Mironov, O.A.; Hassan, A.H.A.; Morris, R.J.H.; Dobbie, A.; Uhlarz, M.; Chrastina, D.; Hague, J.P.; Kiatgamolchai, S.; Beanland, R.; Gabani, S.; Berkutov, I.B.; Helm, M.; Drachenko, O.; Myronov, M.; Leadley, D.R.

    2014-01-01

    Hole mobilities at low and room temperature (RT) have been studied for a strained sGe/SiGe heterostructure using standard Van der Pauw resistivity and Hall effect measurements. The range of magnetic field and temperatures used were − 14 T < B < + 14 T and 1.5 K < T < 300 K respectively. Using maximum entropy-mobility spectrum analysis (ME-MSA) and Bryan's algorithm mobility spectrum (BAMS) analysis, a RT two dimensional hole gas drift mobility of (3.9 ± 0.4) × 10 3 cm 2 /V s was determined for a sheet density (p s ) 9.8 × 10 10 cm −2 (by ME-MSA) and (3.9 ± 0.2) × 10 3 cm 2 /V s for a sheet density (p s ) 5.9 × 10 10 cm −2 (by BAMS). - Highlights: • Pure strained Ge channel grown by reduced pressure chemical vapor deposition • Maximum entropy-mobility spectrum analysis • Bryan's algorithm mobility spectrum analysis • High room temperature hole drift mobility of (3.9 ± 0.4) × 10 3 cm 2 /V s • Extremely high hole mobility of 1.1 × 10 6 cm 2 /V s at 12 K

  10. An electrostatic Si e-gun and a high temperature elemental B source for Si heteroepitaxial growth

    Science.gov (United States)

    Scarinci, F.; Casella, A.; Lagomarsino, S.; Fiordelisi, M.; Strappaveccia, P.; Gambacorti, N.; Grimaldi, M. G.; Xue, LiYing

    1996-08-01

    In this paper we present two kind of sources used in Si MBE growth: a Si source where an electron beam is electrostatically deflected onto a Si rod and a high temperature B source to be used for p-doping. Both sources have been designed and constructed at IESS. The Si source is constituted of a Si rod mounted on a 3/4″ flange with high-voltage connector. A W filament held at high voltage (up to 2000 V) is heated by direct current. Electrons from the filament are electrostatically focused onto the Si rod which is grounded. This mounting allows a minimum heating dispersion and no contamination, because the only hot objects are the Si rod and the W filament which is mounted in such a way that it cannot see the substrate. Growth rates of 10 Å/min on a substrate at 20 cm from the source have been measured. Auger and LEED have shown no contamination. The B source is constituted of a graphite block heated by direct current. A pyrolitic graphite crucible put in the graphite heater contains the elemental B. The cell is water cooled and contains Ta screens to avoid heat dispersion. It has been tested up to a temperature of 1700°C. P-doped Si 1- xGe x layers have been grown and B concentration has been measured by SIMS. A good control and reproducibility has been attained.

  11. A low knee voltage and high breakdown voltage of 4H-SiC TSBS employing poly-Si/Ni Schottky scheme

    Science.gov (United States)

    Kim, Dong Young; Seok, Ogyun; Park, Himchan; Bahng, Wook; Kim, Hyoung Woo; Park, Ki Cheol

    2018-02-01

    We report a low knee voltage and high breakdown voltage 4H-SiC TSBS employing poly-Si/Ni dual Schottky contacts. A knee voltage was significantly improved from 0.75 to 0.48 V by utilizing an alternative low work-function material of poly-Si as an anode electrode. Also, reverse breakdown voltage was successfully improved from 901 to 1154 V due to a shrunk low-work-function Schottky region by a proposed self-align etching process between poly-Si and SiC. SiC TSBS with poly-Si/Ni dual Schottky scheme is a suitable structure for high-efficiency rectification and high-voltage blocking operation.

  12. Luminescence properties of Si-capped β-FeSi{sub 2} nanodots epitaxially grown on Si(001) and (111) substrates

    Energy Technology Data Exchange (ETDEWEB)

    Amari, Shogo; Ichikawa, Masakazu [Department of Applied Physics, Graduate School of Engineering, The University of Tokyo, Bunkyo-ku, Tokyo 113-8656 (Japan); Nakamura, Yoshiaki, E-mail: nakamura@ee.es.osaka-u.ac.jp [Graduate School of Engineering Science, Osaka University, 1-3 Machikaneyama-cho, Toyonaka, Osaka 560-8531 (Japan); PRESTO, JST, 4-1-8 Honcho Kawaguchi, Saitama 332-0012 (Japan)

    2014-02-28

    We studied the luminescence properties of Si-capped β-FeSi{sub 2} nanodots (NDs) epitaxially grown on Si substrates by using photoluminescence (PL) and electroluminescence (EL) spectroscopies. Codepositing Fe and Si on ultrathin SiO{sub 2} films induced the self-assembly of epitaxial β-FeSi{sub 2} NDs. The PL spectra of the Si/β-FeSi{sub 2} NDs/Si structure depended on the crystal orientation of the Si substrate. These structures exhibited a broad PL peak near 0.8 eV on both Si(001) and (111) substrates. The PL intensity depended on the shape of the β-FeSi{sub 2} NDs. For the flat NDs, which exhibited higher PL intensity, we also recorded EL spectra. We explained the luminescence properties of these structures by the presence of nanostructured Si offering radiative electronic states in the Si cap layers, generated by nano-stressors for upper Si layer: the strain-relaxed β-FeSi{sub 2} NDs.

  13. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Loh Ter-Hoe

    2007-01-01

    Full Text Available AbstractSi/Si0.66Ge0.34coupled quantum well (CQW structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD system. The samples were characterized using high resolution x-ray diffraction (HRXRD, cross-sectional transmission electron microscopy (XTEM and photoluminescence (PL spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  14. Hot Deformation Behavior of SiCP/A1-Cu Composite

    Directory of Open Access Journals (Sweden)

    CHENG Ming-yang

    2017-02-01

    Full Text Available Using the Gleeble-1500D simulator, the high temperature plastic deformation behavior of SiCp/Al-Cu composite were investigated at 350-500℃ with the strain rate of 0.01-10s-1. The true stress-strain curves were obtained in the tests. Constitutive equation and processing map were established. The results show that the softening mechanism of dynamic recrystallization is a feature of high-temperature flow stress-strain curves of SiCp/A1-Cu composite, and the peak stress increases with the decrease of deformation temperature or the increase of strain rate.The flow stress behavior of the composite during hot compression deformation can be represented by a Zener-Hollomon parameter in the hyperbolic sine form. Its activation energy for hot deformation Q is 320.79kJ/mol. The stable regions and the instability regions in the processing map were identified and the microstructures in different regions of processing map were studied.There are particle breakage and void in the instability regions.

  15. Role of Defects in Swelling and Creep of Irradiated SiC

    Energy Technology Data Exchange (ETDEWEB)

    Szlufarska, Izabela [Univ. of Wisconsin, Madison, WI (United States); Voyles, Paul [Univ. of Wisconsin, Madison, WI (United States); Sridharan, Kumar [Univ. of Wisconsin, Madison, WI (United States); Katoh, Yutai [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States)

    2016-01-16

    Silicon carbide is a promising cladding material because of its high strength and relatively good corrosion resistance. However, SiC is brittle and therefore SiC-based components need to be carefully designed to avoid cracking and failure by fracture. In design of SiC-based composites for nuclear reactor applications it is essential to take into account how mechanical properties are affected by radiation and temperature, or in other words, what strains and stresses develop in this material due to environmental conditions. While thermal strains in SiC can be predicted using classical theories, radiation-induced strains are much less understood. In particular, it is critical to correctly account for radiation swelling and radiation creep, which contribute significantly to dimensional instability of SiC under radiation. Swelling typically increases logarithmically with radiation dose and saturates at relatively low doses (damage levels of a few dpa). Consequently, swelling-induced stresses are likely to develop within a few months of operation of a reactor. Radiation-induced volume swelling in SiC can be as high as 2%, which is significantly higher than the cracking strain of 0.1% in SiC. Swelling-induced strains will lead to enormous stresses and fracture, unless these stresses can be relaxed via some other mechanism. An effective way to achieve stress relaxation is via radiation creep. Although it has been hypothesized that both radiation swelling and radiation creep are driven by formation of defect clusters, existing models for swelling and creep in SiC are limited by the lack of understanding of specific defects that form due to radiation in the range of temperatures relevant to fuel cladding in light water reactors (LWRs) (<1000°C). For example, defects that can be detected with traditional transmission electron microscopy (TEM) techniques account only for 10-45% of the swelling measured in irradiated SiC. Here, we have undertaken an integrated experimental and

  16. Role of Defects in Swelling and Creep of Irradiated SiC

    International Nuclear Information System (INIS)

    Szlufarska, Izabela; Voyles, Paul; Sridharan, Kumar; Katoh, Yutai

    2016-01-01

    Silicon carbide is a promising cladding material because of its high strength and relatively good corrosion resistance. However, SiC is brittle and therefore SiC-based components need to be carefully designed to avoid cracking and failure by fracture. In design of SiC-based composites for nuclear reactor applications it is essential to take into account how mechanical properties are affected by radiation and temperature, or in other words, what strains and stresses develop in this material due to environmental conditions. While thermal strains in SiC can be predicted using classical theories, radiation-induced strains are much less understood. In particular, it is critical to correctly account for radiation swelling and radiation creep, which contribute significantly to dimensional instability of SiC under radiation. Swelling typically increases logarithmically with radiation dose and saturates at relatively low doses (damage levels of a few dpa). Consequently, swelling-induced stresses are likely to develop within a few months of operation of a reactor. Radiation-induced volume swelling in SiC can be as high as 2%, which is significantly higher than the cracking strain of 0.1% in SiC. Swelling-induced strains will lead to enormous stresses and fracture, unless these stresses can be relaxed via some other mechanism. An effective way to achieve stress relaxation is via radiation creep. Although it has been hypothesized that both radiation swelling and radiation creep are driven by formation of defect clusters, existing models for swelling and creep in SiC are limited by the lack of understanding of specific defects that form due to radiation in the range of temperatures relevant to fuel cladding in light water reactors (LWRs) (<1000°C). For example, defects that can be detected with traditional transmission electron microscopy (TEM) techniques account only for 10-45% of the swelling measured in irradiated SiC. Here, we have undertaken an integrated experimental and

  17. High performance germanium MOSFETs

    International Nuclear Information System (INIS)

    Saraswat, Krishna; Chui, Chi On; Krishnamohan, Tejas; Kim, Donghyun; Nayfeh, Ammar; Pethe, Abhijit

    2006-01-01

    Ge is a very promising material as future channel materials for nanoscale MOSFETs due to its high mobility and thus a higher source injection velocity, which translates into higher drive current and smaller gate delay. However, for Ge to become main-stream, surface passivation and heterogeneous integration of crystalline Ge layers on Si must be achieved. We have demonstrated growth of fully relaxed smooth single crystal Ge layers on Si using a novel multi-step growth and hydrogen anneal process without any graded buffer SiGe layer. Surface passivation of Ge has been achieved with its native oxynitride (GeO x N y ) and high-permittivity (high-k) metal oxides of Al, Zr and Hf. High mobility MOSFETs have been demonstrated in bulk Ge with high-k gate dielectrics and metal gates. However, due to their smaller bandgap and higher dielectric constant, most high mobility materials suffer from large band-to-band tunneling (BTBT) leakage currents and worse short channel effects. We present novel, Si and Ge based heterostructure MOSFETs, which can significantly reduce the BTBT leakage currents while retaining high channel mobility, making them suitable for scaling into the sub-15 nm regime. Through full band Monte-Carlo, Poisson-Schrodinger and detailed BTBT simulations we show a dramatic reduction in BTBT and excellent electrostatic control of the channel, while maintaining very high drive currents in these highly scaled heterostructure DGFETs. Heterostructure MOSFETs with varying strained-Ge or SiGe thickness, Si cap thickness and Ge percentage were fabricated on bulk Si and SOI substrates. The ultra-thin (∼2 nm) strained-Ge channel heterostructure MOSFETs exhibited >4x mobility enhancements over bulk Si devices and >10x BTBT reduction over surface channel strained SiGe devices

  18. High performance germanium MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Saraswat, Krishna [Department of Electrical Engineering, Stanford University, Stanford, CA 94305 (United States)]. E-mail: saraswat@stanford.edu; Chui, Chi On [Department of Electrical Engineering, Stanford University, Stanford, CA 94305 (United States); Krishnamohan, Tejas [Department of Electrical Engineering, Stanford University, Stanford, CA 94305 (United States); Kim, Donghyun [Department of Electrical Engineering, Stanford University, Stanford, CA 94305 (United States); Nayfeh, Ammar [Department of Electrical Engineering, Stanford University, Stanford, CA 94305 (United States); Pethe, Abhijit [Department of Electrical Engineering, Stanford University, Stanford, CA 94305 (United States)

    2006-12-15

    Ge is a very promising material as future channel materials for nanoscale MOSFETs due to its high mobility and thus a higher source injection velocity, which translates into higher drive current and smaller gate delay. However, for Ge to become main-stream, surface passivation and heterogeneous integration of crystalline Ge layers on Si must be achieved. We have demonstrated growth of fully relaxed smooth single crystal Ge layers on Si using a novel multi-step growth and hydrogen anneal process without any graded buffer SiGe layer. Surface passivation of Ge has been achieved with its native oxynitride (GeO {sub x}N {sub y} ) and high-permittivity (high-k) metal oxides of Al, Zr and Hf. High mobility MOSFETs have been demonstrated in bulk Ge with high-k gate dielectrics and metal gates. However, due to their smaller bandgap and higher dielectric constant, most high mobility materials suffer from large band-to-band tunneling (BTBT) leakage currents and worse short channel effects. We present novel, Si and Ge based heterostructure MOSFETs, which can significantly reduce the BTBT leakage currents while retaining high channel mobility, making them suitable for scaling into the sub-15 nm regime. Through full band Monte-Carlo, Poisson-Schrodinger and detailed BTBT simulations we show a dramatic reduction in BTBT and excellent electrostatic control of the channel, while maintaining very high drive currents in these highly scaled heterostructure DGFETs. Heterostructure MOSFETs with varying strained-Ge or SiGe thickness, Si cap thickness and Ge percentage were fabricated on bulk Si and SOI substrates. The ultra-thin ({approx}2 nm) strained-Ge channel heterostructure MOSFETs exhibited >4x mobility enhancements over bulk Si devices and >10x BTBT reduction over surface channel strained SiGe devices.

  19. Comparative Study of Si and SiC MOSFETs for High Voltage Class D Audio Amplifiers

    DEFF Research Database (Denmark)

    Nielsen, Dennis; Knott, Arnold; Andersen, Michael A. E.

    2014-01-01

    Silicon (Si) Metal-Oxide-Semiconductor Field-Effect Transistors (MOSFETs) are traditional utilised in class D audio amplifiers. It has been proposed to replace the traditional inefficient electrodynamic transducer with the electrostatic transducer. This imposes new high voltage requirements...... on the MOSFETs of class D amplifiers, and significantly reduces the selection of suitable MOSFETs. As a consequence it is investigated, if Silicon-Carbide (SiC) MOSFETs could represent a valid alternative. The theory of pulse timing errors are revisited for the application of high voltage and capactive loaded...... class D amplifiers. It is shown, that SiC MOSFETs can compete with Si MSOFETs in terms of THD. Validation is done using simulations and a 500 V amplifier driving a 100 nF load. THD+N below 0.3 % is reported...

  20. Preparation and characterization of hybrid A359/(SiC+Si{sub 3}N{sub 4}) composites synthesized by stir/squeeze casting techniques

    Energy Technology Data Exchange (ETDEWEB)

    Shalaby, Essam A.M.; Churyumov, Alexander Yu., E-mail: churyumov@misis.ru; Solonin, Alexey N.; Lotfy, A.

    2016-09-30

    Stir followed by squeeze casting techniques were used to produce A359 composites containing different weight percentage of (SiC+Si{sub 3}N{sub 4}) particles. Microstructures of the composites showed a homogeneous and even distribution of hybrid reinforcements within the matrix. Moreover, particles agglomerations, residual porosity, and other casting problems were not noticed. Interfacial reactions between the particles and the matrix were investigated using X-ray diffraction and energy dispersive X-ray analyses. The presence of particles in squeezed composites did not only increase the peak hardness of the composites, but also accelerated the aging kinetics. As compared with the A359 matrix alloy, a compression test of the hybrid composites exhibited a significant increase in the yield and the ultimate compressive strengths with a relative reduction in the failure strain. Finite element modeling of the composite compression showed that strain concentration near large SiC particles is the main reason for low ductility of the composite. The development of those lightweight hybrid composites with high mechanical properties has a high potential to be used for automotive and aerospace applications.

  1. Evolution of a novel Si-18Mn-16Ti-11P alloy in Al-Si melt and its influence on microstructure and properties of high-Si Al-Si alloy

    Directory of Open Access Journals (Sweden)

    Xiao-Lu Zhou

    Full Text Available A novel Si-18Mn-16Ti-11P master alloy has been developed to refine primary Si to 14.7 ± 1.3 μm, distributed uniformly in Al-27Si alloy. Comparing with traditional Cu-14P and Al-3P, Si-18Mn-16Ti-11P provided a much better refining effect, with in-situ highly active AlP. The refined Al-27Si alloy exhibited a CTE of 16.25 × 10−6/K which is slightly higher than that of Sip/Al composites fabricated by spray deposition. The UTS and elongation of refined Al-27Si alloy were increased by 106% and 235% comparing with those of unrefined alloy. It indicates that the novel Si-18Mn-16Ti-11P alloy is more suitable for high-Si Al-Si alloys and may be a candidate for refining hypereutectic Al-Si alloy for electronic packaging applications. Moreover, studies showed that TiP is the only P-containing phase in Si-18Mn-16Ti-11P master alloy. A core-shell reaction model was established to reveal mechanism of the transformation of TiP to AlP in Al-Si melts. The transformation is a liquid-solid diffusion reaction driven by chemical potential difference and the reaction rate is controlled by diffusion. It means sufficient holding time is necessary for Si-18Mn-16Ti-11P master alloy to achieve better refining effect. Keywords: Hypereutectic Al-Si alloy, Primary Si, Refinement, AlP, Thermal expansion behavior, Si-18Mn-16Ti-11P master alloy

  2. Endotaxially stabilized B2-FeSi nanodots in Si (100) via ion beam co-sputtering

    Energy Technology Data Exchange (ETDEWEB)

    Cassidy, Cathal, E-mail: c.cassidy@oist.jp; Singh, Vidyadhar; Grammatikopoulos, Panagiotis [Nanoparticles by Design Unit, Okinawa Institute of Science and Technology (OIST) Graduate University, 1919-1 Onna-Son, Okinawa 904-0495 (Japan); Kioseoglou, Joseph [Department of Physics, Aristotle University of Thessaloniki, GR-54124 Thessaloniki (Greece); Lal, Chhagan [Department of Physics, University of Rajasthan, Jaipur, Rajasthan 302005 (India); Sowwan, Mukhles, E-mail: mukhles@oist.jp [Nanoparticles by Design Unit, Okinawa Institute of Science and Technology (OIST) Graduate University, 1919-1 Onna-Son, Okinawa 904-0495 (Japan); Nanotechnology Research Laboratory, Al-Quds University, East Jerusalem, P.O. Box 51000, Palestine (Country Unknown)

    2014-04-21

    We report on the formation of embedded B2-FeSi nanodots in [100]-oriented Si substrates, and investigate the crystallographic mechanism underlying the stabilization of this uncommon, bulk-unstable, phase. The nanodots were approximately 10 nm in size, and were formed by iron thin film deposition and subsequent annealing. Cross-sectional transmission electron microscopy, energy loss spectroscopy mapping, and quantitative image simulation and analysis were utilized to identify the phase, strain, and orientational relationship of the nanodots to the host silicon lattice. X-ray photoelectron spectroscopy was utilized to analyze the surface composition and local bonding. Elasticity calculations yielded a nanodot residual strain value of −18%. Geometrical phase analysis graphically pinpointed the positions of misfit dislocations, and clearly showed the presence of pinned (11{sup ¯}1{sup ¯}){sub Si}//(100){sub FeSi}, and unpinned (2{sup ¯}42){sub Si}//(010){sub FeSi}, interfaces. This partial endotaxy in the host silicon lattice was the mechanism that stabilized the B2-FeSi phase.

  3. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition

    International Nuclear Information System (INIS)

    Bollani, M; Fedorov, A; Chrastina, D; Sordan, R; Picco, A; Bonera, E

    2010-01-01

    Si 1-x Ge x islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si 1-x Ge x islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s -1 ) and low temperature (650 deg. C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  4. Ge-rich islands grown on patterned Si substrates by low-energy plasma-enhanced chemical vapour deposition.

    Science.gov (United States)

    Bollani, M; Chrastina, D; Fedorov, A; Sordan, R; Picco, A; Bonera, E

    2010-11-26

    Si(1-x)Ge(x) islands grown on Si patterned substrates have received considerable attention during the last decade for potential applications in microelectronics and optoelectronics. In this work we propose a new methodology to grow Ge-rich islands using a chemical vapour deposition technique. Electron-beam lithography is used to pre-pattern Si substrates, creating material traps. Epitaxial deposition of thin Ge films by low-energy plasma-enhanced chemical vapour deposition then leads to the formation of Ge-rich Si(1-x)Ge(x) islands (x > 0.8) with a homogeneous size distribution, precisely positioned with respect to the substrate pattern. The island morphology was characterized by atomic force microscopy, and the Ge content and strain in the islands was studied by μRaman spectroscopy. This characterization indicates a uniform distribution of islands with high Ge content and low strain: this suggests that the relatively high growth rate (0.1 nm s(-1)) and low temperature (650 °C) used is able to limit Si intermixing, while maintaining a long enough adatom diffusion length to prevent nucleation of islands outside pits. This offers the novel possibility of using these Ge-rich islands to induce strain in a Si cap.

  5. Expression of a single siRNA against a conserved region of NP gene strongly inhibits in vitro replication of different Influenza A virus strains of avian and swine origin.

    Science.gov (United States)

    Stoppani, Elena; Bassi, Ivan; Dotti, Silvia; Lizier, Michela; Ferrari, Maura; Lucchini, Franco

    2015-08-01

    Influenza A virus is the principal agent responsible of the respiratory tract's infections in humans. Every year, highly pathogenic and infectious strains with new antigenic assets appear, making ineffective vaccines so far developed. The discovery of RNA interference (RNAi) opened the way to the progress of new promising drugs against Influenza A virus and also to the introduction of disease resistance traits in genetically modified animals. In this paper, we show that Madin-Darby Canine Kidney (MDCK) cell line expressing short hairpin RNAs (shRNAs) cassette, designed on a specific conserved region of the nucleoprotein (NP) viral genome, can strongly inhibit the viral replication of four viral strains sharing the target sequence, reducing the viral mRNA respectively to 2.5×10(-4), 7.5×10(-5), 1.7×10(-3), 1.9×10(-4) compared to the control, as assessed by real-time PCR. Moreover, we demonstrate that during the challenge with a viral strain bearing a single mismatch on the target sequence, although a weaker inhibition is observed, viral mRNA is still lowered down to 1.2×10(-3) folds in the shRNA-expressing clone compared to the control, indicating a broad potential use of this approach. In addition, we developed a highly predictive and fast screening test of siRNA sequences based on dual-luciferase assay, useful for the in vitro prediction of the potential effect of viral inhibition. In conclusion, these findings reveal new siRNA sequences able to inhibit Influenza A virus replication and provide a basis for the development of siRNAs as prophylaxis and therapy for influenza infection both in humans and animals. Copyright © 2015 Elsevier B.V. All rights reserved.

  6. Synthesis of SiC microstructures in Si technology by high dose carbon implantation: Etch-stop properties

    International Nuclear Information System (INIS)

    Serre, C.; Perez-Rodriguez, A.; Romano-Rodriguez, A.; Calvo-Barrio, L.; Morante, J.R.; Esteve, J.; Acero, M.C.; Skorupa, W.; Koegler, R.

    1997-01-01

    The use of high dose carbon ion implantation in Si for the production of membranes and microstructures is investigated. Si wafers were implanted with carbon doses of 10 17 and 5 x 10 17 cm -2 , at an energy of 300 keV and a temperature of 500 C. The structural analysis of these samples revealed the formation of a highly stable buried layer of crystalline β-SiC precipitates aligned with the Si matrix. The etch-stop properties of this layer have been investigated using tetramethyl-ammonium hydroxide as etchant solution. Secondary ion mass spectrometry measurements performed on the etched samples have allowed an estimate of the minimum dose needed for obtaining an etch-stop layer to a value in the range 2 to 3 x 10 17 ions/cm 2 . This behavior has been explained assuming the existence of a percolation process in a SiC/Si binary system. Finally, very thin crystalline membranes and self-standing structures with average surface roughness in the range 6 to 7 nm have been obtained

  7. Elucidating the atomistic mechanisms underpinning plasticity in Li-Si nanostructures

    Science.gov (United States)

    Yan, Xin; Gouissem, Afif; Guduru, Pradeep R.; Sharma, Pradeep

    2017-10-01

    Amorphous lithium-silicon (a-Li-Si), especially in nanostructure form, is an attractive high-capacity anode material for next-generation Li-ion batteries. During cycles of charging and discharging, a-Li-Si undergoes substantive inelastic deformation and exhibits microcracking. The mechanical response to repeated lithiation-delithiation eventually results in the loss of electrical contact and consequent decrease of capacity, thus underscoring the importance of studying the plasticity of a-Li-Si nanostructures. In recent years, a variety of phenomenological continuum theories have been introduced that purport to model plasticity and the electro-chemo-mechanical behavior of a-Li-Si. Unfortunately, the micromechanisms and atomistic considerations underlying plasticity in Li-Si material are not yet fully understood and this impedes the development of physics-based constitutive models. Conventional molecular dynamics, although extensively used to study this material, is grossly inadequate to resolve this matter. As is well known, conventional molecular dynamics simulations can only address phenomena with characteristic time scales of (at most) a microsecond. Accordingly, in such simulations, the mechanical behavior is deduced under conditions of very high strain rates (usually, 108s-1 or even higher). This limitation severely impacts a realistic assessment of rate-dependent effects. In this work, we attempt to circumvent the time-scale bottleneck of conventional molecular dynamics and provide novel insights into the mechanisms underpinning plastic deformation of Li-Si nanostructures. We utilize an approach that allows imposition of slow strain rates and involves the employment of a new and recently developed potential energy surface sampling method—the so-called autonomous basin climbing—to identify the local minima in the potential energy surface. Combined with other techniques, such as nudged elastic band, kinetic Monte Carlo and transition state theory, we assess

  8. Silicon Effects on Properties of Melt Infiltrated SiC/SiC Composites

    Science.gov (United States)

    Bhatt, Ramakrishna T.; Gyekenyesi, John Z.; Hurst, Janet B.

    2000-01-01

    Silicon effects on tensile and creep properties, and thermal conductivity of Hi-Nicalon SiC/SiC composites have been investigated. The composites consist of 8 layers of 5HS 2-D woven preforms of BN/SiC coated Hi-Nicalon fiber mats and a silicon matrix, or a mixture of silicon matrix and SiC particles. The Hi-Nicalon SiC/silicon and Hi-Nicalon SiC/SiC composites contained about 24 and 13 vol% silicon, respectively. Results indicate residual silicon up to 24 vol% has no significant effect on creep and thermal conductivity, but does decrease the primary elastic modulus and stress corresponding to deviation from linear stress-strain behavior.

  9. Behaviors of SiC fibers at high temperature

    International Nuclear Information System (INIS)

    Colin, C.; Falanga, V.; Gelebart, L.

    2010-01-01

    On the one hand, considering the improvements of mechanical and thermal behaviours of the last generation of SiC fibers (Hi-Nicalon S, Tyranno SA3); on the other hand, regarding physical and chemical properties and stability under irradiation, SiC/SiC composites are potential candidates for nuclear applications in advanced fission and fusion reactors. CEA must characterize and optimize these composites before their uses in reactors. In order to study this material, CEA is developing a multi-scale approach by modelling from fibers to bulk composite specimen: fibres behaviours must be well known in first. Thus, CEA developed a specific tensile test device on single fibers at high temperature, named MecaSiC. Using this device, we have already characterized the thermoelastic and thermoelectric behaviours of SiC fibers. Additional results about the plastic properties at high temperatures were also obtained. Indeed, we performed tensile tests between 1200 degrees C up to 1700 degrees C to characterize this plastic behaviour. Some thermal annealing, up to 3 hours at 1700 degrees C, had been also performed. Furthermore, we compare the mechanical behaviours with the thermal evolution of the electric resistivity of these SiC fibers. Soon, MecaSiC will be coupled to a new charged particle accelerator. Thus, in this configuration, we will be able to study in-situ irradiation effects on fibre behaviours, as swelling or creep for example

  10. Raman and photoluminescence spectroscopy of SiGe layer evolution on Si(100) induced by dewetting

    Science.gov (United States)

    Shklyaev, A. A.; Volodin, V. A.; Stoffel, M.; Rinnert, H.; Vergnat, M.

    2018-01-01

    High temperature annealing of thick (40-100 nm) Ge layers deposited on Si(100) at ˜400 °C leads to the formation of continuous films prior to their transformation into porous-like films due to dewetting. The evolution of Si-Ge composition, lattice strain, and surface morphology caused by dewetting is analyzed using scanning electron microscopy, Raman, and photoluminescence (PL) spectroscopies. The Raman data reveal that the transformation from the continuous to porous film proceeds through strong Si-Ge interdiffusion, reducing the Ge content from 60% to about 20%, and changing the stress from compressive to tensile. We expect that Ge atoms migrate into the Si substrate occupying interstitial sites and providing thereby the compensation of the lattice mismatch. Annealing generates only one type of radiative recombination centers in SiGe resulting in a PL peak located at about 0.7 and 0.8 eV for continuous and porous film areas, respectively. Since annealing leads to the propagation of threading dislocations through the SiGe/Si interface, we can tentatively associate the observed PL peak to the well-known dislocation-related D1 band.

  11. Development of High-Temperature, High-Power, High-Efficiency, High-Voltage Converters Using Silicon Carbide (SiC) Delivery Order 0003: SiC High Voltage Converters, N-Type Ohmic Contract Development for SiC Power Devices

    National Research Council Canada - National Science Library

    Cheng, Lin; Mazzola, Michael S

    2006-01-01

    ... ? SiC interfaces and silicide top surfaces is important for producing uniformly low contact resistances to achieve device operation at high-current levels without hot spot formation and contact degradation...

  12. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp.

    Science.gov (United States)

    Wang, Bin; Qu, Shengguan; Li, Xiaoqiang

    2018-01-01

    By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0%) were achieved for optical application. The flexural strength of the prepared SiC p /Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson's ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time.

  13. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp

    Directory of Open Access Journals (Sweden)

    Bin Wang

    2018-01-01

    Full Text Available By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0% were achieved for optical application. The flexural strength of the prepared SiCp/Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson’s ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time.

  14. Preparation and Anodizing of SiCp/Al Composites with Relatively High Fraction of SiCp

    Science.gov (United States)

    2018-01-01

    By properly proportioned SiC particles with different sizes and using squeeze infiltration process, SiCp/Al composites with high volume fraction of SiC content (Vp = 60.0%, 61.2%, 63.5%, 67.4%, and 68.0%) were achieved for optical application. The flexural strength of the prepared SiCp/Al composites was higher than 483 MPa and the elastic modulus was increased from 174.2 to 206.2 GPa. With an increase in SiC volume fraction, the flexural strength and Poisson's ratio decreased with the increase in elastic modulus. After the anodic oxidation treatment, an oxidation film with porous structure was prepared on the surface of the composite and the oxidation film was uniformly distributed. The anodic oxide growth rate of composite decreased with SiC content increased and linearly increased with anodizing time. PMID:29682145

  15. Monolithically Integrated Ge-on-Si Active Photonics

    Directory of Open Access Journals (Sweden)

    Jifeng Liu

    2014-07-01

    Full Text Available Monolithically integrated, active photonic devices on Si are key components in Si-based large-scale electronic-photonic integration for future generations of high-performance, low-power computation and communication systems. Ge has become an interesting candidate for active photonic devices in Si photonics due to its pseudo-direct gap behavior and compatibility with Si complementary metal oxide semiconductor (CMOS processing. In this paper, we present a review of the recent progress in Ge-on-Si active photonics materials and devices for photon detection, modulation, and generation. We first discuss the band engineering of Ge using tensile strain, n-type doping, Sn alloying, and separate confinement of Γ vs. L electrons in quantum well (QW structures to transform the material towards a direct band gap semiconductor for enhancing optoelectronic properties. We then give a brief overview of epitaxial Ge-on-Si materials growth, followed by a summary of recent investigations towards low-temperature, direct growth of high crystallinity Ge and GeSn alloys on dielectric layers for 3D photonic integration. Finally, we review the most recent studies on waveguide-integrated Ge-on-Si photodetectors (PDs, electroabsorption modulators (EAMs, and laser diodes (LDs, and suggest possible future research directions for large-scale monolithic electronic-photonic integrated circuits on a Si platform.

  16. STM studies of GeSi thin layers epitaxially grown on Si(111)

    Science.gov (United States)

    Motta, N.; Sgarlata, A.; De Crescenzi, M.; Derrien, J.

    1996-08-01

    Ge/Si alloys were prepared in UHV by solid phase epitaxy on Si(111) substrates. The alloy formation, as a function of the evaporation rate and the Ge layer thickness has been followed in situ by RHEED and scanning tunneling microscopy. The 5 × 5 surface reconstruction appeared after annealing at 450°C Ge layers (up to 10 Å thick), obtained from a low rate Knudsen cell evaporator. In this case a nearly flat and uniform layer of reconstructed alloy was observed. When using an e-gun high rate evaporator we needed to anneal the Ge layer up to 780°C to obtain a 5 × 5 reconstruction. The grown layer was not flat, with many steps and Ge clusters; at high coverages (10 Å and more) large Ge islands appeared. Moreover, we then succeeded in visualizing at atomic resolution the top of some of these Ge islands which displayed a 2 × 1 reconstruction, probably induced from the high compressive strain due to the lattice mismatch with the substrate. We suggest that this unusual behavior could be connected to the high evaporation rate, which helped the direct formation of Ge microcrystals on the Si substrate during the deposition process.

  17. Research on low strain magnetic mechanical hysteresis damping performance of Fe-15Cr-3Mo-0.5Si alloy

    International Nuclear Information System (INIS)

    Wang, Hui; Huang, Huawei; Hong, Xiaofeng; Yin, Changgeng; Huang, Zhaohua; Chen, Le

    2015-01-01

    Highlights: • Heat treatment system has a great effect on the alloy damping performance. • Damping performance does not improve monotonously with temperature. • Furnace cooling is higher than that of alloy after air cooling. • There is an optimum annealing temperature and grain size to obtain high damping. - Abstract: This paper studies the preparation of Fe-15Cr-3Mo-0.5Si alloy by using vacuum induction melting furnace and vacuum annealing furnace, the damping performance of which in different heat treatment states is tested with dynamic mechanical thermal analyzer (DMA). Through microstructure observation with metallographic microscope (OM), grain boundary observation with scanning electron microscopy (SEM), phase structure analysis with X-ray diffraction (XRD) and internal stress of S-B model analysis, the effect law of annealing temperature, types of cooling, holding time and grain sizes on the damping performance of alloy and the related mechanism can be concluded as follows. The annealing temperature and grain sizes have a significant impact on the damping strain amplitude as well as the magnetic and mechanical damping performance of this ferromagnetic alloy. Proper annealing temperature and grain size is the necessary condition to get high damping performance of the alloy. It is not conducive to improvement of the damping performance if the annealing temperature is too high or too low and the grain size is too small or too large. For Fe-15Cr-3Mo-0.5Si alloy, within the range of the low strain amplitude, alloy damping performance does not improve monotonously with the increase of the annealing temperature and grain size. The maximum value appears at the annealing temperature of 1100 °C/1 h with the grain size of about 300 μm. At high annealing temperature of 1100 °C, the damping performance of alloy in the slow cooling furnace is higher than that with air cooling treatment. The extension or shortening of the holding time, to a certain extent

  18. Research on low strain magnetic mechanical hysteresis damping performance of Fe-15Cr-3Mo-0.5Si alloy

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Hui, E-mail: qinghe5525@163.com; Huang, Huawei; Hong, Xiaofeng; Yin, Changgeng; Huang, Zhaohua; Chen, Le

    2015-02-15

    Highlights: • Heat treatment system has a great effect on the alloy damping performance. • Damping performance does not improve monotonously with temperature. • Furnace cooling is higher than that of alloy after air cooling. • There is an optimum annealing temperature and grain size to obtain high damping. - Abstract: This paper studies the preparation of Fe-15Cr-3Mo-0.5Si alloy by using vacuum induction melting furnace and vacuum annealing furnace, the damping performance of which in different heat treatment states is tested with dynamic mechanical thermal analyzer (DMA). Through microstructure observation with metallographic microscope (OM), grain boundary observation with scanning electron microscopy (SEM), phase structure analysis with X-ray diffraction (XRD) and internal stress of S-B model analysis, the effect law of annealing temperature, types of cooling, holding time and grain sizes on the damping performance of alloy and the related mechanism can be concluded as follows. The annealing temperature and grain sizes have a significant impact on the damping strain amplitude as well as the magnetic and mechanical damping performance of this ferromagnetic alloy. Proper annealing temperature and grain size is the necessary condition to get high damping performance of the alloy. It is not conducive to improvement of the damping performance if the annealing temperature is too high or too low and the grain size is too small or too large. For Fe-15Cr-3Mo-0.5Si alloy, within the range of the low strain amplitude, alloy damping performance does not improve monotonously with the increase of the annealing temperature and grain size. The maximum value appears at the annealing temperature of 1100 °C/1 h with the grain size of about 300 μm. At high annealing temperature of 1100 °C, the damping performance of alloy in the slow cooling furnace is higher than that with air cooling treatment. The extension or shortening of the holding time, to a certain extent

  19. Coated Porous Si for High Performance On-Chip Supercapacitors

    Science.gov (United States)

    Grigoras, K.; Keskinen, J.; Grönberg, L.; Ahopelto, J.; Prunnila, M.

    2014-11-01

    High performance porous Si based supercapacitor electrodes are demonstrated. High power density and stability is provided by ultra-thin TiN coating of the porous Si matrix. The TiN layer is deposited by atomic layer deposition (ALD), which provides sufficient conformality to reach the bottom of the high aspect ratio pores. Our porous Si supercapacitor devices exhibit almost ideal double layer capacitor characteristic with electrode volumetric capacitance of 7.3 F/cm3. Several orders of magnitude increase in power and energy density is obtained comparing to uncoated porous silicon electrodes. Good stability of devices is confirmed performing several thousands of charge/discharge cycles.

  20. Nucleation versus instability race in strained films

    Science.gov (United States)

    Liu, Kailang; Berbezier, Isabelle; David, Thomas; Favre, Luc; Ronda, Antoine; Abbarchi, Marco; Voorhees, Peter; Aqua, Jean-Noël

    2017-10-01

    Under the generic term "Stranski-Krastanov" are grouped two different growth mechanisms of SiGe quantum dots. They result from the self-organized Asaro-Tiller-Grinfel'd (ATG) instability at low strain, while at high strain, from a stochastic nucleation. While these regimes are well known, we elucidate here the origin of the transition between these two pathways thanks to a joint theoretical and experimental work. Nucleation is described within the master equation framework. By comparing the time scales for ATG instability development and three-dimensional (3D) nucleation onset, we demonstrate that the transition between these two regimes is simply explained by the crossover between their divergent evolutions. Nucleation exhibits a strong exponential deviation at low strain while ATG behaves only algebraically. The associated time scale varies with exp(1 /x4) for nucleation, while it only behaves as 1 /x8 for the ATG instability. Consequently, at high (low) strain, nucleation (instability) occurs faster and inhibits the alternate evolution. It is then this different kinetic evolution which explains the transition from one regime to the other. Such a kinetic view of the transition between these two 3D growth regimes was not provided before. The crossover between nucleation and ATG instability is found to occur both experimentally and theoretically at a Ge composition around 50% in the experimental conditions used here. Varying the experimental conditions and/or the system parameters does not allow us to suppress the transition. This means that the SiGe quantum dots always grow via ATG instability at low strain and nucleation at high strain. This result is important for the self-organization of quantum dots.

  1. Dynamic High-Temperature Characterization of an Iridium Alloy in Compression at High Strain Rates

    Energy Technology Data Exchange (ETDEWEB)

    Song, Bo [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Experimental Environment Simulation Dept.; Nelson, Kevin [Sandia National Lab. (SNL-CA), Livermore, CA (United States). Mechanics of Materials Dept.; Lipinski, Ronald J. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Advanced Nuclear Fuel Cycle Technology Dept.; Bignell, John L. [Sandia National Lab. (SNL-NM), Albuquerque, NM (United States). Structural and Thermal Analysis Dept.; Ulrich, G. B. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Radioisotope Power Systems Program; George, E. P. [Oak Ridge National Lab. (ORNL), Oak Ridge, TN (United States). Radioisotope Power Systems Program

    2014-06-01

    Iridium alloys have superior strength and ductility at elevated temperatures, making them useful as structural materials for certain high-temperature applications. However, experimental data on their high-temperature high-strain-rate performance are needed for understanding high-speed impacts in severe elevated-temperature environments. Kolsky bars (also called split Hopkinson bars) have been extensively employed for high-strain-rate characterization of materials at room temperature, but it has been challenging to adapt them for the measurement of dynamic properties at high temperatures. Current high-temperature Kolsky compression bar techniques are not capable of obtaining satisfactory high-temperature high-strain-rate stress-strain response of thin iridium specimens investigated in this study. We analyzed the difficulties encountered in high-temperature Kolsky compression bar testing of thin iridium alloy specimens. Appropriate modifications were made to the current high-temperature Kolsky compression bar technique to obtain reliable compressive stress-strain response of an iridium alloy at high strain rates (300 – 10000 s-1) and temperatures (750°C and 1030°C). Uncertainties in such high-temperature high-strain-rate experiments on thin iridium specimens were also analyzed. The compressive stress-strain response of the iridium alloy showed significant sensitivity to strain rate and temperature.

  2. Strain-engineering of Janus SiC monolayer functionalized with H and F atoms

    Science.gov (United States)

    Drissi, L. B.; Sadki, K.; Kourra, M.-H.; Bousmina, M.

    2018-05-01

    Based on ab initio density functional theory calculations, the structural, electronic, mechanical, acoustic, thermodynamic, and piezoelectric properties of (F,H) Janus SiC monolayers are studied. The new set of derivatives shows buckled structures and different band gap values. Under strain, the buckling changes and the structures pass from semiconducting to metallic. The elastic limits and the metastable regions are determined. The Young's modulus and Poisson ratio reveal stronger behavior for the modified conformers with respect to graphene. The values of the Debye temperature make the new materials suitable for thermal application. Moreover, all the conformers show in-plane and out-of-plane piezoelectric responses comparable with known two-dimensional materials. If engineered, such piezoelectric Janus structures may be promising materials for various nanoelectromechanical applications.

  3. High level active n+ doping of strained germanium through co-implantation and nanosecond pulsed laser melting

    Science.gov (United States)

    Pastor, David; Gandhi, Hemi H.; Monmeyran, Corentin P.; Akey, Austin J.; Milazzo, Ruggero; Cai, Yan; Napolitani, Enrico; Gwilliam, Russell M.; Crowe, Iain F.; Michel, Jurgen; Kimerling, L. C.; Agarwal, Anuradha; Mazur, Eric; Aziz, Michael J.

    2018-04-01

    Obtaining high level active n+ carrier concentrations in germanium (Ge) has been a significant challenge for further development of Ge devices. By ion implanting phosphorus (P) and fluorine (F) into Ge and restoring crystallinity using Nd:YAG nanosecond pulsed laser melting (PLM), we demonstrate 1020 cm-3 n+ carrier concentration in tensile-strained epitaxial germanium-on-silicon. Scanning electron microscopy shows that after laser treatment, samples implanted with P have an ablated surface, whereas P + F co-implanted samples have good crystallinity and a smooth surface topography. We characterize P and F concentration depth profiles using secondary ion mass spectrometry and spreading resistance profiling. The peak carrier concentration, 1020 cm-3 at 80 nm below the surface, coincides with the peak F concentration, illustrating the key role of F in increasing donor activation. Cross-sectional transmission electron microscopy of the co-implanted sample shows that the Ge epilayer region damaged during implantation is a single crystal after PLM. High-resolution X-ray diffraction and Raman spectroscopy measurements both indicate that the as-grown epitaxial layer strain is preserved after PLM. These results demonstrate that co-implantation and PLM can achieve the combination of n+ carrier concentration and strain in Ge epilayers necessary for next-generation, high-performance Ge-on-Si devices.

  4. High performance a-Si solar cells and new fabrication methods for a-Si solar cells

    Science.gov (United States)

    Nakano, S.; Kuwano, Y.; Ohnishi, M.

    1986-12-01

    The super chamber, a separated UHV reaction-chamber system has been developed. A conversion efficiency of 11.7% was obtained for an a-Si solar cell using a high-quality i-layer deposited by the super chamber, and a p-layer fabricated by a photo-CVD method. As a new material, amorphous superlattice-structure films were fabricated by the photo-CVD method for the first time. Superlattice structure p-layer a-Si solar cells were fabricated, and a conversion efficiency of 10.5% was obtained. For the fabrication of integrated type a-Si solar cell modules, a laser pattering method was investigated. A thermal analysis of the multilayer structure was done. It was confirmed that selective scribing for a-Si, TCO and metal film is possible by controlling the laser power density. Recently developed a-Si solar power generation systems and a-Si solar cell roofing tiles are also described.

  5. Strain characterization of FinFETs using Raman spectroscopy

    International Nuclear Information System (INIS)

    Kaleli, B.; Hemert, T. van; Hueting, R.J.E.; Wolters, R.A.M.

    2013-01-01

    Metal induced strain in the channel region of silicon (Si) fin-field effect transistor (FinFET) devices has been characterized using Raman spectroscopy. The strain originates from the difference in thermal expansion coefficient of Si and titanium-nitride. The Raman map of the device region is used to determine strain in the channel after preparing the device with the focused ion beam milling. Using the Raman peak shift relative to that of relaxed Si, compressive strain values up to – 0.88% have been obtained for a 5 nm wide silicon fin. The strain is found to increase with reducing fin width though it scales less than previously reported results from holographic interferometry. In addition, finite-element method (FEM) simulations have been utilized to analyze the amount of strain generated after thermal processing. It is shown that obtained FEM simulated strain values are in good agreement with the calculated strain values obtained from Raman spectroscopy. - Highlights: ► Strain is characterized in nanoscale devices with Raman spectroscopy. ► There is a fin width dependence of the originated strain. ► Strain levels obtained from this technique is in correlation with device simulations

  6. Synthesis of SiC decorated carbonaceous nanorods and its hierarchical composites Si@SiC@C for high-performance lithium ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Chundong [School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); Center of Super-Diamond and Advanced Films (COSDAF), Department of Physics and Materials Science, City University of Hong Kong, Hong Kong SAR (China); Li, Yi, E-mail: liyi@suda.edu.cn [College of Chemistry, Chemical Engineering and Materials Science, Soochow University, Suzhou (China); Center of Super-Diamond and Advanced Films (COSDAF), Department of Physics and Materials Science, City University of Hong Kong, Hong Kong SAR (China); Ostrikov, Kostya [School of Chemistry, Physics and Mechanical Engineering, Queensland University of Technology, Brisbane, Queensland 4000 (Australia); Plasma Nanoscience, Industrial Innovation Program, CSIRO Manufacturing Flagship, Lindfield, New South Wales 2070 (Australia); Yang, Yonggang [College of Chemistry, Chemical Engineering and Materials Science, Soochow University, Suzhou (China); Zhang, Wenjun, E-mail: apwjzh@cityu.edu.hk [Center of Super-Diamond and Advanced Films (COSDAF), Department of Physics and Materials Science, City University of Hong Kong, Hong Kong SAR (China)

    2015-10-15

    SiC- based nanomaterials possess superior electric, thermal and mechanical properties. However, due to the tricky synthesis process, which needs to be carried out under high temperature with multi-step reaction procedures, the further application is dramatically limited. Herein, a simple as well as a controllable approach is proposed for synthesis of SiC- based nanostructures under low temperature. Phenyl-bridged polysilsesquioxane was chosen as the starting material to react with magnesium at 650 °C, following which SiC@C nanocomposites were finally obtained, and it maintains the original bent rod-like architecture of polysilsesquioxanes. The possible formation process for the nanocomposites can proposed as well. The electrochemical behaviour of nanocomposites was accessed, verifying that the synthesized SiC@C nanocomposites deliver good electrochemical performance. Moreover, SiC@C also shows to be a promising scaffold in supporting Si thin film electrode in achieving stable cycling performance in lithium ion batteries. - Highlights: • SiC@C bent nanorods were synthesized with a magnesium reaction approach. • Carbon nanorod spines studded with ultrafine β-SiC nanocrystallines was realized. • The synthesized SiC@C keeps the original rod-like structure of polysilsesquioxanes. • The possible formation process for the nanocomposites was analysed and proposed. • Si@SiC@C nanocomposites reveal good electrochemical performance in LIBs.

  7. Raman investigation of GaP–Si interfaces grown by molecular beam epitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Bondi, A.; Cornet, C.; Boyer, S.; Nguyen Thanh, T.; Létoublon, A.; Pedesseau, L.; Durand, O. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Moreac, A. [Institut de Physique de Rennes, UMR-CNRS n°6251, Université Rennes1, Campus de Beaulieu — 35042 Rennes cedex (France); Ponchet, A. [CEMES, UPR CNRS 8011, F-31055 Toulouse (France); Le Corre, A. [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France); Even, J., E-mail: jacky.even@insa.rennes.fr [Université Européenne de Bretagne, INSA, FOTON, UMR CNRS 6082, 20 Avenue des Buttes de Coësmes, F-35708 Rennes (France)

    2013-08-31

    Raman spectroscopy was used to investigate the residual strain in thin GaP layers deposited on Si substrates by molecular beam epitaxy. Different growth conditions were used to obtain a clean GaP–Si interface, including migration enhanced epitaxy. The strain induced Raman shifts of the longitudinal and the transverse optical GaP lattice modes were analyzed. The effects of crystalline defects are discussed, supported by high resolution transmission electron microscopy and X-ray scattering studies. Finally, Raman Spectroscopy reveals the presence of disorder (or surface)-activated optical phonons. This result is discussed in the light of surface morphology analyses. - Highlights: ► GaP thin layers grown by molecular beam epitaxy on Si substrates. ► Strain-induced Raman shifts of the optical GaP modes are analyzed. ► Simulation of optical GaP modes by density functional perturbation theory. ► Comparison with X-ray diffraction and electron and scanning probe microscopy data.

  8. High-efficient production of SiC/SiO2 core-shell nanowires for effective microwave absorption

    KAUST Repository

    Zhong, Bo; Sai, Tianqi; Xia, Long; Yu, Yuanlie; Wen, Guangwu

    2017-01-01

    In the current report, we have demonstrated that the high-efficient production of SiC/SiO2 core-shell nanowires can be achieved through the introduction of trace of water vapor during the chemical vapor deposition process. The yield of the SiC/SiO2 core-shell nanowires is dramatically improved due to the introduction of water vapor. The SiC/SiO2 core-shell nanowires exhibit an excellent microwave absorption property in the frequency range of 2.0–18.0GHz with a very low weight percentage of 0.50wt.% in the absorbers. A minimum reflection loss value of −32.72dB (>99.99% attenuation) at 13.84GHz has been observed with the absorber thickness of 3.0mm. Moreover, the SiC/SiO2 core-shell nanowires based absorber can reach an effective absorption bandwidth (<−10dB) of 5.32GHz with the absorber thickness of 3.5mm. Furthermore, a possible absorption mechanism is also proposed in detail for such effective attenuation of microwave which can be attributed to the dielectric loss and magnetic loss of SiC/SiO2 core-shell nanowires.

  9. High-efficient production of SiC/SiO2 core-shell nanowires for effective microwave absorption

    KAUST Repository

    Zhong, Bo

    2017-02-21

    In the current report, we have demonstrated that the high-efficient production of SiC/SiO2 core-shell nanowires can be achieved through the introduction of trace of water vapor during the chemical vapor deposition process. The yield of the SiC/SiO2 core-shell nanowires is dramatically improved due to the introduction of water vapor. The SiC/SiO2 core-shell nanowires exhibit an excellent microwave absorption property in the frequency range of 2.0–18.0GHz with a very low weight percentage of 0.50wt.% in the absorbers. A minimum reflection loss value of −32.72dB (>99.99% attenuation) at 13.84GHz has been observed with the absorber thickness of 3.0mm. Moreover, the SiC/SiO2 core-shell nanowires based absorber can reach an effective absorption bandwidth (<−10dB) of 5.32GHz with the absorber thickness of 3.5mm. Furthermore, a possible absorption mechanism is also proposed in detail for such effective attenuation of microwave which can be attributed to the dielectric loss and magnetic loss of SiC/SiO2 core-shell nanowires.

  10. Resiliency to social defeat stress relates to the inter-strain social interaction and is influenced by season variation.

    Science.gov (United States)

    Han, Qiuqin; Yang, Liu; Liu, Yan; Lv, Ning; Yu, Jin; Wu, Gencheng; Zhang, Yuqiu

    2014-02-21

    Exposure to social defeat (SD) stress exerts social avoidance and depressive disorders. Little is known about the relationship between resiliency to stressors and the inter-strain social interaction (SI) level. We hypothesized that SD resiliency is correlated with a high SI between the same strain. C57BL/6J mice experienced a 10-day period of SD stress by repeated CD-1 mice offensive. The susceptible mice exhibited significant social-avoidance behaviors with less time in interaction-zone (IZ) and lower social interaction ratio (SIR) toward the Target (CD-1 mice), while resilient ones exhibited similar social interaction to control mice. When the Target was C57BL/6J mouse, either susceptible or resilient mice spent more time in IZ and the inter-strain SI in the resilient group was significantly higher than the susceptible. Correlation analysis revealed a significantly non-zero slope of the linear relationship between SIRs toward two strains. But different groups had a similar baseline of the inter-strain SI before stress, indicating a SD-induced defect in both types of SI. In addition, in four different seasons, animals exhibited a significant resiliency to the stress in summer. These data suggest that SD resiliency is related to a higher SI toward the same-strain, and may be regulated by seasonal variations. Copyright © 2013 Elsevier Ireland Ltd. All rights reserved.

  11. High Temperature Deformation Behavior and Microstructure Evolution of Ti-4Al-4Fe-0.25Si Alloy

    Energy Technology Data Exchange (ETDEWEB)

    Won, Jong Woo; Lee, Yongmoon; Lee, Chong Soo [Pohang University of Science and Technology, Pohang (Korea, Republic of); Yeom, Jong-Taek [Korea Institute of Materials Science, Changwon (Korea, Republic of); Lee, Gi Yeong [KPCM Incorporated, Gyeongsan (Korea, Republic of)

    2016-05-15

    Hot deformation behavior of Ti-4Al-4Fe-0.25Si alloy with martensite microstructure was investigated by compression tests at temperatures of 1023 – 1173 K (α+β phase region) and strain rates of 10{sup -3} – 1 s{sup -1}. By analyzing the deformation behavior, plastic deformation instability parameters including strain rate sensitivity, deformation temperature sensitivity, efficiency of power dissipation, and Ziegler’s instability were evaluated as a function of deformation temperature and strain rate, and they were further examined by drawing deformation processing maps. The microstructure evolution was also studied to determine the deformation conditions under which equiaxed α phase was formed in the microstructure without remnants or kinked α phase platelets and shear bands, these last two of which cause severe cracks during post-forming process. Based on the combined results of the processing maps and the microstructure analysis, the optimum α+β forging conditions for Ti-4Al-4Fe-0.25Si alloy were determined.

  12. Fabrication of highly oriented D0{sub 3}-Fe{sub 3}Si nanocrystals by solid-state dewetting of Si ultrathin layer

    Energy Technology Data Exchange (ETDEWEB)

    Naito, Muneyuki, E-mail: naito22@center.konan-u.ac.jp [Department of Chemistry, Konan University, Okamoto, Higashinada, Kobe, Hyogo 658-8501 (Japan); Nakagawa, Tatsuhiko; Machida, Nobuya; Shigematsu, Toshihiko [Department of Chemistry, Konan University, Okamoto, Higashinada, Kobe, Hyogo 658-8501 (Japan); Nakao, Motoi [Graduate School of Engineering, Kyushu Institute of Technology, Sensui, Tobata, Kitakyushu, Fukuoka 804-8550 (Japan); Sudoh, Koichi [The Institute of Scientific and Industrial Research, Osaka University, Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2013-07-31

    In this paper, highly oriented nanocrystals of Fe{sub 3}Si with a D0{sub 3} structure are fabricated on SiO{sub 2} using ultrathin Si on insulator substrate. First, (001) oriented Si nanocrystals are formed on the SiO{sub 2} layer by solid state dewetting of the top Si layer. Then, Fe addition to the Si nanocrystals is performed by reactive deposition epitaxy and post-deposition annealing at 500 °C. The structures of the Fe–Si nanocrystals are analyzed by cross-sectional transmission electron microscopy and nanobeam electron diffraction. We observe that Fe{sub 3}Si nanocrystals with D0{sub 3}, B2, and A2 structures coexist on the 1-h post-annealed samples. Prolonged annealing at 500 °C is effective in obtaining Fe{sub 3}Si nanocrystals with a D0{sub 3} single phase, thereby promoting structural ordering in the nanocrystals. We discuss the formation process of the highly oriented D0{sub 3}-Fe{sub 3}Si nanocrystals on the basis of the atomistic structural information. - Highlights: • Highly oriented Fe–Si nanocrystals (NCs) are fabricated by reactive deposition. • Si NCs formed by solid state dewetting of Si thin layers are used as seed crystals. • The structures of Fe–Si NCs are analyzed by nanobeam electron diffraction. • Most of Fe–Si NCs possess the D0{sub 3} structure after post-deposition annealing.

  13. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  14. Effect of strain rate and temperature at high strains on fatigue behavior of SAP alloys

    DEFF Research Database (Denmark)

    Blucher, J.T.; Knudsen, Per; Grant, N.J.

    1968-01-01

    Fatigue behavior of three SAP alloys of two nominal compositions (7 and 13% Al2O3) was studied in terms of strain rate and temperature at high strains; strain rate had no effect on life at 80 F, but had increasingly greater effect with increasing temperature above 500 F; life decreased with decre......Fatigue behavior of three SAP alloys of two nominal compositions (7 and 13% Al2O3) was studied in terms of strain rate and temperature at high strains; strain rate had no effect on life at 80 F, but had increasingly greater effect with increasing temperature above 500 F; life decreased...

  15. Ge/SiGe superlattices for nanostructured thermoelectric modules

    International Nuclear Information System (INIS)

    Chrastina, D.; Cecchi, S.; Hague, J.P.; Frigerio, J.; Samarelli, A.; Ferre–Llin, L.; Paul, D.J.; Müller, E.; Etzelstorfer, T.; Stangl, J.; Isella, G.

    2013-01-01

    Thermoelectrics are presently used in a number of applications for both turning heat into electricity and also for using electricity to produce cooling. Mature Si/SiGe and Ge/SiGe heteroepitaxial growth technology would allow highly efficient thermoelectric materials to be engineered, which would be compatible and integrable with complementary metal oxide silicon micropower circuits used in autonomous systems. A high thermoelectric figure of merit requires that electrical conductivity be maintained while thermal conductivity is reduced; thermoelectric figures of merit can be improved with respect to bulk thermoelectric materials by fabricating low-dimensional structures which enhance the density of states near the Fermi level and through phonon scattering at heterointerfaces. We have grown and characterized Ge-rich Ge/SiGe/Si superlattices for nanofabricated thermoelectric generators. Low-energy plasma-enhanced chemical vapor deposition has been used to obtain nanoscale-heterostructured material which is several microns thick. Crystal quality and strain control have been investigated by means of high resolution X-ray diffraction. High-resolution transmission electron microscopy images confirm the material and interface quality. Electrical conductivity has been characterized by the mobility spectrum technique. - Highlights: ► High-quality Ge/SiGe multiple quantum wells for thermoelectric applications ► Mobility spectra of systems featuring a large number of parallel conduction channels ► Competitive thermoelectric properties measured in single devices

  16. Processing, Microstructure and Creep Behavior of Mo-Si-B-Based Intermetallic Alloys for Very High Temperature Structural Applications

    Energy Technology Data Exchange (ETDEWEB)

    Vijay Vasudevan

    2008-03-31

    This research project is concerned with developing a fundamental understanding of the effects of processing and microstructure on the creep behavior of refractory intermetallic alloys based on the Mo-Si-B system. In the first part of this project, the compression creep behavior of a Mo-8.9Si-7.71B (in at.%) alloy, at 1100 and 1200 C was studied, whereas in the second part of the project, the constant strain rate compression behavior at 1200, 1300 and 1400 C of a nominally Mo-20Si-10B (in at.%) alloy, processed such as to yield five different {alpha}-Mo volume fractions ranging from 5 to 46%, was studied. In order to determine the deformation and damage mechanisms and rationalize the creep/high temperature deformation data and parameters, the microstructure of both undeformed and deformed samples was characterized in detail using x-ray diffraction, scanning electron microscopy (SEM) with back scattered electron imaging (BSE) and energy dispersive x-ray spectroscopy (EDS), electron back scattered diffraction (EBSD)/orientation electron microscopy in the SEM and transmission electron microscopy (TEM). The microstructure of both alloys was three-phase, being composed of {alpha}-Mo, Mo{sub 3}Si and T2-Mo{sub 5}SiB{sub 2} phases. The values of stress exponents and activation energies, and their dependence on microstructure were determined. The data suggested the operation of both dislocation as well as diffusional mechanisms, depending on alloy, test temperature, stress level and microstructure. Microstructural observations of post-crept/deformed samples indicated the presence of many voids in the {alpha}-Mo grains and few cracks in the intermetallic particles and along their interfaces with the {alpha}-Mo matrix. TEM observations revealed the presence of recrystallized {alpha}-Mo grains and sub-grain boundaries composed of dislocation arrays within the grains (in Mo-8.9Si-7.71B) or fine sub-grains with a high density of b = 1/2<111> dislocations (in Mo-20Si-10B), which

  17. Creating periodic local strain in monolayer graphene with nanopillars patterned by self-assembled block copolymer

    Energy Technology Data Exchange (ETDEWEB)

    Mi, Hongyi; Mikael, Solomon; Seo, Jung-Hun; Gui, Gui; Ma, Alice L.; Ma, Zhenqiang, E-mail: nealey@uchicago.edu, E-mail: mazq@engr.wisc.edu [Department of Electrical and Computer Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States); Liu, Chi-Chun; Nealey, Paul F., E-mail: nealey@uchicago.edu, E-mail: mazq@engr.wisc.edu [Department of Chemical and Biological Engineering, University of Wisconsin–Madison, Madison, Wisconsin 53706 (United States)

    2015-10-05

    A simple and viable method was developed to produce biaxial strain in monolayer graphene on an array of SiO{sub 2} nanopillars. The array of SiO{sub 2} nanopillars (1 cm{sup 2} in area, 80 nm in height, and 40 nm in pitch) was fabricated by employing self-assembled block copolymer through simple dry etching and deposition processes. According to high resolution micro-Raman spectroscopy and atomic force microscopy analyses, 0.9% of maximum biaxial tensile strain and 0.17% of averaged biaxial tensile strain in graphene were created. This technique provides a simple and viable method to form biaxial tensile strain in graphene and offers a practical platform for future studies in graphene strain engineering.

  18. Thermally induced formation of SiC nanoparticles from Si/C/Si multilayers deposited by ultra-high-vacuum ion beam sputtering

    International Nuclear Information System (INIS)

    Chung, C-K; Wu, B-H

    2006-01-01

    A novel approach for the formation of SiC nanoparticles (np-SiC) is reported. Deposition of Si/C/Si multilayers on Si(100) wafers by ultra-high-vacuum ion beam sputtering was followed by thermal annealing in vacuum for conversion into SiC nanoparticles. The annealing temperature significantly affected the size, density, and distribution of np-SiC. No nanoparticles were formed for multilayers annealed at 500 0 C, while a few particles started to appear when the annealing temperature was increased to 700 0 C. At an annealing temperature of 900 0 C, many small SiC nanoparticles, of several tens of nanometres, surrounding larger submicron ones appeared with a particle density approximately 16 times higher than that observed at 700 0 C. The higher the annealing temperature was, the larger the nanoparticle size, and the higher the density. The higher superheating at 900 0 C increased the amount of stable nuclei, and resulted in a higher particle density compared to that at 700 0 C. These particles grew larger at 900 0 C to reduce the total surface energy of smaller particles due to the higher atomic mobility and growth rate. The increased free energy of stacking defects during particle growth will limit the size of large particles, leaving many smaller particles surrounding the large ones. A mechanism for the np-SiC formation is proposed in this paper

  19. Mechanical properties of Fe-Ni-Cr-Si-B bulk glassy alloy

    International Nuclear Information System (INIS)

    Lee, Kee Ahn; Kim, Yong Chan; Kim, Jung Han; Lee, Chong Soo; Namkung, Jung; Kim, Moon Chul

    2007-01-01

    The mechanical properties and crystallization behavior of new Fe-Ni-Cr-Si-B-based bulk glassy alloys were investigated. The suitability of the continuous roll casting method for the production of bulk metallic glass (BMG) sheets in such alloy systems was also examined. BMG samples (Fe-Ni-Cr-Si-B, Fe-Ni-Zr-Cr-Si-B, Fe-Ni-Zr-Cr-W-Si-B) in amorphous strip, cylindrical, and sheet forms were prepared through melt spinning, copper mold casting, and twin roll strip casting, respectively. Fe-Ni-Cr-Si-B alloy exhibited compressive strength of up to 2.93 GPa and plastic strain of about 1.51%. On the other hand, the Fe-Ni-Zr-Cr-Si-B, composite-type bulk sample with diameter of 2.0 mm showed remarkable compressive plastic strain of about 4.03%. The addition of zirconium was found to enhance the homogeneous precipitation of nanocrystalline less than 7 nm and to develop a hybrid-composite microstructure with increasing sample thickness. Twin roll strip casting was successfully applied to the fabrication of sheets in Fe-Ni-Cr-Si-B-based BMGs. The combined characteristics of high mechanical properties and ease of microstructure control proved to be promising in terms of the future progress of structural bulk amorphous alloys

  20. Mechanical properties of Fe-Ni-Cr-Si-B bulk glassy alloy

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Kee Ahn [School of Advanced Materials Engineering, Andong National University, Andong 760-749 (Korea, Republic of)]. E-mail: keeahn@andong.ac.kr; Kim, Yong Chan [New Metals Research Team, RIST, Pohang 790-330 (Korea, Republic of); Kim, Jung Han [Center for Advanced Aerospace materials, POSTECH, Pohang 790-784 (Korea, Republic of); Lee, Chong Soo [Center for Advanced Aerospace materials, POSTECH, Pohang 790-784 (Korea, Republic of); Namkung, Jung [New Metals Research Team, RIST, Pohang 790-330 (Korea, Republic of); Kim, Moon Chul [New Metals Research Team, RIST, Pohang 790-330 (Korea, Republic of)

    2007-03-25

    The mechanical properties and crystallization behavior of new Fe-Ni-Cr-Si-B-based bulk glassy alloys were investigated. The suitability of the continuous roll casting method for the production of bulk metallic glass (BMG) sheets in such alloy systems was also examined. BMG samples (Fe-Ni-Cr-Si-B, Fe-Ni-Zr-Cr-Si-B, Fe-Ni-Zr-Cr-W-Si-B) in amorphous strip, cylindrical, and sheet forms were prepared through melt spinning, copper mold casting, and twin roll strip casting, respectively. Fe-Ni-Cr-Si-B alloy exhibited compressive strength of up to 2.93 GPa and plastic strain of about 1.51%. On the other hand, the Fe-Ni-Zr-Cr-Si-B, composite-type bulk sample with diameter of 2.0 mm showed remarkable compressive plastic strain of about 4.03%. The addition of zirconium was found to enhance the homogeneous precipitation of nanocrystalline less than 7 nm and to develop a hybrid-composite microstructure with increasing sample thickness. Twin roll strip casting was successfully applied to the fabrication of sheets in Fe-Ni-Cr-Si-B-based BMGs. The combined characteristics of high mechanical properties and ease of microstructure control proved to be promising in terms of the future progress of structural bulk amorphous alloys.

  1. Experimental investigation on high temperature anisotropic compression properties of ceramic-fiber-reinforced SiO2 aerogel

    International Nuclear Information System (INIS)

    Shi, Duoqi; Sun, Yantao; Feng, Jian; Yang, Xiaoguang; Han, Shiwei; Mi, Chunhu; Jiang, Yonggang; Qi, Hongyu

    2013-01-01

    Compression tests were conducted on a ceramic-fiber-reinforced SiO 2 aerogel at high temperature. Anisotropic mechanical property was found. In-plane Young's modulus is more than 10 times higher than that of out-of-plane, but fracture strain is much lower by a factor of 100. Out-of-plane Young's modulus decreases with increasing temperature, but the in-plane modulus and fracture stress increase with temperature. The out-of-plane property does not change with loading rates. Viscous flow at high temperature is found to cause in-plane shrinkage, and both in-plane and out-of-plane properties change. Compression induced densification of aerogel matrix was also found by Scanning Electron Microscope analysis

  2. Si/SiGe heterointerfaces in one-, two-, and three-dimensional nanostructures: their impact on SiGe light emission

    Science.gov (United States)

    Lockwood, David; Wu, Xiaohua; Baribeau, Jean-Marc; Mala, Selina; Wang, Xialou; Tsybeskov, Leonid

    2016-03-01

    Fast optical interconnects together with an associated light emitter that are both compatible with conventional Si-based complementary metal-oxide- semiconductor (CMOS) integrated circuit technology is an unavoidable requirement for the next-generation microprocessors and computers. Self-assembled Si/Si1-xGex nanostructures, which can emit light at wavelengths within the important optical communication wavelength range of 1.3 - 1.55 μm, are already compatible with standard CMOS practices. However, the expected long carrier radiative lifetimes observed to date in Si and Si/Si1-xGex nanostructures have prevented the attainment of efficient light-emitting devices including the desired lasers. Thus, the engineering of Si/Si1-xGex heterostructures having a controlled composition and sharp interfaces is crucial for producing the requisite fast and efficient photoluminescence (PL) at energies in the range 0.8-0.9 eV. In this paper we assess how the nature of the interfaces between SiGe nanostructures and Si in heterostructures strongly affects carrier mobility and recombination for physical confinement in three dimensions (corresponding to the case of quantum dots), two dimensions (corresponding to quantum wires), and one dimension (corresponding to quantum wells). The interface sharpness is influenced by many factors such as growth conditions, strain, and thermal processing, which in practice can make it difficult to attain the ideal structures required. This is certainly the case for nanostructure confinement in one dimension. However, we demonstrate that axial Si/Ge nanowire (NW) heterojunctions (HJs) with a Si/Ge NW diameter in the range 50 - 120 nm produce a clear PL signal associated with band-to-band electron-hole recombination at the NW HJ that is attributed to a specific interfacial SiGe alloy composition. For three-dimensional confinement, the experiments outlined here show that two quite different Si1-xGex nanostructures incorporated into a Si0.6Ge0.4 wavy

  3. Wafer-scale high-throughput ordered arrays of Si and coaxial Si/Si(1-x)Ge(x) wires: fabrication, characterization, and photovoltaic application.

    Science.gov (United States)

    Pan, Caofeng; Luo, Zhixiang; Xu, Chen; Luo, Jun; Liang, Renrong; Zhu, Guang; Wu, Wenzhuo; Guo, Wenxi; Yan, Xingxu; Xu, Jun; Wang, Zhong Lin; Zhu, Jing

    2011-08-23

    We have developed a method combining lithography and catalytic etching to fabricate large-area (uniform coverage over an entire 5-in. wafer) arrays of vertically aligned single-crystal Si nanowires with high throughput. Coaxial n-Si/p-SiGe wire arrays are also fabricated by further coating single-crystal epitaxial SiGe layers on the Si wires using ultrahigh vacuum chemical vapor deposition (UHVCVD). This method allows precise control over the diameter, length, density, spacing, orientation, shape, pattern and location of the Si and Si/SiGe nanowire arrays, making it possible to fabricate an array of devices based on rationally designed nanowire arrays. A proposed fabrication mechanism of the etching process is presented. Inspired by the excellent antireflection properties of the Si/SiGe wire arrays, we built solar cells based on the arrays of these wires containing radial junctions, an example of which exhibits an open circuit voltage (V(oc)) of 650 mV, a short-circuit current density (J(sc)) of 8.38 mA/cm(2), a fill factor of 0.60, and an energy conversion efficiency (η) of 3.26%. Such a p-n radial structure will have a great potential application for cost-efficient photovoltaic (PV) solar energy conversion. © 2011 American Chemical Society

  4. Fabrication of Al-20 wt%Si powder using scrap Si by ultra high-energy milling process

    Energy Technology Data Exchange (ETDEWEB)

    Kang, Won-Kyung [Division of Advanced Materials Engineering and Institute for Rare Metals, Kongju National University, 275, Budae-dong, Cheonan, Chungnam 330-717 (Korea, Republic of); Y Latin-Small-Letter-Dotless-I lmaz, Fikret [Department of Physics, Faculty of Art and Science, Gaziosmanpasa University, Tasliciftlik Campus, 60240 Tokat (Turkey); Kim, Hyo-Seob; Koo, Jar-Myung [Division of Advanced Materials Engineering and Institute for Rare Metals, Kongju National University, 275, Budae-dong, Cheonan, Chungnam 330-717 (Korea, Republic of); Hong, Soon-Jik, E-mail: hongsj@kongju.ac.kr [Division of Advanced Materials Engineering and Institute for Rare Metals, Kongju National University, 275, Budae-dong, Cheonan, Chungnam 330-717 (Korea, Republic of)

    2012-09-25

    Highlights: Black-Right-Pointing-Pointer High energy ball milling process has been successfully employed to produce Al-20Si alloy using scrap Si powders. Black-Right-Pointing-Pointer Fully finer and homogenous structure could be achieved after 60 min of milling time. Black-Right-Pointing-Pointer Si particles were not dissolved but uniformly dispersed in the Al matrix in a milled state. Black-Right-Pointing-Pointer The hardness of as-milled Al-20Si powder increased steadily with the increase of milling time. Black-Right-Pointing-Pointer Grain size and dispersion strengthening are two mechanisms being responsible for hardness increment. - Abstract: In this study, microstructural evolution and mechanical properties of Al-20 wt%Si and pure Al powders fabricated by ultra high-energy ball milling technique were investigated as a function of milling time. The microstructure and mechanical properties of the as-milled powders were examined by scanning electron microscope (SEM), energy dispersive spectrometry (EDS), X-ray diffractometer (XRD) and Vickers hardness tester. SEM observation showed that the particle size increased at an early stage of milling, and then decreased drastically with further milling. XRD and cross-sectional EDS-mapping analyses revealed that Si particles were not dissolved but uniformly dispersed in the Al matrix in a milled state. Vickers hardness of both pure Al and Al-Si powder increases with milling time, which attributes to the grain size strengthening and dispersion strengthening.

  5. Elastic response of URu{sub 2}Si{sub 2} under high magnetic fields

    Energy Technology Data Exchange (ETDEWEB)

    Yanagisawa, Tatsuya; Mombetsu, Shota; Hidaka, Hiroyuki; Amitsuka, Hiroshi [Dept. of Physics, Hokkaido Univ., Sapporo (Japan); Akatsu, Mitsuhiro [Grad. School of Science and Technology, Niigata Univ., Niigata (Japan); Yasin, S.; Zherlitsyn, S.; Wosnitza, J. [Hochfeld-Magnetlabor Dresden, Helmholtz-Zentrum Dresden-Rossendorf and TU Dresden, Dresden (Germany); Huang, K.; Janoschek, M.; Maple, M.B. [Dept. of Physics, Univ. of California, San Diego, La Jolla (United States)

    2015-07-01

    We have measured the elastic constants, C{sub 44}, C{sub 66}, (C{sub 11}-C{sub 12})/2 in URu{sub 2}Si{sub 2} by means of high-frequency ultrasonic measurements in pulsed magnetic fields up to 68.7 T in a wide temperature range from 1.5 to ∝120 K. We found a reduction of (C{sub 11}-C{sub 12})/2 for magnetic field H parallel [001] that appears only in the temperature and magnetic field region in which URu{sub 2}Si{sub 2} exhibits a heavy-electron state and hidden order. This change in (C{sub 11}-C{sub 12})/2 appears to be a response of the 5f electrons to an orthorhombic and volume conservative strain field ε{sub xx}-ε{sub yy} with Γ{sub 3} symmetry. The lattice instability is likely related to a symmetry-breaking band instability that arises due to the hybridization of the localized 5f electrons with the conduction electrons and is probably linked to the hidden-order parameter of this compound. Recent progress obtained by our measurements of the transverse ultrasonic modes C{sub 44} and C{sub 66} will also be discussed.

  6. High thermal conductivity SiC/SiC composites for fusion applications -- 2

    International Nuclear Information System (INIS)

    Kowbel, W.; Tsou, K.T.; Withers, J.C.; Youngblood, G.E.

    1998-01-01

    This report covers material presented at the IEA/Jupiter Joint International Workshop on SiC/SiC Composites for Fusion Structural Applications held in conjunction with ICFRM-8, Sendai, Japan, Oct. 23--24, 1997. An unirradiated SiC/SiC composite made with MER-developed CVR SiC fiber and a hybrid PIP/CVI SiC matrix exhibited room temperature transverse thermal conductivity of 45 W/mK. An unirradiated SiC/SiC composite made from C/C composite totally CVR-converted to a SiC/SiC composite exhibited transverse thermal conductivity values of 75 and 35 W/mK at 25 and 1000 C, respectively. Both types of SiC/SiC composites exhibited non-brittle failure in flexure testing

  7. Twins and strain relaxation in zinc-blende GaAs nanowires grown on silicon

    Energy Technology Data Exchange (ETDEWEB)

    Piñero, J.C., E-mail: josecarlos.pinero@uca.es [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Araújo, D.; Pastore, C.E.; Gutierrez, M. [Dpto. Ciencias de los Materiales, Universidad de Cádiz, 11510, Puerto Real, Cádiz (Spain); Frigeri, C. [Istituto CNR-IMEM Parco Area delle Scienze 37/A, Fontanini, 43010, Parma (Italy); Benali, A.; Lelièvre, J.F.; Gendry, M. [INL-Institut des Nanotechnologies de Lyon, UMR 5270 Ecole Centrale de Lyon 36, Avenue Guy de Collongue, 69134, Ecully Cedex (France)

    2017-02-15

    Highlights: • A TEM-HREM study of GaAs nanowires, growth over Si, is presented. • Misfit dislocations are detected in the Si/GaAs magma interface. • The study demonstrates strain relaxation through twin formation in some nanowires. - Abstract: To integrate materials with large lattice mismatch as GaAs on silicon (Si) substrate, one possible approach, to improve the GaAs crystalline quality, is to use nanowires (NWs) technology. In the present contribution, NWs are grown on <111> oriented Si substrates by molecular beam epitaxy (MBE) using vapor-liquid-solid (VLS) method. Transmission electron microscopy (TEM) analyses show that NWs are mainly grown alternating wurtzite and zinc blend (ZB) phases, and only few are purely ZB. On the latter, High Resolution Electron Microscopy (HREM) evidences the presence of twins near the surface of the NW showing limited concordance with the calculations of Yuan (2013) [1], where {111} twin planes in a <111>-oriented GaAs NW attain attractive interactions mediated by surface strain. In addition, such twins allow slight strain relaxation and are probably induced by the local huge elastic strain observed by HREM in the lattice between the twin and the surface. The latter is attributed to some slight bending of the NW as shown by the inversion of the strain from one side to the other side of the NW.

  8. The millimeter-wave spectrum of highly vibrationally excited SiO

    International Nuclear Information System (INIS)

    Mollaaghababa, R.; Gottlieb, C.A.; Vrtilek, J.M.; Thaddeus, P.

    1991-01-01

    The millimeter-wave rotational spectra of SiO in high vibrational states (v = 0-40) in its electronic ground state were measured between 228 and 347 GHz in a laboratory discharge through SiH4 and CO. On ascending the vibrational ladder, populations decline precipitously for the first few levels, with a vibrational temperature of about 1000 K; at v of roughly 3, however, they markedly flatten out, and from there to v of roughly 40 the temperature is of the order of 10,000 K. With the Dunham coefficients determined here, the rotational spectrum of highly vibrationally excited SiO can now be calculated into the far-infrared to accuracies required for radioastronomy. Possible astronomical sources of highly vibrationally excited SiO are certain stellar atmospheres, ultracompact H II regions, very young supernova ejecta, and dense interstellar shocks. 16 refs

  9. Physicochemical interactions resulting from the use of a SiC/SiC composite material in typical environments of future nuclear reactors

    International Nuclear Information System (INIS)

    Braun, James

    2014-01-01

    The development of high purity SiC fibers during the nineties has led to their consideration as nuclear reactors components through the use of SiC/SiC composites. SiC and SiC/SiC composites are considered as core materials of future nuclear reactors (SFR, GFR) and as a potential replacement for the zirconium cladding of PWR. Therefore, the thermochemical compatibility of these materials with typical environments of those nuclear reactors has been studied. The composition and the growth kinetics of the reaction zone of SiC towards niobium and tantalum (considered as materials to ensure the leak-tightness of a SiC/SiC cladding for GFR) have been studied between 1050 and 1500 C. High temperature heat treatments in open and closed systems between SiC and UO 2 have shown a significant reactivity over 1200 C characterized by the formation of CO and uranium silicides. Moreover, a liquid phase has been detected between 1500 and 1650 C. The exposure of SiC/SiC to liquid sodium (550 C, up to 2000 h) has been studied as a function of the oxygen concentration dissolved in liquid sodium. An improvement of the mechanical properties of the composites elaborated for this study (increase of the tensile strength and strain at failure) has been highlighted after immersion in the liquid sodium independently of its oxygen concentration. It is believed that this phenomenon is due to the presence of residual sodium in the material. (author) [fr

  10. High mobility AlGaN/GaN heterostructures grown on Si substrates using a large lattice-mismatch induced stress control technology

    International Nuclear Information System (INIS)

    Cheng, Jianpeng; Yang, Xuelin; Sang, Ling; Guo, Lei; Hu, Anqi; Xu, Fujun; Tang, Ning; Wang, Xinqiang; Shen, Bo

    2015-01-01

    A large lattice-mismatch induced stress control technology with a low Al content AlGaN layer has been used to grow high quality GaN layers on 4-in. Si substrates. The use of this technology allows for high mobility AlGaN/GaN heterostructures with electron mobility of 2040 cm 2 /(V·s) at sheet charge density of 8.4 × 10 12  cm −2 . Strain relaxation and dislocation evolution mechanisms have been investigated. It is demonstrated that the large lattice mismatch between the low Al content AlGaN layer and AlN buffer layer could effectively promote the edge dislocation inclination with relatively large bend angles and therefore significantly reduce the dislocation density in the GaN epilayer. Our results show a great potential for fabrication of low-cost and high performance GaN-on-Si power devices

  11. Comparative study on stress in AlGaN/GaN HEMT structures grown on 6H-SiC, Si and on composite substrates of the 6H-SiC/poly-SiC and Si/poly-SiC

    International Nuclear Information System (INIS)

    Guziewicz, M; Kaminska, E; Piotrowska, A; Golaszewska, K; Domagala, J Z; Poisson, M-A; Lahreche, H; Langer, R; Bove, P

    2008-01-01

    The stresses in GaN-based HEMT structures grown on both single crystal 6H SiC(0001) and Si(111) have been compared to these in the HEMT structures grown on new composite substrates engendered as a thin monocrystalline film attached to polycrystalline 3C-SiC substrate. By using HRXRD technique and wafer curvature method we show that stress of monocrystalline layer in composite substrates of the type mono-Si/poly-SiC is lower than 100 MPa and residual stress of epitaxial GaN buffer grown on the composite substrate does not exceed 0.31 GPa, but in the cases of single crystal SiC or Si substrates the GaN buffer stress is compressive in the range of -0.5 to -0.75 GPa. The total stress of the HEMT structure calculated from strains is consistent with the averaged stress of the multilayers stack measured by wafer curvature method. The averaged stress of HEMT structure grown on single crystals is higher than those in structures grown on composites substrates

  12. Anisotropic Lithium Insertion Behavior in Silicon Nanowires: Binding Energy, Diffusion Barrier, and Strain Effect

    KAUST Repository

    Zhang, Qianfan; Cui, Yi; Wang, Enge

    2011-01-01

    Silicon nanowires (SiNWs) have recently been shown to be promising as high capacity lithium battery anodes. SiNWs can be grown with their long axis along several different crystallographic directions. Due to distinct atomic configuration and electronic structure of SiNWs with different axial orientations, their lithium insertion behavior could be different. This paper focuses on the characteristics of single Li defects, including binding energy, diffusion barriers, and dependence on uniaxial strain in [110], [100], [111], and [112] SiNWs. Our systematic ab initio study suggests that the Si-Li interaction is weaker when the Si-Li bond direction is aligned close to the SiNW long axis. This results in the [110] and [111] SiNWs having the highest and lowest Li binding energy, respectively, and it makes the diffusion barrier along the SiNW axis lower than other pathways. Under external strain, it was found that [110] and [001] SiNWs are the most and least sensitive, respectively. For diffusion along the axial direction, the barrier increases (decreases) under tension (compression). This feature results in a considerable difference in the magnitude of the energy barrier along different diffusion pathways. © 2011 American Chemical Society.

  13. Anisotropic Lithium Insertion Behavior in Silicon Nanowires: Binding Energy, Diffusion Barrier, and Strain Effect

    KAUST Repository

    Zhang, Qianfan

    2011-05-19

    Silicon nanowires (SiNWs) have recently been shown to be promising as high capacity lithium battery anodes. SiNWs can be grown with their long axis along several different crystallographic directions. Due to distinct atomic configuration and electronic structure of SiNWs with different axial orientations, their lithium insertion behavior could be different. This paper focuses on the characteristics of single Li defects, including binding energy, diffusion barriers, and dependence on uniaxial strain in [110], [100], [111], and [112] SiNWs. Our systematic ab initio study suggests that the Si-Li interaction is weaker when the Si-Li bond direction is aligned close to the SiNW long axis. This results in the [110] and [111] SiNWs having the highest and lowest Li binding energy, respectively, and it makes the diffusion barrier along the SiNW axis lower than other pathways. Under external strain, it was found that [110] and [001] SiNWs are the most and least sensitive, respectively. For diffusion along the axial direction, the barrier increases (decreases) under tension (compression). This feature results in a considerable difference in the magnitude of the energy barrier along different diffusion pathways. © 2011 American Chemical Society.

  14. High temperature oxidation behavior of SiC coating in TRISO coated particles

    International Nuclear Information System (INIS)

    Liu, Rongzheng; Liu, Bing; Zhang, Kaihong; Liu, Malin; Shao, Youlin; Tang, Chunhe

    2014-01-01

    Highlights: • High temperature oxidation tests of SiC coating in TRISO particles were carried out. • The dynamic oxidation process was established. • Oxidation mechanisms were proposed. • The existence of silicon oxycarbides at the SiO 2 /SiC interface was demonstrated. • Carbon was detected at the interface at high temperatures and long oxidation time. - Abstract: High temperature oxidation behavior of SiC coatings in tristructural-isotropic (TRISO) coated particles is crucial to the in-pile safety of fuel particles for a high temperature gas cooled reactor (HTGR). The postulated accident condition of air ingress was taken into account in evaluating the reliability of the SiC layer. Oxidation tests of SiC coatings were carried out in the ranges of temperature between 800 and 1600 °C and time between 1 and 48 h in air atmosphere. Based on the microstructure evolution of the oxide layer, the mechanisms and kinetics of the oxidation process were proposed. The existence of silicon oxycarbides (SiO x C y ) at the SiO 2 /SiC interface was demonstrated by X-ray photospectroscopy (XPS) analysis. Carbon was detected by Raman spectroscopy at the interface under conditions of very high temperatures and long oxidation time. From oxidation kinetics calculation, activation energies were 145 kJ/mol and 352 kJ/mol for the temperature ranges of 1200–1500 °C and 1550–1600 °C, respectively

  15. The structural and electrical characterisation of SiGe heterostructures deposited on strain relaxed virtual substrates

    International Nuclear Information System (INIS)

    Hammond, R.

    1998-09-01

    The influence of lateral dimensions on the relaxation mechanism and the resulting effect on the surface topography of limited-area, linearly graded Si 1-x Ge x virtual substrates has been investigated for the first time. A dramatic change in the relaxation mechanism of such buffer layers has been observed for depositions on Si mesa pillars of lateral dimensions of 10μm and below. For such depositions, misfit dislocations are able to extend, unhindered, and terminate at the edges of the growth zone. In this manner, orthogonal misfit dislocation interactions are avoided, yielding a surface free of the problematic surface cross-hatch roughening. However, as the lateral dimension of the growth zone is increased to 20μm, orthogonal misfit interactions occur and relaxation is dominated by the Modified Frank-Read (MFR) multiplication mechanism. The resulting surface morphology shows a pronounced surface cross-hatch roughening. It is proposed that such cross-hatch roughening is a direct consequence of the cooperative stress fields associated with the MFR mechanism. It is postulated that the method of limited-area, linearly graded buffer layers provides a unique opportunity, by which 'ideal' virtual substrates, free of surface cross-hatch and threading dislocations, may be produced to any Ge content. In addition, a unique method by which the electrical performance of low temperature, strained layer depositions may be optimised is discussed. The method relies on the elimination of as-grown lattice imperfections via a post growth thermal anneal treatment. A 25-fold increase in low temperature hole mobility of a Si 0.5 Ge 0.5 /Si 0.7 Ge 0.3 heterostructure has been demonstrated using a 30minute, 750 deg C in-situ, post growth anneal. (author)

  16. Assessment of the growth/etch back technique for the production of Ge strain-relaxed buffers on Si

    Science.gov (United States)

    Hartmann, J. M.; Aubin, J.

    2018-04-01

    Thick Ge layers grown on Si(0 0 1) are handy for the production of GeOI wafers, as templates for the epitaxy of III-V and GeSn-based heterostructures and so on. Perfecting their crystalline quality would enable to fabricate suspended Ge micro-bridges with extremely high levels of tensile strain (for mid IR lasers). In this study, we have used a low temperature (400 °C)/high temperature (750 °C) approach to deposit with GeH4 various thickness Ge layers in the 0.5 μm - 5 μm range. They were submitted afterwards to short duration thermal cycling under H2 (in between 750 °C and 875-890 °C) to lower the Threading Dislocation Density (TDD). Some of the thickest layers were partly etched at 750 °C with gaseous HCl to recover wafer bows compatible with device processing later on. X-ray Diffraction (XRD) showed that the layers were slightly tensile-strained, with a 104.5-105.5% degree of strain relaxation irrespective of the thickness. The surface was cross-hatched, with a roughness slightly decreasing with the thickness, from 2.0 down to 0.8 nm. The TDD (from Omega scans in XRD) decreased from 8 × 107 cm-2 down to 107 cm-2 as the Ge layer thickness increased from 0.5 up to 5 μm. The lack of improvement when growing 5 μm thick layers then etching a fraction of them with HCl over same thickness layers grown in a single run was at variance with Thin Solid Films 520, 3216 (2012). Low temperature HCl defect decoration confirmed those findings, with (i) a TDD decreasing from slightly more 107 cm-2 down to 5 × 106 cm-2 as the Ge layer thickness increased from 1.3 up to 5 μm and (ii) no TDD hysteresis between growth and growth then HCl etch-back.

  17. Investigation of the atomic interface structure of mesotaxial Si/CoSi2(100) layers formed by high-dose implantation

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Jong, A.F. de; Vandenhoudt, D.E.W.

    1991-01-01

    Aligned mesotaxial films of CoSi 2 in monocrystalline (100) oriented Si substrates have been formed by high-dose ion implantation of Co, followed by a high temperature treatment. The atomic structures of both the lower and upper Si/CoSi 2 (100) interfaces of the buried CoSi 2 layer have been investigated by high-resolution electron microscopy (HREM) combined with image simulations. A domain-like structure is observed consisting of areas with different interfaces. In order to derive the atomic configuration, image simulations of different proposed models are presented. By comparing simulated images and HREM images, two different atomic structure models for the Si/CoSi 2 (100) interface have been found. In the first model the interfacial Co atoms are six-fold coordinated and the tetrahedral coordination and bond lengths of silicon atoms are everywhere maintained. In the second model we found evidence for a 2 x 1 interface reconstruction, involving a difference in composition. The interfacial Co atoms are seven-fold coordinated. It is shown that the boundaries between the domains are associated with interfacial dislocations of edge-type with Burgers vectors b a/4 inclined and b = a/2 parallel to the interfacial plane. (author)

  18. Quasiparticle electronic and optical properties of the Si-Sn system

    International Nuclear Information System (INIS)

    Jensen, Rasmus V S; Pedersen, Thomas G; Larsen, Arne N

    2011-01-01

    The Si 1-x Sn x material system is an interesting candidate for an optically active material compatible with Si. Based on density functional theory with quasiparticle corrections we calculate the electronic band structure of zinc-blende SiSn under both compressive and tensile strain. At 2.2% tensile strain the band gap becomes direct with a magnitude of 0.85 eV. We develop an accurate tight-binding parameterization of the electronic structure and calculate the optical properties of SiSn. Furthermore, the silicide SiSn 2 is investigated and found to have metallic character. (paper)

  19. Control of the oxidation kinetics of H-terminated (111)Si by using the carrier concentration and the strain: a second-harmonic-generation investigation

    International Nuclear Information System (INIS)

    Gokce, B.; Gundogdu, K.; Aspnes, D. E.

    2012-01-01

    We discuss recent results regarding the effects of strain, carrier type and concentration on the oxidation of H-terminated (111)Si. Second-harmonic-generation data show that this is a two-stage process where the H of the 'up' bonds of the outermost Si layer is replaced by OH, followed by O insertion into the 'back' bonds. These data provide additional detailed information about both stages. In particular, directional control of the in-plane surface chemistry by using the applied uniaxial stress provides new opportunities for interface control.

  20. Control of the oxidation kinetics of H-terminated (111)Si by using the carrier concentration and the strain: a second-harmonic-generation investigation

    Energy Technology Data Exchange (ETDEWEB)

    Gokce, B.; Gundogdu, K. [North Carolina State University, Raleigh, NC (United States); Aspnes, D. E. [Kyung Hee University, Seoul (Korea, Republic of)

    2012-05-15

    We discuss recent results regarding the effects of strain, carrier type and concentration on the oxidation of H-terminated (111)Si. Second-harmonic-generation data show that this is a two-stage process where the H of the 'up' bonds of the outermost Si layer is replaced by OH, followed by O insertion into the 'back' bonds. These data provide additional detailed information about both stages. In particular, directional control of the in-plane surface chemistry by using the applied uniaxial stress provides new opportunities for interface control.

  1. Improvement of photoluminescence from Ge layer with patterned Si3N4 stressors

    International Nuclear Information System (INIS)

    Oda, Katsuya; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-01-01

    Lattice strain applied by patterned Si 3 N 4 stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si 3 N 4 stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si 3 N 4 layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si 3 N 4 stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si 3 N 4 stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si 3 N 4 stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si 3 N 4 stressors. • Photoluminescence (PL) intensity was increased with the Si 3 N 4 stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers

  2. Characterization of Local Strain around Through-Silicon Via Interconnects by Using X-ray Microdiffraction

    Science.gov (United States)

    Nakatsuka, Osamu; Kitada, Hideki; Kim, Youngsuk; Mizushima, Yoriko; Nakamura, Tomoji; Ohba, Takayuki; Zaima, Shigeaki

    2011-05-01

    We have demonstrated the characterization of the local strain structure in thinned Si layers for wafer-on-a-wafer (WOW) applications by using X-ray microdiffraction with a synchrotron radiation source. The microdiffraction reveals the fluctuation of strains in the thin Si layer around through-silicon via (TSV) interconnects with a sub-micrometer scale. We can separately estimated the in-plane and out-of-plane strain structures in the Si layer, and found that the anisotropic strain is induced in the Si layer between the TSV interconnects.

  3. Mechanical strength model for plastic bonded granular materials at high strain rates and large strains

    International Nuclear Information System (INIS)

    Browning, R.V.; Scammon, R.J.

    1998-01-01

    Modeling impact events on systems containing plastic bonded explosive materials requires accurate models for stress evolution at high strain rates out to large strains. For example, in the Steven test geometry reactions occur after strains of 0.5 or more are reached for PBX-9501. The morphology of this class of materials and properties of the constituents are briefly described. We then review the viscoelastic behavior observed at small strains for this class of material, and evaluate large strain models used for granular materials such as cap models. Dilatation under shearing deformations of the PBX is experimentally observed and is one of the key features modeled in cap style plasticity theories, together with bulk plastic flow at high pressures. We propose a model that combines viscoelastic behavior at small strains but adds intergranular stresses at larger strains. A procedure using numerical simulations and comparisons with results from flyer plate tests and low rate uniaxial stress tests is used to develop a rough set of constants for PBX-9501. Comparisons with the high rate flyer plate tests demonstrate that the observed characteristic behavior is captured by this viscoelastic based model. copyright 1998 American Institute of Physics

  4. Validation of perceptual strain index to evaluate the thermal strain in experimental hot conditions

    Directory of Open Access Journals (Sweden)

    Habibollah Dehghan

    2015-01-01

    Conclusions: The research findings showed when there is no access to other forms of methods to evaluate the heat stress, it can be used the PeSI in evaluating the strain because of its favorable correlation with the thermal strain.

  5. Periodically structured Si pillars for high-performing heterojunction photodetectors

    Science.gov (United States)

    Melvin David Kumar, M.; Yun, Ju-Hyung; Kim, Joondong

    2015-03-01

    A periodical array of silicon (Si) micro pillar structures was fabricated on Si substrates using PR etching process. Indium tin oxide (ITO) layer of 80 nm thickness was deposited over patterned Si substrates so as to make ITO/n-Si heterojunction devices. The influences of width and period of pillars on the optical and electrical properties of prepared devices were investigated. The surface morphology of the Si substrates revealed the uniform array of pillar structures. The 5/10 (width/period) Si pillar pattern reduced the optical reflectance to 6.5% from 17% which is of 5/7 pillar pattern. The current rectifying ratio was found higher for the device in which the pillars are situated in optimum periods. At both visible (600 nm) and near infrared (900 nm) range of wavelengths, the 5/7 and 5/10 pillar patterned device exhibited the better photoresponses which are suitable for making advanced photodetectors. This highly transmittance and photoresponsive pillar patterned Si substrates with an ITO layer would be a promising device for various photoelectric applications.

  6. Parallel Microcracks-based Ultrasensitive and Highly Stretchable Strain Sensors.

    Science.gov (United States)

    Amjadi, Morteza; Turan, Mehmet; Clementson, Cameron P; Sitti, Metin

    2016-03-02

    There is an increasing demand for flexible, skin-attachable, and wearable strain sensors due to their various potential applications. However, achieving strain sensors with both high sensitivity and high stretchability is still a grand challenge. Here, we propose highly sensitive and stretchable strain sensors based on the reversible microcrack formation in composite thin films. Controllable parallel microcracks are generated in graphite thin films coated on elastomer films. Sensors made of graphite thin films with short microcracks possess high gauge factors (maximum value of 522.6) and stretchability (ε ≥ 50%), whereas sensors with long microcracks show ultrahigh sensitivity (maximum value of 11,344) with limited stretchability (ε ≤ 50%). We demonstrate the high performance strain sensing of our sensors in both small and large strain sensing applications such as human physiological activity recognition, human body large motion capturing, vibration detection, pressure sensing, and soft robotics.

  7. Strain and crystalline defects in epitaxial GaN layers studied by high-resolution X-ray diffraction

    Energy Technology Data Exchange (ETDEWEB)

    Chierchia, Rosa

    2007-07-01

    This thesis treats strain and dislocations in MOVPE GaN layers. The mosaic structure of metalorganic vapour phase epitaxy (MOVPE)-grown GaN layers was studied in dependence on the grain diameter utilizing high-resolution XRD. Different models for the defect structure were analyzed, the edge type TD densities were calculated under the assumption that the dislocations are not randomly distributed but localized at the grain boundaries. Moreover, in situ measurements have shown that the layers are under tension in the c-plane when a nucleation layer is used. The second part of this thesis treats a particular approach to reduce dislocations in MOVPE GaN layers, i.e. maskless pendeo epitaxial growth of MOVPE GAN layers. FE simulations assuming the strain to be completely induced during cooling of the structures after growth agree only partly with experimental data. The strain state of single layers and stripes of GaN grown on SiC was studied to exploit the evolution of the strain in the different phases of the PE growth. The biaxial compressive stress, due to the lattice mismatch between the GaN layer and the AlN nucleation layer is plastically relieved before overgrowth. Temperature dependent measurements show a linear reduction of the wing tilt with increasing temperature varying from sample to sample. Bent TDs have been observed in TEM images of maskless PE samples. Stress induced from the mismatch between the AlN buffer layer and the GaN also contributes to the remaining part of the wing tilt not relieved thermally. It has to be noted that the rest tilt value varies from sample to sample at the growth temperature. In fact some of the data indicate that the wing tilt decreases with increasing V/III ratio. In the last Chapter the application of X-ray techniques for the analysis of strain and composition in layers of inhomogeneous composition is explored. In the first part of the Chapter the strain state and the Al content of AlGaN buffer layers grown directly on (0001

  8. Effect of surface passivation by SiN/SiO2 of AlGaN/GaN high-electron mobility transistors on Si substrate by deep level transient spectroscopy method

    International Nuclear Information System (INIS)

    Gassoumi, Malek; Mosbahi, Hana; Zaidi, Mohamed Ali; Gaquiere, Christophe; Maaref, Hassen

    2013-01-01

    Device performance and defects in AlGaN/GaN high-electron mobility transistors have been correlated. The effect of SiN/SiO 2 passivation of the surface of AlGaN/GaN high-electron mobility transistors on Si substrates is reported on DC characteristics. Deep level transient spectroscopy (DLTS) measurements were performed on the device after the passivation by a (50/100 nm) SiN/SiO 2 film. The DLTS spectra from these measurements showed the existence of the same electron trap on the surface of the device

  9. Stability of Retained Austenite in High-Al, Low-Si TRIP-Assisted Steels Processed via Continuous Galvanizing Heat Treatments

    Science.gov (United States)

    McDermid, J. R.; Zurob, H. S.; Bian, Y.

    2011-12-01

    Two galvanizable high-Al, low-Si transformation-induced plasticity (TRIP)-assisted steels were subjected to isothermal bainitic transformation (IBT) temperatures compatible with the continuous galvanizing (CGL) process and the kinetics of the retained austenite (RA) to martensite transformation during room temperature deformation studied as a function of heat treatment parameters. It was determined that there was a direct relationship between the rate of strain-induced transformation and optimal mechanical properties, with more gradual transformation rates being favored. The RA to martensite transformation kinetics were successfully modeled using two methodologies: (1) the strain-based model of Olsen and Cohen and (2) a simple relationship with the normalized flow stress, ( {{{σ_{{flow}} - σ_{YS} }/{σ_{YS }}}} ) . For the strain-based model, it was determined that the model parameters were a strong function of strain and alloy thermal processing history and a weak function of alloy chemistry. It was verified that the strain-based model in the present work agrees well with those derived by previous workers using TRIP-assisted steels of similar composition. It was further determined that the RA to martensite transformation kinetics for all alloys and heat treatments could be described using a simple model vs the normalized flow stress, indicating that the RA to martensite transformation is stress-induced rather than strain-induced for temperatures above the Ms^{σ }.

  10. High-Cycle Fatigue Resistance of Si-Mo Ductile Cast Iron as Affected by Temperature and Strain Rate

    Science.gov (United States)

    Matteis, Paolo; Scavino, Giorgio; Castello, Alessandro; Firrao, Donato

    2015-09-01

    Silicon-molybdenum ductile cast irons are used to fabricate exhaust manifolds of internal combustion engines of large series cars, where the maximum pointwise temperature at full engine load may be higher than 973 K (700 °C). In this application, high-temperature oxidation and thermo-mechanical fatigue (the latter being caused by the engine start and stop and by the variation of its power output) have been the subject of several studies and are well known, whereas little attention has been devoted to the high-cycle fatigue, arising from the engine vibration. Therefore, the mechanical behavior of Si-Mo cast iron is studied here by means of stress-life fatigue tests up to 10 million cycles, at temperatures gradually increasing up to 973 K (700 °C). The mechanical characterization is completed by tensile and compressive tests and ensuing fractographic examinations; the mechanical test results are correlated with the cast iron microstructure and heat treatment.

  11. High-temperature protective coatings for C/SiC composites

    Directory of Open Access Journals (Sweden)

    Xiang Yang

    2014-12-01

    Full Text Available Carbon fiber-reinforced silicon carbide (C/SiC composites were well-established light weight materials combining high specific strength and damage tolerance. For high-temperature applications, protective coatings had to provide oxidation and corrosion resistance. The literature data introduced various technologies and materials, which were suitable for the application of coatings. Coating procedures and conditions, materials design limitations related to the reactivity of the components of C/SiC composites, new approaches and coating systems to the selection of protective coatings materials were examined. The focus of future work was on optimization by further multilayer coating systems and the anti-oxidation ability of C/SiC composites at temperatures up to 2073 K or higher in water vapor.

  12. High resolution x-ray scattering studies of strain in epitaxial thin films of yttrium silicide grown on silicon (111)

    International Nuclear Information System (INIS)

    Marthinez-Miranda, L.J.; Santiago-Aviles, J.J.; Siegal, M.P.; Graham, W.R.; Heiney, P.A.

    1990-01-01

    The authors have used high resolution grazing incidence x-ray scattering (GIXS) to study the in- plane and out-of-plane structure of epitaxial YSi 2-x films grown on Si(111), with thicknesses ranging from 85 Angstrom to 510 Angstrom. Their results indicate that the films are strained, and that film strain increases as a function of thickness, with lattice parameters varying from a = 3.846 Angstrom/c = 4.142 Angstrom for the 85 Angstrom film to a = 3.877 Angstrom/c = 4.121 Angstrom for the 510 Angstrom film. The authors correlate these results with an increase in pinhole areal coverage as a function of thickness. In addition, the authors' measurements show no evidence for the existence of ordered silicon vacancies in the films

  13. High Strain Rate Characterisation of Composite Materials

    DEFF Research Database (Denmark)

    Eriksen, Rasmus Normann Wilken

    -reinforced polymers, were considered, and it was first shown that the loading history controls equilibrium process. Then the High-speed servo-hydraulic test machine was analysed in terms its ability to create a state of constant strain rate in the specimen. The invertible inertial forces in the load train prevented...... from designing and constructing a high-speed servo-hydraulic test machine and by performing a comprehensive test series. The difficulties encountered in the test work could be addressed with the developed analysis. The conclusion was that the High-speed servo-hydraulic test machine is less suited...... for testing fibre-reinforced polymers due to their elastic behaviour and low strain to failure. This is problematic as the High-speed servo-hydraulic test machine closes the gap between quasi-static tests rates and lower strain rates, which are achievable with the Split Hopkinson Pressure Bar. The Split...

  14. New High-Performance SiC Fiber Developed for Ceramic Composites

    Science.gov (United States)

    DiCarlo, James A.; Yun, Hee Mann

    2002-01-01

    Sylramic-iBN fiber is a new type of small-diameter (10-mm) SiC fiber that was developed at the NASA Glenn Research Center and was recently given an R&D 100 Award for 2001. It is produced by subjecting commercially available Sylramic (Dow Corning, Midland, MI) SiC fibers, fabrics, or preforms to a specially designed high-temperature treatment in a controlled nitrogen environment for a specific time. It can be used in a variety of applications, but it currently has the greatest advantage as a reinforcement for SiC/SiC ceramic composites that are targeted for long-term structural applications at temperatures higher than the capability of metallic superalloys. The commercial Sylramic SiC fiber, which is the precursor for the Sylramic-iBN fiber, is produced by Dow Corning, Midland, Michigan. It is derived from polymers at low temperatures and then pyrolyzed and sintered at high temperatures using boron-containing sintering aids (ref. 1). The sintering process results in very strong fibers (>3 GPa) that are dense, oxygen-free, and nearly stoichiometric. They also display an optimum grain size that is beneficial for high tensile strength, good creep resistance, and good thermal conductivity (ref. 2). The NASA-developed treatment allows the excess boron in the bulk to diffuse to the fiber surface where it reacts with nitrogen to form an in situ boron nitride (BN) coating on the fiber surface (thus the product name of Sylramic-iBN fiber). The removal of boron from the fiber bulk allows the retention of high tensile strength while significantly improving creep resistance and electrical conductivity, and probably thermal conductivity since the grains are slightly larger and the grain boundaries cleaner (ref. 2). Also, as shown in the graph, these improvements allow the fiber to display the best rupture strength at high temperatures in air for any available SiC fiber. In addition, for CMC applications under oxidizing conditions, the formation of an in situ BN surface layer

  15. A novel program to design siRNAs simultaneously effective to highly variable virus genomes.

    Science.gov (United States)

    Lee, Hui Sun; Ahn, Jeonghyun; Jun, Eun Jung; Yang, Sanghwa; Joo, Chul Hyun; Kim, Yoo Kyum; Lee, Heuiran

    2009-07-10

    A major concern of antiviral therapy using small interfering RNAs (siRNAs) targeting RNA viral genome is high sequence diversity and mutation rate due to genetic instability. To overcome this problem, it is indispensable to design siRNAs targeting highly conserved regions. We thus designed CAPSID (Convenient Application Program for siRNA Design), a novel bioinformatics program to identify siRNAs targeting highly conserved regions within RNA viral genomes. From a set of input RNAs of diverse sequences, CAPSID rapidly searches conserved patterns and suggests highly potent siRNA candidates in a hierarchical manner. To validate the usefulness of this novel program, we investigated the antiviral potency of universal siRNA for various Human enterovirus B (HEB) serotypes. Assessment of antiviral efficacy using Hela cells, clearly demonstrates that HEB-specific siRNAs exhibit protective effects against all HEBs examined. These findings strongly indicate that CAPSID can be applied to select universal antiviral siRNAs against highly divergent viral genomes.

  16. Dynamic Recrystallization Behavior and Critical Conditions of SiCp/A1-Cu Composite

    Directory of Open Access Journals (Sweden)

    HAO Shiming

    2017-08-01

    Full Text Available Using the Gleeble-1500D simulator, the high temperature plastic deformation behavior of 40%SiCP/Al-Cu composite were investigated at 350-500℃ with the strain rate of 0.01-10 s-1. The stress-strain curves were obtained during the tests. The critical conditions of dynamic recrystallization for onset of DRX during deformation of 40%SiCP/Al-Cu composite was obtained by computation of the strain hardening rate (θ from initial stress-strain data and introduction of the inflection point criterion of ln θ-ε curves and the minimum value criterion of (-∂(ln θ/∂ε-ε curves. The results indicate that the softening mechanism of the dynamic recrystallization is a feature of high-temperature flow stress strain curves of the composites, and the peak stress increases with the decrease of deformation temperature or the increase of strain rate. The inflection point in the ln θ-ε curve appears, and the minimum value of the (-∂(ln θ/∂ε-ε curve is presented when the critical state is attained for this composite. The critical strain decreases with the decrease of strain rate and the increase of deformation temperature. There is linear relationship between critical strain and peak strain, i.e. εc=0.528εp. The predicting model of critical strain is described by the function of εc=4.58×10-3Z0.09. Electron microscopic analysis show that the dynamic recrystallization occurs when the strain is 0.06 (T=400℃, ε=10 s-1, and the dynamic recrystallization grains fully grow up when the strain is 0.2.

  17. Internal strain evolution during heating of Ti-6Al-4V/SCS-6 composite

    International Nuclear Information System (INIS)

    Choo, H.; Rangaswamy, P.; Bourke, M.A.M.

    1999-01-01

    The characteristics of the residual stresses and their effects on the properties in continuous SiC fiber reinforced Ti-6Al-4V matrix composites (TMCs) have been extensively studied. However, to date, few experimental studies (e.g. Ti-14Al-21Nb/SCS-6) have characterized the thermal residual strain in TMCs at elevated temperatures. Therefore, the authors investigated the evolution of the thermal residual strain during heating of Ti-6Al-4V/35vol% SiC composite. In this study the authors used in situ high temperature neutron diffraction to measure strains: (1) in the matrix (α and β phases) and in the fiber, (2) for several lattice reflections in each phase and (3) from both axial and the transverse directions. One distinguishing feature is the wide temperature range (from room temperature up to 1,170K) over which the study was performed. Although the proposed application temperature is typically less than 800K, TMCs are subject to higher temperatures during fabrication and may experience high temperature excursions while in service. Therefore, the authors extended the study to the high temperature regime where the matrix starts to undergo a phase transformation between αminus and βminusTi. Measurements from this regime (800approximately1,170K) provide insights on; (1) the inelastic relaxation of the residual strains through matrix yielding and creep, (2) the effect of the phase transformation on the residual strains and (3) the effect of the presence of SiC on the matrix phase evolution

  18. Resonant tunneling with high peak to valley current ratio in SiO2/nc-Si/SiO2 multi-layers at room temperature

    International Nuclear Information System (INIS)

    Chen, D. Y.; Sun, Y.; He, Y. J.; Xu, L.; Xu, J.

    2014-01-01

    We have investigated carrier transport in SiO 2 /nc-Si/SiO 2 multi-layers by room temperature current-voltage measurements. Resonant tunneling signatures accompanied by current peaks are observed. Carrier transport in the multi-layers were analyzed by plots of ln(I/V 2 ) as a function of 1/V and ln(I) as a function of V 1/2 . Results suggest that besides films quality, nc-Si and barrier sub-layer thicknesses are important parameters that restrict carrier transport. When thicknesses are both small, direct tunneling dominates carrier transport, resonant tunneling occurs only at certain voltages and multi-resonant tunneling related current peaks can be observed but with peak to valley current ratio (PVCR) values smaller than 1.5. When barrier thickness is increased, trap-related and even high field related tunneling is excited, causing that multi-current peaks cannot be observed clearly, only one current peak with higher PVCR value of 7.7 can be observed. While if the thickness of nc-Si is large enough, quantum confinement is not so strong, a broad current peak with PVCR value as high as 60 can be measured, which may be due to small energy difference between the splitting energy levels in the quantum dots of nc-Si. Size distribution in a wide range may cause un-controllability of the peak voltages

  19. A study on microstructure and strain-hardening rate of friction stir welded Al-Mg-Si alloys using a weak beam technique

    International Nuclear Information System (INIS)

    Sukedai, E; Yokoyama, T

    2012-01-01

    Mechanical properties of a friction stir welded Al-Mg-Si (6061-T6Al) alloy are evaluated by a tensile test. It is found that the strain-hardening rate is higher than that of a base material. In order to investigate the origin, TEM observations have been performed about 4 kinds of materials; base- and friction stir welded-materials, and both materials deformed to 5 % strain by tension. There are not so large differences about dislocation density, size and density of precipitates and crystal defects between the base material and the friction stir welded-materials, but a significant decrease of grain-size in the friction stir welded-materials is recognized. These results suggest a dynamic recovery occurs during FSW process, and it is speculated that the recovery leads to the differences of yield stress and strain-hardening rate between both materials.

  20. High-resolution x-ray diffraction studies of self-organized SiGe(C) islands

    International Nuclear Information System (INIS)

    Stangl, S.

    2000-06-01

    The scope of this thesis is the investigation of semiconductor heterostructures with various x-ray scattering techniques. The work focuses on self-organized Si-based nanostructures. Their small size and the difference in band gap with respect to the surrounding matrix lead to quantum confinement, with increased density of states and carrier localization as most important consequences. These make the use of such nanostructures in novel electrical and optical devices promising. A big challenge in the fabrication of nanostructures lies in the required high areal density at extremely low defect densities. Self-organized growth is in this aspect superior to, e.g. the post-growth lithographic patterning of planar heterostructures. There are, however, other difficulties: the dependence of the internal structure and the size and size homogeneity of self-organized nanostructures on various growth parameters has not yet been fully understood, leaving the fabrication of structures with predictable properties difficult. The investigation of self-organized nanostructures presented in this thesis intends to contribute to the understanding of the growth processes. In particular, the correlation properties of SiGe quantum dots in multilayers, and the determination of the strain and composition distribution within free-standing SiGe dots are major topics of this work. Another main part of the presented thesis is the conception and setup of a new x-ray diffractometer, expanding the possibilities of structural characterization at the 'Institut fuer Halbleiterphysik'. A detailed description of this instrument shall serve as an operating manual and quick reference. (author)

  1. High-throughput screening of effective siRNAs using luciferase-linked chimeric mRNA.

    Directory of Open Access Journals (Sweden)

    Shen Pang

    Full Text Available The use of siRNAs to knock down gene expression can potentially be an approach to treat various diseases. To avoid siRNA toxicity the less transcriptionally active H1 pol III promoter, rather than the U6 promoter, was proposed for siRNA expression. To identify highly efficacious siRNA sequences, extensive screening is required, since current computer programs may not render ideal results. Here, we used CCR5 gene silencing as a model to investigate a rapid and efficient screening approach. We constructed a chimeric luciferase-CCR5 gene for high-throughput screening of siRNA libraries. After screening approximately 900 shRNA clones, 12 siRNA sequences were identified. Sequence analysis demonstrated that most (11 of the 12 sequences of these siRNAs did not match those identified by available siRNA prediction algorithms. Significant inhibition of CCR5 in a T-lymphocyte cell line and primary T cells by these identified siRNAs was confirmed using the siRNA lentiviral vectors to infect these cells. The inhibition of CCR5 expression significantly protected cells from R5 HIV-1JRCSF infection. These results indicated that the high-throughput screening method allows efficient identification of siRNA sequences to inhibit the target genes at low levels of expression.

  2. Research Update: Strain and composition effects on ferromagnetism of Mn0.05Ge0.95 quantum dots

    Directory of Open Access Journals (Sweden)

    Liming Wang

    2016-04-01

    Full Text Available Mn0.05Ge0.95 quantum dots (QDs samples were grown by molecular beam epitaxy on Si substrates and 15-nm-thick fully strained Si0.8Ge0.2 virtual substrates, respectively. The QDs samples grown on the Si0.8Ge0.2 virtual substrates show a significant ferromagnetism with a Curie temperature of 227 K, while the QDs samples grown on the Si substrates are non-ferromagnetic. Microstructures of the QDs samples were characterized by high resolution transmission electron microscopy and synchrotron radiation X-ray diffraction. Interdependence between microstructure and ferromagnetism of Mn-doped Ge QDs was investigated. For the QDs sample grown on the strained Si0.8Ge0.2 virtual substrate, although the ferromagnetic phase Mn5Ge3 clusters were found to be formed in small dome-shaped dots, the significant ferromagnetism observed in that sample is attributed to ferromagnetic phase Mn-doped large dome-shaped Ge QDs, rather than to the ferromagnetic phase Mn5Ge3 clusters. The fully strained Si0.8Ge0.2 virtual substrates would result in a residual strain into the QDs and an increase in Ge composition in the QDs. Both consequences favor the formations of ferromagnetic phase Mn-doped Ge QDs from points of view of quantum confinement effect as well as Mn doping at substitutional sites.

  3. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiNx/SiNy multilayers

    International Nuclear Information System (INIS)

    Jiang, Xiaofan; Ma, Zhongyuan; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan

    2014-01-01

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN x /SiN y multilayers with high on/off ratio of 10 9 . High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  4. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiNx/SiNy multilayers

    Science.gov (United States)

    Jiang, Xiaofan; Ma, Zhongyuan; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan

    2014-09-01

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiNx/SiNy multilayers with high on/off ratio of 109. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  5. 3D printed high performance strain sensors for high temperature applications

    Science.gov (United States)

    Rahman, Md Taibur; Moser, Russell; Zbib, Hussein M.; Ramana, C. V.; Panat, Rahul

    2018-01-01

    Realization of high temperature physical measurement sensors, which are needed in many of the current and emerging technologies, is challenging due to the degradation of their electrical stability by drift currents, material oxidation, thermal strain, and creep. In this paper, for the first time, we demonstrate that 3D printed sensors show a metamaterial-like behavior, resulting in superior performance such as high sensitivity, low thermal strain, and enhanced thermal stability. The sensors were fabricated using silver (Ag) nanoparticles (NPs), using an advanced Aerosol Jet based additive printing method followed by thermal sintering. The sensors were tested under cyclic strain up to a temperature of 500 °C and showed a gauge factor of 3.15 ± 0.086, which is about 57% higher than that of those available commercially. The sensor thermal strain was also an order of magnitude lower than that of commercial gages for operation up to a temperature of 500 °C. An analytical model was developed to account for the enhanced performance of such printed sensors based on enhanced lateral contraction of the NP films due to the porosity, a behavior akin to cellular metamaterials. The results demonstrate the potential of 3D printing technology as a pathway to realize highly stable and high-performance sensors for high temperature applications.

  6. Investigation of mechanical properties and operative deformation mechanism in nano-crystalline Ni–Co/SiC electrodeposits

    International Nuclear Information System (INIS)

    Lari Baghal, S.M.; Amadeh, A.; Heydarzadeh Sohi, M.

    2012-01-01

    Highlights: ► The tensile properties of Ni–Co and Ni–Co/SiC deposits were investigated. ► The SiC particles enhanced tensile strength and ductility of nano-structured composites. ► The deformation mechanism at low and high strain rates were studied. - Abstract: Ni–Co/SiC nano-composites were prepared via electrodeposition from a modified Watts bath containing SiC particles with average particle size of 50 nm, SDS as surfactant and saccharin as grain refiner in appropriate amounts. The effect of nano-particle incorporation on microstructure, mechanical properties and deformation mechanism of electrodeposits were investigated. The mechanical properties of electrodeposits were investigated by Vickers microhardness and tensile tests. The results indicated that incorporation of SiC particles into a 15 nm Ni–Co matrix had no considerable effect on its microhardness and yield strength, that is, dispersion hardening did not operate in this range of grain size. However it was observed that co-deposition of uniform distributed SiC particles can significantly improve the ultimate tensile strength and elongation to failure of the deposits. Calculation of apparent activation volume from tensile test results at different strain rates proved that incorporation of SiC nano-particles are responsible for stress-assisted activation of GB atoms mechanism that can significantly increase the plasticity. Nano-crystalline Ni–Co matrix showed a mixed mod behavior of ductile and brittle fracture whereas incorporation of SiC particles and increasing the strain rate promoted ductile fracture mode.

  7. Reliability improvement of a-Si:H thin film transistors on plastic substrate with saturation in deep state after multiple bending cycles

    International Nuclear Information System (INIS)

    Lee, M.H.; Chen, P.-G.; Hsu, C.-C.

    2013-01-01

    For flexible electronic applications, the disordered bonds of a-Si:H may generate a redistribution of trapped states with mechanical strain. During mechanical strain, the deep states are redistributed in a Gaussian distribution and are dissimilar to ordinary acceptor-like deep states, which manifest with exponential distributions. The redistributed deep states may saturate with multiple mechanical bending cycles, and it would improve the reliability with drain current stress of a-Si:H TFTs (thin film transistors) on flexible substrates. We conclude that it is possible to produce low-cost and highly uniform active-matrix organic light emitting diodes systems for use in flexible display applications using a-Si:H TFTs array backplanes. - Highlights: • The stress stability of a-Si:H TFTs (thin-film transistors) was improved after bending cycles. • The saturated deep states after bending were confirmed. • The simulation and extracted gap state density of a-Si:H TFT under strain was calculated

  8. High strain rate behaviour of polypropylene microfoams

    Science.gov (United States)

    Gómez-del Río, T.; Garrido, M. A.; Rodríguez, J.; Arencón, D.; Martínez, A. B.

    2012-08-01

    Microcellular materials such as polypropylene foams are often used in protective applications and passive safety for packaging (electronic components, aeronautical structures, food, etc.) or personal safety (helmets, knee-pads, etc.). In such applications the foams which are used are often designed to absorb the maximum energy and are generally subjected to severe loadings involving high strain rates. The manufacture process to obtain polymeric microcellular foams is based on the polymer saturation with a supercritical gas, at high temperature and pressure. This method presents several advantages over the conventional injection moulding techniques which make it industrially feasible. However, the effect of processing conditions such as blowing agent, concentration and microfoaming time and/or temperature on the microstructure of the resulting microcellular polymer (density, cell size and geometry) is not yet set up. The compressive mechanical behaviour of several microcellular polypropylene foams has been investigated over a wide range of strain rates (0.001 to 3000 s-1) in order to show the effects of the processing parameters and strain rate on the mechanical properties. High strain rate tests were performed using a Split Hopkinson Pressure Bar apparatus (SHPB). Polypropylene and polyethylene-ethylene block copolymer foams of various densities were considered.

  9. Development of high temperature strain gage, (5)

    International Nuclear Information System (INIS)

    Yuuki, Hiroshi; Kobayashi, Yukio; Kanai, Kenji; Yamaura, Yoshio

    1976-01-01

    Development and improvement of resistance wire type strain gages usable for experimental measurement of thermal strains generated at high temperature in various structures and equipments that consist of a Fast Breeder Reactor have been carried out, and various characteristics of the strain gages have been investigated. Based on the results obtained up to now, development and research of this time mainly aim to improve strain and fatigue characteristics. As the results, characteristics of strain gages with sensing elements of nichrome V are improved, specifically mechanical hysteresis is decreased, strain limit is increased, etc. Also, improvement is recognized in thermal output, and it becomes clear that dummy gages work effectively. However, a filling method of MgO and an inserting method of active-dummy elements are selected as primary objects to improve strain characteristics, and many hours are taken for these objects, so confirmations of characteristics of platinum-tungsten strain gages, strain sensing elements of which are troublesome to produce, have not been completely done, though the performance of the gages has been improved in several points. As to nichrome V strain gages, there is a fair prospect of obtaining ones, specifications of which are quite close to the goal, though problems in manufacturing technics remain for future. As to platinum-tungsten strain gages, it is expected that similar strain gages to nichrome V are obtainable by improvement in manufacturing of sensing elements. (auth.)

  10. Development of high performance readout ASICs for silicon photomultipliers (SiPMs)

    International Nuclear Information System (INIS)

    Shen, Wei

    2012-01-01

    Silicon Photomultipliers (SiPMs) are novel kind of solid state photon detectors with extremely high photon detection resolution. They are composed of hundreds or thousands of avalanche photon diode pixels connected in parallel. These avalanche photon diodes are operated in Geiger Mode. SiPMs have the same magnitude of multiplication gain compared to the conventional photomultipliers (PMTs). Moreover, they have a lot of advantages such as compactness, relatively low bias voltage and magnetic field immunity etc. Special readout electronics are required to preserve the high performance of the detector. KLauS and STiC are two CMOS ASIC chips designed in particular for SiPMs. KLauS is used for SiPM charge readout applications. Since SiPMs have a much larger detector capacitance compared to other solid state photon detectors such as PIN diodes and APDs, a few special techniques are used inside the chip to make sure a descent signal to noise ratio for pixel charge signal can be obtained. STiC is a chip dedicated to SiPM time-of-flight applications. High bandwidth and low jitter design schemes are mandatory for such applications where time jitter less than tens of picoseconds is required. Design schemes and error analysis as well as measurement results are presented in the thesis.

  11. Reliability, Construct Validity and Interpretability of the Brazilian version of the Rapid Upper Limb Assessment (RULA) and Strain Index (SI).

    Science.gov (United States)

    Valentim, Daniela Pereira; Sato, Tatiana de Oliveira; Comper, Maria Luiza Caíres; Silva, Anderson Martins da; Boas, Cristiana Villas; Padula, Rosimeire Simprini

    There are very few observational methods for analysis of biomechanical exposure available in Brazilian-Portuguese. This study aimed to cross-culturally adapt and test the measurement properties of the Rapid Upper Limb Assessment (RULA) and Strain Index (SI). The cross-cultural adaptation and measurement properties test were established according to Beaton et al. and COSMIN guidelines, respectively. Several tasks that required static posture and/or repetitive motion of upper limbs were evaluated (n>100). The intra-raters' reliability for the RULA ranged from poor to almost perfect (k: 0.00-0.93), and SI from poor to excellent (ICC 2.1 : 0.05-0.99). The inter-raters' reliability was very poor for RULA (k: -0.12 to 0.13) and ranged from very poor to moderate for SI (ICC 2.1 : 0.00-0.53). The agreement was good for RULA (75-100% intra-raters, and 42.24-100% inter-raters) and to SI (EPM: -1.03% to 1.97%; intra-raters, and -0.17% to 1.51% inter-raters). The internal consistency was appropriate for RULA (α=0.88), and low for SI (α=0.65). Moderate construct validity were observed between RULA and SI, in wrist/hand-wrist posture (rho: 0.61) and strength/intensity of exertion (rho: 0.39). The adapted versions of the RULA and SI presented semantic and cultural equivalence for the Brazilian Portuguese. The RULA and SI had reliability estimates ranged from very poor to almost perfect. The internal consistency for RULA was better than the SI. The correlation between methods was moderate only of muscle request/movement repetition. Previous training is mandatory to use of observations methods for biomechanical exposure assessment, although it does not guarantee good reproducibility of these measures. Copyright © 2017 Associação Brasileira de Pesquisa e Pós-Graduação em Fisioterapia. Publicado por Elsevier Editora Ltda. All rights reserved.

  12. Determining the fracture resistance of advanced SiC fiber reinforced SiC matrix composites

    International Nuclear Information System (INIS)

    Nozawa, T.; Katoh, Y.; Kishimoto, H.

    2007-01-01

    Full text of publication follows: One of the perceived advantages for highly-crystalline and stoichiometric silicon carbide (SiC) and SiC composites, e.g., advanced SiC fiber reinforced chemically-vapor-infiltrated (CVI) SiC matrix composites, is the retention of fast fracture properties after neutron irradiation at high-temperatures (∼1000 deg. C) to intermediate-doses (∼15 dpa). Accordingly, it has been clarified that the maximum allowable stress (or strain) limit seems unaffected in certain irradiation conditions. Meanwhile, understanding the mechanism of crack propagation from flaws, as potential weakest link to cause composite failure, is somehow lacking, despite that determining the strength criterion based on the fracture mechanics will eventually become important considering the nature of composites' fracture. This study aims to evaluate crack propagation behaviors of advanced SiC/SiC and to provide fundamentals on fracture resistance of the composites to define the strength limit for the practical component design. For those purposes, the effects of irreversible energies related to interfacial de-bonding, fiber bridging, and microcrack forming on the fracture resistance were evaluated. Two-dimensional SiC/SiC composites were fabricated by CVI or nano-infiltration and transient-eutectic-phase (NITE ) methods. Hi-Nicalon TM Type-S or Tyranno TM -SA fibers were used as reinforcements. In-plane mode-I fracture resistance was evaluated by the single edge notched bend technique. The key finding is the continuous Load increase with the crack growth for any types of advanced composites, while many studies specified the gradual load decrease for the conventional composites once the crack initiates. This high quasi-ductility appeared due primarily to high friction (>100 MPa) at the fiber/matrix interface using rough SiC fibers. The preliminary analysis based on the linear elastic fracture mechanics, which does not consider the effects of irreversible energy

  13. Experimental investigation on high temperature anisotropic compression properties of ceramic-fiber-reinforced SiO{sub 2} aerogel

    Energy Technology Data Exchange (ETDEWEB)

    Shi, Duoqi; Sun, Yantao [School of Energy and Power Engineering, Beihang University, P.O. Box 405, Beijing 100191 (China); Feng, Jian [National Key Laboratory of Science and Technology on Advanced Ceramic Fibers and Composites, College of Aerospace Science and Engineering, National University of Defense Technology, Changsha 410073 (China); Yang, Xiaoguang, E-mail: yxg@buaa.edu.cn [School of Energy and Power Engineering, Beihang University, P.O. Box 405, Beijing 100191 (China); Han, Shiwei; Mi, Chunhu [School of Energy and Power Engineering, Beihang University, P.O. Box 405, Beijing 100191 (China); Jiang, Yonggang [National Key Laboratory of Science and Technology on Advanced Ceramic Fibers and Composites, College of Aerospace Science and Engineering, National University of Defense Technology, Changsha 410073 (China); Qi, Hongyu [School of Energy and Power Engineering, Beihang University, P.O. Box 405, Beijing 100191 (China)

    2013-11-15

    Compression tests were conducted on a ceramic-fiber-reinforced SiO{sub 2} aerogel at high temperature. Anisotropic mechanical property was found. In-plane Young's modulus is more than 10 times higher than that of out-of-plane, but fracture strain is much lower by a factor of 100. Out-of-plane Young's modulus decreases with increasing temperature, but the in-plane modulus and fracture stress increase with temperature. The out-of-plane property does not change with loading rates. Viscous flow at high temperature is found to cause in-plane shrinkage, and both in-plane and out-of-plane properties change. Compression induced densification of aerogel matrix was also found by Scanning Electron Microscope analysis.

  14. High-rate synthesis of microcrystalline silicon films using high-density SiH4/H2 microwave plasma

    International Nuclear Information System (INIS)

    Jia, Haijun; Saha, Jhantu K.; Ohse, Naoyuki; Shirai, Hajime

    2007-01-01

    A high electron density (> 10 11 cm -3 ) and low electron temperature (1-2 eV) plasma is produced by using a microwave plasma source utilizing a spoke antenna, and is applied for the high-rate synthesis of high quality microcrystalline silicon (μc-Si) films. A very fast deposition rate of ∼ 65 A/s is achieved at a substrate temperature of 150 deg. C with a high Raman crystallinity and a low defect density of (1-2) x 10 16 cm -3 . Optical emission spectroscopy measurements reveal that emission intensity of SiH and intensity ratio of H α /SiH are good monitors for film deposition rate and film crystallinity, respectively. A high flux of film deposition precursor and atomic hydrogen under a moderate substrate temperature condition is effective for the fast deposition of highly crystallized μc-Si films without creating additional defects as well as for the improvement of film homogeneity

  15. Improvement of photoluminescence from Ge layer with patterned Si{sub 3}N{sub 4} stressors

    Energy Technology Data Exchange (ETDEWEB)

    Oda, Katsuya, E-mail: Katsuya.Oda.cb@hitachi.com; Okumura, Tadashi; Tani, Kazuki; Saito, Shin-ichi; Ido, Tatemi

    2014-04-30

    Lattice strain applied by patterned Si{sub 3}N{sub 4} stressors in order to improve the optical properties of Ge layers directly grown on a Si substrate was investigated. Patterned Si{sub 3}N{sub 4} stressors were fabricated by various methods and their effects on the strain and photoluminescence were studied. Although we found that when the stressor was fabricated by thermal chemical vapor deposition (CVD), the Ge waveguide was tensilely and compressively strained in the edge and center positions, respectively, and photoluminescence (PL) could be improved by decreasing the width of the waveguide, the crystallinity of the Ge waveguide was degraded by the thermal impact of the deposition process. Low-temperature methods were therefore used to make the patterned stressors. The tensile strain of the Ge layer increased from 0.14% to 0.2% when the stressor was grown by plasma enhanced CVD at 350 °C, but the effects of the increased tensile strain could not be confirmed because the Si{sub 3}N{sub 4} layer was unstable when irradiated with the excitation light used in photoluminescence measurements. Si{sub 3}N{sub 4} stressors grown by inductively coupled plasma CVD at room temperature increased the tensile strain of the Ge layer up to 0.4%, thus red-shifting the PL peak and obviously increasing the PL intensity. These results indicate that the Si{sub 3}N{sub 4} stressors fabricated by the room-temperature process efficiently improve the performance of Ge light-emitting devices. - Highlights: • Ge layers were directly grown on a Si substrate by low-temperature epitaxial growth. • Si{sub 3}N{sub 4} stressors were fabricated on the Ge layers by various methods. • Tensile strain of the Ge layers was improved by the Si{sub 3}N{sub 4} stressors. • Photoluminescence (PL) intensity was increased with the Si{sub 3}N{sub 4} stressors. • Red-shift of the PL spectra was observed from the tensile strained Ge layers.

  16. Construction of acetoin high-producing Bacillus subtilis strain

    Directory of Open Access Journals (Sweden)

    Yanjun Tian

    2016-07-01

    Full Text Available This paper describes the construction and selection of a high-producing mutant, Bacillus subtilis HB-32, with enhanced acetoin yield and productivity. The mutant was obtained by the protoplast fusion of a Bacillus subtilis mutant TH-49 (Val− producing acetoin and Bacillus licheniformis AD-30 producing α-acetolactate decarboxylase, with the fusogen polyethylene glycol and after the regeneration and selection, etc. of the fusant. The acetoin production reached 49.64 g/L, which is an increase of 61.8% compared to that of B. subtilis strain TH-49. Random amplified polymorphic DNA analysis was performed to determine the mutagenic and protoplast fusion effects and the genomic changes in the acetoin high-producing strain compared to the parent strains at the molecular level. The constructed strain was shown to be promising for large-scale acetoin production. Future studies should focus on the application of the mutant strain in practice.

  17. Electro optical system to measure strains at high temperature

    Science.gov (United States)

    Sciammarella, Cesar A.

    1991-12-01

    The measurement of strains at temperatures of the order of 1000 C has become a very important field of research. Technological advances in areas such as the analysis of high speed aircraft structures and high efficiency thermal engines require operational temperatures of this order of magnitude. Current techniques for the measurement of strains, such as electrical strain gages, are at the limit of their useful range and new methods need to be developed. Optical techniques are very attractive in this type of application because of their noncontacting nature. Holography is of particular interest because a minimal preparation of the surfaces is required. Optoelectronics holography is specially suited for this type of application, from the point of view of industrial use. There are a number of technical problems that need to be overcome to measure strains using holographic interferometry at high temperatures. Some of these problems are discussed, and solutions are given. A specimen instrumented with high temperature strains gages is used to compare the results of both technologies.

  18. High strain rate behaviour of polypropylene microfoams

    Directory of Open Access Journals (Sweden)

    Martínez A.B.

    2012-08-01

    Full Text Available Microcellular materials such as polypropylene foams are often used in protective applications and passive safety for packaging (electronic components, aeronautical structures, food, etc. or personal safety (helmets, knee-pads, etc.. In such applications the foams which are used are often designed to absorb the maximum energy and are generally subjected to severe loadings involving high strain rates. The manufacture process to obtain polymeric microcellular foams is based on the polymer saturation with a supercritical gas, at high temperature and pressure. This method presents several advantages over the conventional injection moulding techniques which make it industrially feasible. However, the effect of processing conditions such as blowing agent, concentration and microfoaming time and/or temperature on the microstructure of the resulting microcellular polymer (density, cell size and geometry is not yet set up. The compressive mechanical behaviour of several microcellular polypropylene foams has been investigated over a wide range of strain rates (0.001 to 3000 s−1 in order to show the effects of the processing parameters and strain rate on the mechanical properties. High strain rate tests were performed using a Split Hopkinson Pressure Bar apparatus (SHPB. Polypropylene and polyethylene-ethylene block copolymer foams of various densities were considered.

  19. Mechanical and dynamical behaviors of ZrSi and ZrSi{sub 2} bulk metallic glasses: A molecular dynamics study

    Energy Technology Data Exchange (ETDEWEB)

    Ju, Shin-Pon, E-mail: jushin-pon@mail.nsysu.edu.tw [Department of Mechanical and Electro-Mechanical Engineering, National Sun Yat-sen University, Kaohsiung 804, Taiwan (China); Department of Medicinal and Applied Chemistry, Kaohsiung Medical University, Kaohsiung 807, Taiwan (China); Wu, Tsang-Yu; Liu, Shih-Hao [Department of Mechanical and Electro-Mechanical Engineering, National Sun Yat-sen University, Kaohsiung 804, Taiwan (China)

    2015-03-14

    The mechanical and dynamical properties of ZrSi and ZrSi{sub 2} bulk metallic glasses (BMGs) have been investigated by molecular dynamics simulation. The Honeycutt-Anderson (HA) index analysis indicates that the major indexes in ZrSi and ZrSi{sub 2} bulk metallic glasses are 1551, 1541, and 1431, which refers to the liquid structure. For uniaxial tension, the results show that the ZrSi and ZrSi{sub 2} BMGs are more ductile than their crystal counterparts. The evolution of the distribution of atomic local shear strain clearly shows the initialization of shear transformation zones (STZs), the extension of STZs, and the formation of shear bands along a direction 45° from the tensile direction when the tensile strain gradually increases. The self-diffusion coefficients of ZrSi and ZrSi{sub 2} BMGs at temperatures near their melting points were calculated by the Einstein equation according to the slopes of the MSD profiles at the long-time limit. Because the HA fraction summation of icosahedral-like structures of ZrSi BMG is higher than that of ZrSi{sub 2} BMG, and these local structures are more dense, the self-diffusion coefficients of the total, Zr, and Si atoms of ZrSi{sub 2} BMG are larger than those of ZrSi BMG. This can be attributed to the cage effect, where a denser local structure has a higher possibility of atoms jumping back to form a backflow and then suppress atomic diffusivity. For ZrSi{sub 2} BMG, the self-diffusion coefficient of Si increases with temperature more significantly than does that of Zr, because more open packing rhombohedra structures are formed by the Si-Si pair.

  20. Mobility and Device Applications of Heavily Doped Silicon and Strained SILICON(1-X) Germanium(x) Layers

    Science.gov (United States)

    Carns, Timothy Keith

    With the advent of Si molecular beam epitaxy (Si -MBE), a significant amount of research has occurred to seek alternative high conductivity Si-based materials such as rm Si_{1-x}Ge_ {x} and delta-doped Si. These materials have brought improvements in device speeds and current drives with the added advantage of monolithic integration into Si VLSI circuits. The bulk of research in Si-based materials has been devoted to the implementation of strained rm Si_{1-x}Ge_{x} as the base layer of a rm Si_ {1-x}Ge_{x}/Si heterojunction bipolar transistor (HBT). Because of the valence band offset, the rm Si_{1-x}Ge _{x} layer can be heavily doped, leading to lower base sheet resistances and hence, improved speed performances. The Ge content in the base can also be graded to increase the drift field in the base. However, very few hole mobility measurements have been done in these strained layers, leading to limitations in device modeling and in understanding the transport behavior in this important material. In addition to rm Si_{1 -x}Ge_{x}, much potential also exists in using delta-doping in Si for improved conductivities over those of bulk Si. However, as of yet, delta-doped Si has received little attention. Therefore, this dissertation is dedicated to the investigation of both of these Si-based materials (strained rm Si_{1-x}Ge_{x } and delta-doped Si and rm Si_{1-x}Ge_ {x}) for the purpose of obtaining higher conductivities than comparably doped bulk Si. This work is divided into three parts to accomplish this objective. The first part is contained in Chapter 3 and is comprised of a comprehensive characterization of the hole mobility in compressively strained rm Si_{1 -x}Ge_{x}. Few results have been obtained prior to this research which has led to many inaccuracies in device modeling. The second part of this dissertation in Chapters 4 and 5 is devoted to the study of the mobility behavior in both boron and antimony delta-doped Si and rm Si_ {1-x}Ge_{x}. The important

  1. Strain and texture evolution of ED-rotated cubes during quasi-static and dynamic tensile testing of Al-Mg-Si-profiles in the as-extruded T1-temper condition

    International Nuclear Information System (INIS)

    Mathiesen, R.H.; Forbord, B.; Mardalen, J.; Furu, T.; Lange, H.I.

    2007-01-01

    High-energy synchrotron X-ray diffraction has been used to study through-thickness deformation response in extruded Al-Mg-Si-profiles during tensile testing, in terms of micro- and mesoscopic distributions and dynamical evolution of elastic strains and grain rotations. Local averaging with analysis at intermediate length scales reveals strongly inhomogeneous through-profile elastic strains, caused by the presence of three distinct microstructure regions and the compatibility relations that apply at their interfaces. Variations in elastic strains at characteristic microstructure lengths are found to be large; typically 1σ Gaussian spreads for the different ε ij -components of the elastic strain tensor are minimal and of the order 1.0 x 10 -3 in the central profile region at low stresses. The spread increases with the tensile loads, but even more dramatically with decreasing distance to the surfaces where maximum 1σ spreads up to 6-7 x 10 -3 are encountered. The evolution and distribution of certain texture components have been analysed, showing grain rotations to be a non-negligible part of the deformation response that activates at quite modest plastic deformations. Inhomogeneous strain response at local and intermediate length scales together with the strain and texture component relations that apply across the microstructure region boundaries are found to be decisive to surface roughening. All together, the results point in the direction that strain and texture evolution should be considered together in order to provide a more complete description of microstructure mechanics in metals

  2. Methodology to measure strains at high temperatures using electrical strain gages with free filaments

    International Nuclear Information System (INIS)

    Atanazio Filho, Nelson N.; Gomes, Paulo T. Vida; Scaldaferri, Denis H.B.; Silva, Luiz L. da; Rabello, Emerson G.; Mansur, Tanius R.

    2013-01-01

    An experimental methodology used for strains measuring at high temperatures is show in this work. In order to do the measurements, it was used electric strain gages with loose filaments attached to a stainless steel 304 beam with specific cements. The beam has triangular shape and a constant thickness, so the strain is the same along its length. Unless the beam surface be carefully prepared, the strain gage attachment is not efficient. The showed results are for temperatures ranging from 20 deg C to 300 deg C, but the experimental methodology could be used to measure strains at a temperature up to 900 deg C. Analytical calculations based on solid mechanics were used to verify the strain gage electrical installation and the measured strains. At a first moment, beam deformations as a temperature function were plotted. After that, beam deformations with different weighs were plotted as a temperature function. The results shown allowed concluding that the experimental methodology is trustable to measure strains at temperatures up to 300 deg C. (author)

  3. A remorin gene SiREM6, the target gene of SiARDP, from foxtail millet (Setaria italica) promotes high salt tolerance in transgenic Arabidopsis.

    Science.gov (United States)

    Yue, Jing; Li, Cong; Liu, Yuwei; Yu, Jingjuan

    2014-01-01

    Remorin proteins (REMs) form a plant-specific protein family, with some REMs being responsive to abiotic stress. However, the precise functions of REMs in abiotic stress tolerance are not clear. In this study, we identified 11 remorin genes from foxtail millet (Setaria italica) and cloned a remorin gene, SiREM6, for further investigation. The transcript level of SiREM6 was increased by high salt stress, low temperature stress and abscisic acid (ABA) treatment, but not by drought stress. The potential oligomerization of SiREM6 was examined by negative staining electron microscopy. The overexpression of SiREM6 improved high salt stress tolerance in transgenic Arabidopsis at the germination and seedling stages as revealed by germination rate, survival rate, relative electrolyte leakage and proline content. The SiREM6 promoter contains two dehydration responsive elements (DRE) and one ABA responsive element (ABRE). An ABA responsive DRE-binding transcription factor, SiARDP, and an ABRE-binding transcription factor, SiAREB1, were cloned from foxtail millet. SiARDP could physically bind to the DREs, but SiAREB1 could not. These results revealed that SiREM6 is a target gene of SiARDP and plays a critical role in high salt stress tolerance.

  4. A remorin gene SiREM6, the target gene of SiARDP, from foxtail millet (Setaria italica promotes high salt tolerance in transgenic Arabidopsis.

    Directory of Open Access Journals (Sweden)

    Jing Yue

    Full Text Available Remorin proteins (REMs form a plant-specific protein family, with some REMs being responsive to abiotic stress. However, the precise functions of REMs in abiotic stress tolerance are not clear. In this study, we identified 11 remorin genes from foxtail millet (Setaria italica and cloned a remorin gene, SiREM6, for further investigation. The transcript level of SiREM6 was increased by high salt stress, low temperature stress and abscisic acid (ABA treatment, but not by drought stress. The potential oligomerization of SiREM6 was examined by negative staining electron microscopy. The overexpression of SiREM6 improved high salt stress tolerance in transgenic Arabidopsis at the germination and seedling stages as revealed by germination rate, survival rate, relative electrolyte leakage and proline content. The SiREM6 promoter contains two dehydration responsive elements (DRE and one ABA responsive element (ABRE. An ABA responsive DRE-binding transcription factor, SiARDP, and an ABRE-binding transcription factor, SiAREB1, were cloned from foxtail millet. SiARDP could physically bind to the DREs, but SiAREB1 could not. These results revealed that SiREM6 is a target gene of SiARDP and plays a critical role in high salt stress tolerance.

  5. A comparison of EBSD based strain indicators for the study of Fe-3Si steel subjected to cyclic loading

    Energy Technology Data Exchange (ETDEWEB)

    Schayes, Claire [Université Lille 1 sciences et technologies, UMET – UMR CNRS 8207/ENSCL/Université de Lille, team Métallurgie Physique et Génie des Matériaux, Bâtiment C6, 59655 Villeneuve d' Ascq (France); Valeo Engine Electrical Systems, 2 Rue André Boulle, 94046 Créteil (France); Bouquerel, Jérémie, E-mail: jeremie.bouquerel@univ-lille1.fr [Université Lille 1 sciences et technologies, UMET – UMR CNRS 8207/ENSCL/Université de Lille, team Métallurgie Physique et Génie des Matériaux, Bâtiment C6, 59655 Villeneuve d' Ascq (France); Vogt, Jean-Bernard [Université Lille 1 sciences et technologies, UMET – UMR CNRS 8207/ENSCL/Université de Lille, team Métallurgie Physique et Génie des Matériaux, Bâtiment C6, 59655 Villeneuve d' Ascq (France); Palleschi, Frédéric [Valeo Engine Electrical Systems, 2 Rue André Boulle, 94046 Créteil (France); Zaefferer, Stefan [Max-Planck-Institut für Eisenforschung, Abteilung Mikrostrukturphysik und Umformtechnik, Max-Planck-Strasse 1, 40237 Düsseldorf (Germany)

    2016-05-15

    The current work aims at proposing an EBSD-based indicator for fatigue damage of a Fe-3Si steel. At the same time direct observation of dislocation structures is provided by electron channelling contrast imaging (ECCI). The investigation consisted in processing the EBSD data from patterns collected on specimen subjected to low cycle fatigue. It revealed two different regimes depending on the applied total strain variation which is explained by the identification of the dislocations structures and their evolution. At low strain variation, strain accommodation occurs by planar glide of dislocations uniformly distributed throughout the grains. No misorientation evolution is observed. At higher strain variation, the vein-channel structure is observed within the grain and the wall-channel structure in the vicinity of grain boundaries. The misorientation between these two dislocation structures is evaluated at about 0.7° which is detected by the EBSD analyses and explains the increase of the different misorientation based criteria. The EBSD study enables also the prediction of crack initiation mode. Finally, this study points out the limits of the EBSD technique as no misorientation evolution is detected at small strain variation. Indeed, the lattice distortion is too weak to be detected by conventional EBSD. - Highlights: • Microstructure investigation of the fatigue behaviour of an iron-silicon steel • Use of cECCI to investigate the fatigue dislocations structures • Characterisation of local plastic accommodation through EBSD misorientation criteria.

  6. High resolution investigation of the 30Si(þ, þ)30Si reaction

    NARCIS (Netherlands)

    Walinga, J.; Rinsvelt, H.A. van; Endt, P.M.

    The differential cross section for elastic scattering of protons on 30Si was measured with surface barrier counters at four angles. Thirty-six 30Si(þ, γ)31P resonances are known in the Ep=1–2MeV region. Fifteen of these were also observed in the 30Si(þ, þ)30Si reaction, with natural widths varying

  7. High-Temperature Photovoltaic Effect in La.Ca.MnO/SiO/Si Heterojunction

    Directory of Open Access Journals (Sweden)

    Hao Ni

    2012-01-01

    Full Text Available We fabricated a heterojunction of La0.4Ca0.6MnO3/SiO/n-Si and investigated its electronic transport and ultraviolet photovoltaic properties at higher temperature up to 673 K. The rectifying behaviors vanished with the energy-band structure evolvement from 300 to 673 K. Under irradiation of a 248 nm pulse laser, the peak values of open-circuit photovoltage and short-circuit photocurrent decreased drastically. This understanding of the temperature-related current-voltage behavior and ultraviolet photodetection of oxide heterostructures should open a route for devising future microelectronic devices working at high temperature. PACS: 73.40.Lq, 71.27.+ a, 73.50.Pz.

  8. High efficiency three-phase power factor correction rectifier using SiC switches

    DEFF Research Database (Denmark)

    Kouchaki, Alireza; Nymand, Morten

    2017-01-01

    This paper presents designing procedure of a high efficiency 5 kW silicon-carbide (SiC) based threephase power factor correction (PFC). SiC switches present low capacitive switching loss compared to the alternative Si switches. Therefore, the switching frequency can be increased and hence the siz...

  9. Laser-engraved carbon nanotube paper for instilling high sensitivity, high stretchability, and high linearity in strain sensors

    KAUST Repository

    Xin, Yangyang

    2017-06-29

    There is an increasing demand for strain sensors with high sensitivity and high stretchability for new applications such as robotics or wearable electronics. However, for the available technologies, the sensitivity of the sensors varies widely. These sensors are also highly nonlinear, making reliable measurement challenging. Here we introduce a new family of sensors composed of a laser-engraved carbon nanotube paper embedded in an elastomer. A roll-to-roll pressing of these sensors activates a pre-defined fragmentation process, which results in a well-controlled, fragmented microstructure. Such sensors are reproducible and durable and can attain ultrahigh sensitivity and high stretchability (with a gauge factor of over 4.2 × 10(4) at 150% strain). Moreover, they can attain high linearity from 0% to 15% and from 22% to 150% strain. They are good candidates for stretchable electronic applications that require high sensitivity and linearity at large strains.

  10. High strain fatigue behaviour of a high-temperature, low-alloyed forging steel subject to a servicelike loading history

    International Nuclear Information System (INIS)

    Kloos, K.H.; Granacher, J.; Rieth, P.

    1979-01-01

    A test plan was developed for selected cases of service-like long-time high strain load of a heated surface of massive components, which includes service-like anisothermic high strain tests with pressure-strain in the start-up phase and pull-strain in the shutdown phase, comparable isothermal tests at the highest cycle temperature, and finally tests with 'packaged' high strain and creep strain periods, which should enable long-time-tests with only short use of the large-scale high-strain-test-technique. The tests started on the melts of the high-temperature steel 28 Cr Mo NiV 4 9 have reached a longest tests time of nearly 1000 at a maximum temperature of 525 0 C. On the basis of there results, the carrying-out of 'packaged' long-time high strain tests with short creep strain periods seem to be a good way of determining the long-time high-strain behaviour of this steel under service-like strain cycles. (orig./RW) 891 RW/orig.- 892 RKD [de

  11. Microstructure and high-temperature tribological properties of Si-doped hydrogenated diamond-like carbon films

    Science.gov (United States)

    Zhang, Teng Fei; Wan, Zhi Xin; Ding, Ji Cheng; Zhang, Shihong; Wang, Qi Min; Kim, Kwang Ho

    2018-03-01

    Si-doped DLC films have attracted great attention for use in tribological applications. However, their high-temperature tribological properties remain less investigated, especially in harsh oxidative working conditions. In this study, Si-doped hydrogenated DLC films with various Si content were synthesized and the effects of the addition of Si on the microstructural, mechanical and high-temperature tribological properties of the films were investigated. The results indicate that Si doping leads to an obvious increase in the sp3/sp2 ratio of DLC films, likely due to the silicon atoms preferentially substitute the sp2-hybridized carbon atoms and augment the number of sp3 sites. With Si doping, the mechanical properties, including hardness and adhesion strength, were improved, while the residual stress of the DLC films was reduced. The addition of Si leads to higher thermal and mechanical stability of DLC films because the Si atoms inhibit the graphitization of the films at an elevated temperature. Better high-temperature tribological properties of the Si-DLC films under oxidative conditions were observed, which can be attributed to the enhanced thermal stability and formation of a Si-containing lubricant layer on the surfaces of the wear tracks. The nano-wear resistance of the DLC films was also improved by Si doping.

  12. Growth and characterization of high-purity SiC single crystals

    Science.gov (United States)

    Augustine, G.; Balakrishna, V.; Brandt, C. D.

    2000-04-01

    High-purity SiC single crystals with diameter up to 50 mm have been grown by the physical vapor transport method. Finite element analysis was used for thermal modeling of the crystal growth cavity in order to reduce stress in the grown crystal. Crystals are grown in high-purity growth ambient using purified graphite furniture and high-purity SiC sublimation sources. Undoped crystals up to 50 mm in diameter with micropipe density less than 100 cm -2 have been grown using this method. These undoped crystals exhibit resistivities in the 10 3 Ω cm range and are p-type due to the presence of residual acceptor impurities, mainly boron. Semi-insulating SiC material is obtained by doping the crystal with vanadium. Vanadium has a deep donor level located near the middle of the band gap, which compensates the residual acceptor resulting in semi-insulating behavior.

  13. Highly sensitive work function hydrogen gas sensor based on PdNPs/SiO2/Si structure at room temperature

    Directory of Open Access Journals (Sweden)

    G. Behzadi pour

    Full Text Available In this study, fabrication of highly sensitive PdNPs/SiO2/Si hydrogen gas sensor using experimental and theoretical methods has been investigated. Using chemical method the PdNPs are synthesized and characterized by X-ray diffraction (XRD. The average size of PdNPs is 11 nm. The thickness of the oxide film was 20 nm and the surface of oxide film analyzed using Atomic-force microscopy (AFM. The C-V curve for the PdNPs/SiO2/Si hydrogen gas sensor in 1% hydrogen concentration and at the room temperature has been reported. The response time and recovery time for 1% hydrogen concentration at room temperature were 1.2 s and 10 s respectively. The response (R% for PdNPs/SiO2/Si MOS capacitor hydrogen sensor was 96%. The PdNPs/SiO2/Si MOS capacitor hydrogen sensor showed very fast response and recovery times compared to SWCNTs/PdNPs, graphene/PdNPs, nanorod/PdNPs and nanowire/PdNPs hydrogen gas sensors. Keywords: Sensitive, Oxide film, Capacitive, Resistance

  14. Microstructure of buried CoSi2 layers formed by high-dose Co implantation into (100) and (111) Si substrates

    International Nuclear Information System (INIS)

    Bulle-Lieuwma, C.W.T.; Van Ommen, A.H.; Vandenhoudt, D.E.W.; Ottenheim, J.J.M.; de Jong, A.F.

    1991-01-01

    Heteroepitaxial Si/CoSi 2 /Si structures have been synthesized by implanting 170-keV Co + with doses in the range 1--3x10 17 Co + ions/cm 2 into (100) and (111) Si substrates and subsequent annealing. The microstructure of both the as-implanted and annealed structures is investigated in great detail by transmission electron microscopy, high-resolution electron microscopy, and x-ray diffraction. In the as-implanted samples, the Co is present as CoSi 2 precipitates, occurring both in aligned (A-type) and twinned (B-type) orientation. For the highest dose, a continuous layer of stoichiometric CoSi 2 is already formed during implantation. It is found that the formation of a connected layer, already during implantation, is crucial for the formation of a buried CoSi 2 layer upon subsequent annealing. Particular attention is given to the coordination of the interfacial Co atoms at the Si/CoSi 2 (111) interfaces of both types of precipitates. We find that the interfacial Co atoms at the A-type interfaces are fully sevenfold coordinated, whereas at the B-type interfaces they appear to be eightfold coordinated

  15. Potential energy landscape of an interstitial O2 molecule in a SiO2 film near the SiO2/Si(001) interface

    Science.gov (United States)

    Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao

    2008-10-01

    Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.

  16. CoSi2 growth on Si(001) by reactive deposition epitaxy: Effects of high-flux, low-energy ion irradiation

    International Nuclear Information System (INIS)

    Lim, C. W.; Greene, J. E.; Petrov, I.

    2006-01-01

    CoSi 2 layers, CoSi 2 (parallel sign)(001) Si and [100] CoSi 2 (parallel sign)[100] Si , contain fourfold symmetric (111) twinned domains oriented such that (221) CoSi 2 (parallel sign)(001) Si and CoSi 2 (parallel sign)[110] Si . We demonstrate that high-flux low-energy (E Ar + =9.6 eV) Ar + ion irradiation during deposition dramatically increases the area fraction f u of untwinned regions from 0.17 in films grown under standard magnetically balanced conditions in which the ratio J Ar + /J Co of the incident Ar + to Co fluxes is 1.4 to 0.72 with J Ar + /J Co =13.3. TEM analyses show that the early stages of RDE CoSi 2 (001) film growth proceed via the Volmer-Weber mode with independent nucleation of both untwinned and twinned islands. Increasing J Ar + /J Co results in larger values of both the number density and area of untwinned with respect to twinned islands. The intense Ar + ion bombardment creates additional low-energy adsorption sites that favor the nucleation of untwinned islands while collisionally enhancing Co surface mobilities which, in turn, increases the probability of itinerant Co adatoms reaching these sites

  17. The improvement of the superconducting Y-Ba-Cu-O magnet characteristics through shape recovery strain of Fe-Mn-Si alloys

    International Nuclear Information System (INIS)

    Shimpo, Y.; Seki, H.; Wongsatanawarid, A.; Taniguchi, S.; Maruyama, T.; Kurita, T.; Murakami, M.

    2010-01-01

    Since bulk Y-Ba-Cu-O superconductors are brittle ceramics, reinforcement of mechanical properties is important for practical applications. It has been reported that bulk Y-Ba-Cu-O can be reinforced with Al or Fe based alloy ring, in that compression force acts on bulk Y-Ba-Cu-O due to a difference in thermal expansion coefficients. However, the shrinkage of the metal ring was not so large, and therefore careful adjustment of the circumference of the bulk and the metal rings was necessary. In this study, we employed Fe-Mn-Si shape memory alloy rings to reinforce bulk Y-Ba-Cu-O. The advantage of the shape memory alloy is that the shrinkage can take place on heating, and furthermore, the alloy shrinks and compresses the bulk body on cooling. Bulk Y-Ba-Cu-O superconductor 22.8 mm in diameter was inserted in a Fe-Mn-Si ring 23.0 mm in inner diameter at room temperature. Beforehand, the Fe-Mn-Si ring was expanded by 12% strain at room temperature. Then the composite was heated to 673 K. At room temperature, the Fe-Mn-Si ring firmly gripped the bulk superconductor. We then measured trapped fields before and after the ring reinforcement, and found that the trapped field was improved through the treatment.

  18. High-temperature mechanical and material design for SiC composites

    International Nuclear Information System (INIS)

    Ghoniem, N.M.

    1992-01-01

    Silicon Carbide (SiC) fiber reinforced composites (FRC's) are strong potential candidate structural and high heat flux materials for fusion reactors. During this past decade, they have been vigorously developed for use in aerospace and transportation applications. Recent fusion reactor systems studies, such as ARIES, have concluded that further development of SiC composites will result in significant safety, operational, and waste disposal advantages for fusion systems. A concise discussion of the main material and design issues related to the use of SiC FRC's as structural materials in future fusion systems is given in this paper. The status of material processing of SiC/SiC composites is first reviewed. The advantages and shortcomings of the leading processing technology, known as Chemical Vapor Infiltration are particularly highlighted. A brief outline of the design-relevant physical, mechanical, and radiation data base is then presented. SiC/SiC FRC's possess the advantage of increased apparent toughness under mechanical loading conditions. This increased toughness, however, is associated with the nucleation and propagation of small crack patterns in the structure. Design approaches and failure criteria under these conditions are discussed

  19. High efficiency battery converter with SiC devices for residential PV systems

    DEFF Research Database (Denmark)

    Pham, Cam; Teodorescu, Remus; Kerekes, Tamas

    2013-01-01

    The demand for high efficiency and higher power density is a challenge for Si-based semiconductors due to the physical characteristics of material. These can be overcome by employing wide-band-gap materials like SiC. This paper compares a second generator SiC MOSFETs against a normally-on Trench...

  20. Irradiation effect on Nite-SiC/SiC composites

    International Nuclear Information System (INIS)

    Hinoki, T.; Choi, Y.B.; Kohyama, A.; Ozawa, K.

    2007-01-01

    Full text of publication follows: Silicon carbide (SiC) and SiC composites are significantly attractive materials for nuclear application in particular due to exceptional low radioactivity, excellent high temperature mechanical properties and chemical stability. Despite of the excellent potential of SiC/SiC composites, the prospect of industrialization has not been clear mainly due to the low productivity and the high material cost. Chemical vapor infiltration (CVI) method can produce the excellent SiC/SiC composites with highly crystalline and excellent mechanical properties. It has been reported that the high purity SiC/SiC composites reinforced with highly crystalline fibers and fabricated by CVI method is very stable to neutron irradiation. However the production cost is high and it is difficult to fabricate thick and dense composites by CVI method. The novel processing called Nano-powder Infiltration and Transient Eutectic Phase (NITE) Processing has been developed based on the liquid phase sintering (LPS) process modification. The NITE processing can achieve both the excellent material quality and the low processing cost. The productivity of the processing is also excellent, and various kinds of shape and size of SiC/SiC composites can be produced by the NITE processing. The NITE processing can form highly crystalline matrix, which is requirement for nuclear application. The objective of this work is to understand irradiation effect of the NITESiC/SiC composites. The SiC/SiC composites used were reinforced with high purity SiC fibers, Tyranno TM SA and fabricated by the NITE method. The NITE-SiC/SiC composite bars and reference monolithic SiC bars fabricated by CVI and NITE were irradiated at up to 1.0 dpa and 600-1000 deg. C at JMTR, Japan. Mechanical properties of non-irradiated and irradiated NITESiC/ SiC composites bars were evaluated by tensile tests. Monolithic SiC bars were evaluated by flexural tests. The fracture surface was examined by SEM. Ultimate

  1. The impact of non-uniform channel layer growth on device characteristics in state of the Art Si/SiGe/Si p-metal oxide semiconductor field effect transistors

    International Nuclear Information System (INIS)

    Chang, A.C.K.; Ross, I.M.; Norris, D.J.; Cullis, A.G.; Tang, Y.T.; Cerrina, C.; Evans, A.G.R.

    2006-01-01

    In this study we have highlighted the effect of non-uniform channel layer growth by the direct correlation of the microstructure and electrical characteristics in state-of-the-art pseudomorphic Si/SiGe p-channel metal oxide semiconductor field effect transistor devices fabricated on Si. Two nominally identical sets of devices from adjacent locations of the same wafer were found to have radically different distributions in gate threshold voltages. Due to the close proximity and narrow gate length of the devices, focused ion beam milling was used to prepare a number of thin cross-sections from each of the two regions for subsequent analysis using transmission electron microscopy. It was found that devices from the region giving a very narrow range of gate threshold voltages exhibited a uniform microstructure in general agreement with the intended growth parameters. However, in the second region, which showed a large spread in the gate threshold voltages, profound anomalies in the microstructure were observed. These anomalies consisted of fluctuations in the quality and thickness of the SiGe strained layers. The non-uniform growth of the strained SiGe layer clearly accounted for the poorly controlled threshold voltages of these devices. The results emphasize the importance of good layer growth uniformity to ensure optimum device yield

  2. Twinning in copper deformed at high strain rates

    Indian Academy of Sciences (India)

    Abstract. Copper samples having varying microstructures were deformed at high strain rates using a split-. Hopkinson pressure bar. Transmission electron microscopy results show deformation twins present in samples that were both annealed and strained, whereas samples that were annealed and left unstrained, as well ...

  3. Significantly Enhanced Dielectric Performances and High Thermal Conductivity in Poly(vinylidene fluoride)-Based Composites Enabled by SiC@SiO2 Core-Shell Whiskers Alignment.

    Science.gov (United States)

    He, Dalong; Wang, Yao; Song, Silong; Liu, Song; Deng, Yuan

    2017-12-27

    Design of composites with ordered fillers arrangement results in anisotropic performances with greatly enhanced properties along a specific direction, which is a powerful tool to optimize physical properties of composites. Well-aligned core-shell SiC@SiO 2 whiskers in poly(vinylidene fluoride) (PVDF) matrix has been achieved via a modified spinning approach. Because of the high aspect ratio of SiC whiskers, strong anisotropy and significant enhancement in dielectric constant were observed with permittivity 854 along the parallel direction versus 71 along the perpendicular direction at 20 vol % SiC@SiO 2 loading, while little increase in dielectric loss was found due to the highly insulating SiO 2 shell. The anisotropic dielectric behavior of the composite is perfectly understood macroscopically to have originated from anisotropic intensity of interfacial polarization based on an equivalent circuit model of two parallel RC circuits connected in series. Furthermore, finite element simulations on the three-dimensional distribution of local electric field, polarization, and leakage current density in oriented SiC@SiO 2 /PVDF composites under different applied electrical field directions unambiguously revealed that aligned core-shell SiC@SiO 2 whiskers with a high aspect ratio significantly improved dielectric performances. Importantly, the thermal conductivity of the composite was synchronously enhanced over 7 times as compared to that of PVDF matrix along the parallel direction at 20 vol % SiC@SiO 2 whiskers loading. This study highlights an effective strategy to achieve excellent comprehensive properties for high-k dielectrics.

  4. Nanocrystalline Si pathway induced unipolar resistive switching behavior from annealed Si-rich SiN{sub x}/SiN{sub y} multilayers

    Energy Technology Data Exchange (ETDEWEB)

    Jiang, Xiaofan; Ma, Zhongyuan, E-mail: zyma@nju.edu.cn; Yang, Huafeng; Yu, Jie; Wang, Wen; Zhang, Wenping; Li, Wei; Xu, Jun; Xu, Ling; Chen, Kunji; Huang, Xinfan; Feng, Duan [National Laboratory of Solid State Microstructures, Jiangsu Provincial Key Laboratory of Photonic Electronic Materials Sciences and Technology, School of Electronic Science and Engineering, Nanjing University, Nanjing 210093 (China)

    2014-09-28

    Adding a resistive switching functionality to a silicon microelectronic chip is a new challenge in materials research. Here, we demonstrate that unipolar and electrode-independent resistive switching effects can be realized in the annealed Si-rich SiN{sub x}/SiN{sub y} multilayers with high on/off ratio of 10{sup 9}. High resolution transmission electron microscopy reveals that for the high resistance state broken pathways composed of discrete nanocrystalline silicon (nc-Si) exist in the Si nitride multilayers. While for the low resistance state the discrete nc-Si regions is connected, forming continuous nc-Si pathways. Based on the analysis of the temperature dependent I-V characteristics and HRTEM photos, we found that the break-and-bridge evolution of nc-Si pathway is the origin of resistive switching memory behavior. Our findings provide insights into the mechanism of the resistive switching behavior in nc-Si films, opening a way for it to be utilized as a material in Si-based memories.

  5. Isolation of salt stress gene(s) from some haloterant streptomyces strains using polymerase chain reaction (abstract)

    International Nuclear Information System (INIS)

    Mohammad, S.H.

    2005-01-01

    We studied salt tolerance range in sixteen halotolerant streptomyces strains to isolate salt regulated genes using polymerase chain reaction (PCR) technology. A group of these strains was isolated from Sedi-creer (S. niveus Sc-2 and S. sendenensis Sc-II); El-Malahat (Alexndria) (S. graminofaciens Ma-13): Qaroon's lake (S. albovinaceus QA-44, S. luteofluorescens Qa-51, S. albidoflavous Qa-53 and S. erthaeus QA-84). The other group represents the strains isolated from different soils from Damaaita (S. violans Da-3). Ismailia (S. alboflavus-Is-10). Port said (S. bobili Ps-12) and Sinai sandy soil (streptomyces species Si-1, S. truirus Si-4, S. lateritius Si-6, S. hawaiiensis Si-8, S. muavecolor Si-9 and S. melanogenes Si-11). These strains were varied in their salt tolerance range in particular, with increasing NaCl concentration in the growth medium up to 14%. It was also noted that all the applied Streptomyces strains appeared abundant growth at NaCl concentrations of 0.05, 3.5 and 7.0%. When NaCl was added at concentration of 10.5%, all of them except S. melanogenes Si-II strain gave moderate growth. On the contrary, NaCl at concentration of 14% inhibited the growth of 50% of strains under investigation. But the other 50% of these strains gave moderate growth at the same NaCl concentration. At the molecular level, the PCR was successfully used for isolating the mtlD and P5CS genes from 3 (S. alboinaceus Qa-44, S. albidoflavus Qa-53, S. erthraeus QA-84) and 4 (S. albovunaecaus Qa-44, Streptomyces species Si-I, S. luteofluorescens Qa-51, S. latritius Si-6) strains, respectively. As PCR fragments with a size of about 1095 and 2100 bp were amplified from the DNA genome of these strains using the primer pairs (P1 and P2) and (P3 and P4), respectively. These results confirmed the ability to use PCR for isolation or detection of any gene based on its nucleotide sequencing in any microorganism. Furthermore, one can recommended the use of the applied halotolerant

  6. Influence of strain in the reduction of the internal electric field in GaN/AlN quantum dots grown on a-plane 6H-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Cros, A.; Budagosky, J.A.; Garcia-Cristobal, A.; Garro, N.; Cantarero, A. [Institut de Ciencia dels Materials, Universitat de Valencia, 46071 Valencia (Spain); Founta, S.; Mariette, H.; Daudin, B. [CEA-CNRS Group ' ' Nanophysique et Semiconducteurs' ' , Departement de Recherche Fondamentale sur la Matiere Condensee, CEA Grenoble, 17 rue des Martyrs, 38054 Grenoble (France)

    2006-06-15

    The strain state of stacks of GaN/AlN quantum dots (QDs) grown on (0001) and (11 anti 20) 6H-SiC has been investigated by means of Raman spectroscopy. Depending on the orientation of the wurtzite axis with respect to the growth direction it is found that the piezoelectric contribution to the electrostatic potential may either reinforce that arising from the spontaneous polarization or oppose it. The experimental results are compared with a theoretical model for the strain and polarization field in QDs of both orientations that allows the calculation of the electrostatic potential in the QDs. Both the experimental results and the theoretical model indicate that the internal electric field and electrostatic potential are strongly reduced in the QDs grown on (11 anti 20) 6H-SiC as compared to those grown along the wurtzite c-axis. (copyright 2006 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim) (orig.)

  7. Spallation model for the high strain rates range

    Science.gov (United States)

    Dekel, E.; Eliezer, S.; Henis, Z.; Moshe, E.; Ludmirsky, A.; Goldberg, I. B.

    1998-11-01

    Measurements of the dynamic spall strength in aluminum and copper shocked by a high power laser to pressures of hundreds of kbars show a rapid increase in the spall strength with the strain rate at values of about 107 s-1. We suggest that this behavior is a result of a change in the spall mechanism. At low strain rates the spall is caused by the motion and coalescence of material's initial flaws. At high strain rates there is not enough time for the flaws to move and the spall is produced by the formation and coalescence of additional cavities where the interatomic forces become dominant. Material under tensile stress is in a metastable condition and cavities of a critical radius are formed in it due to thermal fluctuations. These cavities grow due to the tension. The total volume of the voids grow until the material disintegrates at the spall plane. Simplified calculations based on this model, describing the metal as a viscous liquid, give results in fairly good agreement with the experimental data and predict the increase in spall strength at high strain rates.

  8. Demonstration of SiC Pressure Sensors at 750 C

    Science.gov (United States)

    Okojie, Robert S.; Lukco, Dorothy; Nguyen, Vu; Savrun, Ender

    2014-01-01

    We report the first demonstration of MEMS-based 4H-SiC piezoresistive pressure sensors tested at 750 C and in the process confirmed the existence of strain sensitivity recovery with increasing temperature above 400 C, eventually achieving near or up to 100% of the room temperature values at 750 C. This strain sensitivity recovery phenomenon in 4H-SiC is uncharacteristic of the well-known monotonic decrease in strain sensitivity with increasing temperature in silicon piezoresistors. For the three sensors tested, the room temperature full-scale output (FSO) at 200 psig ranged between 29 and 36 mV. Although the FSO at 400 C dropped by about 60%, full recovery was achieved at 750 C. This result will allow the operation of SiC pressure sensors at higher temperatures, thereby permitting deeper insertion into the engine combustion chamber to improve the accurate quantification of combustor dynamics.

  9. Effect of high-intensity ultrasonic irradiation on the modification of solidification microstructure in a Si-rich hypoeutectic Al-Si alloy

    Energy Technology Data Exchange (ETDEWEB)

    Das, A., E-mail: A.Das@swansea.ac.uk [Materials Research Centre, School of Engineering, Swansea University, Singleton Park, Swansea, SA2 8PP (United Kingdom); Kotadia, H.R. [Brunel Centre for Advanced Solidification Technology, Brunel University, Uxbridge, UB8 3PH (United Kingdom)

    2011-02-15

    Effect of high-intensity ultrasound irradiation in modifying complex solidification microstructure is explored in a high Si containing Al-Si alloy and the origin of microstructural changes explained on the basis of nucleation and growth behaviour. Complete suppression of dendritic growth and dramatic refinement to globular morphology were observed for primary {alpha}-Al grains. Strong supportive evidence is presented towards enhanced and prolonged heterogeneous nucleation triggered by cavitation induced increase in the equilibrium melting point and effective dissipation of latent heat at the solidification front. Morphological evolution of eutectic Si and intermetallic particles is found to be dominated by coarsening and spherodisation from strong fluid flow in areas of intense cavitation near the ultrasonic radiator. Outside the region of direct energy transfer, Si particle morphology appears to be controlled predominantly by the imposed cooling conditions. Extremely fine and short Si-platelets observed in the intergranular spaces near the radiator are explained on the basis of probable rapid cooling of final liquid pockets of small volume and large surface area, rather than refinement through ultrasound.

  10. Strain sensors for high field pulse magnets

    Energy Technology Data Exchange (ETDEWEB)

    Martinez, Christian [Los Alamos National Laboratory; Zheng, Yan [Los Alamos National Laboratory; Easton, Daniel [Los Alamos National Laboratory; Farinholt, Kevin M [Los Alamos National Laboratory; Park, Gyuhae [Los Alamos National Laboratory

    2009-01-01

    In this paper we present an investigation into several strain sensing technologies that are being considered to monitor mechanical deformation within the steel reinforcement shells used in high field pulsed magnets. Such systems generally operate at cryogenic temperatures to mitigate heating issues that are inherent in the coils of nondestructive, high field pulsed magnets. The objective of this preliminary study is to characterize the performance of various strain sensing technologies at liquid nitrogen temperatures (-196 C). Four sensor types are considered in this investigation: fiber Bragg gratings (FBG), resistive foil strain gauges (RFSG), piezoelectric polymers (PVDF), and piezoceramics (PZT). Three operational conditions are considered for each sensor: bond integrity, sensitivity as a function of temperature, and thermal cycling effects. Several experiments were conducted as part of this study, investigating adhesion with various substrate materials (stainless steel, aluminum, and carbon fiber), sensitivity to static (FBG and RFSG) and dynamic (RFSG, PVDF and PZT) load conditions, and sensor diagnostics using PZT sensors. This work has been conducted in collaboration with the National High Magnetic Field Laboratory (NHMFL), and the results of this study will be used to identify the set of sensing technologies that would be best suited for integration within high field pulsed magnets at the NHMFL facility.

  11. Spin accumulation in Si channels using CoFe/MgO/Si and CoFe/AlOx/Si tunnel contacts with high quality tunnel barriers prepared by radical-oxygen annealing

    International Nuclear Information System (INIS)

    Akushichi, T.; Shuto, Y.; Sugahara, S.; Takamura, Y.

    2015-01-01

    We investigate spin injection into Si channels using three-terminal spin-accumulation (3T-SA) devices with high-quality CoFe/MgO/n-Si and CoFe/AlO x /n-Si tunnel spin-injectors whose tunnel barriers are formed by radical oxidation of Mg and Al thin films deposited on Si(100) substrates and successive annealing under radical-oxygen exposure. When the MgO and AlO x barriers are not treated by the radical-oxygen annealing, the Hanle-effect signals obtained from the 3T-SA devices are closely fitted by a single Lorentz function representing a signal due to trap spins. On the other hand, when the tunnel barriers are annealed under radical-oxygen exposure, the Hanle-effect signals can be accurately fitted by the superposition of a Lorentz function and a non-Lorentz function representing a signal due to accumulated spins in the Si channel. These results suggest that the quality improvement of tunnel barriers treated by radical-oxygen annealing is highly effective for spin-injection into Si channels

  12. Electronic structures of GeSi nanoislands grown on pit-patterned Si(001 substrate

    Directory of Open Access Journals (Sweden)

    Han Ye

    2014-11-01

    Full Text Available Patterning pit on Si(001 substrate prior to Ge deposition is an important approach to achieve GeSi nanoislands with high ordering and size uniformity. In present work, the electronic structures of realistic uncapped pyramid, dome, barn and cupola nanoislands grown in {105} pits are systematically investigated by solving Schrödinger equation for heavy-hole, which resorts to inhomogeneous strain distribution and nonlinear composition-dependent band parameters. Uniform, partitioned and equilibrium composition profile (CP in nanoisland and inverted pyramid structure are simulated separately. We demonstrate the huge impact of composition profile on localization of heavy-hole: wave function of ground state is confined near pit facets for uniform CP, at bottom of nanoisland for partitioned CP and at top of nanoisland for equilibrium CP. Moreover, such localization is gradually compromised by the size effect as pit filling ratio or pit size decreases. The results pave the fundamental guideline of designing nanoislands on pit-patterned substrates for desired applications.

  13. Critical Shape and Size for Dislocation Nucleation in Si1-xGex Islands on Si(001)

    International Nuclear Information System (INIS)

    Marzegalli, A.; Zinovyev, V. A.; Montalenti, F.; Miglio, Leo; Rastelli, A.; Schmidt, O. G.; Stoffel, M.; Merdzhanova, T.

    2007-01-01

    The critical volume for the onset of plastic strain relaxation in SiGe islands on Si(001) is computed for different Ge contents and realistic shapes by using a three-dimensional model, with position-dependent dislocation energy. It turns out that the critical bases for dome- and barnlike islands are different for any composition. By comparison to extensive atomic force microscopy measurements of the footprints left on the Si substrates by islands grown at different temperatures (and compositions), we conclude that, in contrast with planar films, dislocation nucleation in 3D islands is fully thermodynamic

  14. High-Rate Fabrication of a-Si-Based Thin-Film Solar Cells Using Large-Area VHF PECVD Processes

    Energy Technology Data Exchange (ETDEWEB)

    Deng, Xunming [University of Toledo; Fan, Qi Hua

    2011-12-31

    The University of Toledo (UT), working in concert with it’s a-Si-based PV industry partner Xunlight Corporation (Xunlight), has conducted a comprehensive study to develop a large-area (3ft x 3ft) VHF PECVD system for high rate uniform fabrication of silicon absorber layers, and the large-area VHF PECVD processes to achieve high performance a-Si/a-SiGe or a-Si/nc-Si tandem junction solar cells during the period of July 1, 2008 to Dec. 31, 2011, under DOE Award No. DE-FG36-08GO18073. The project had two primary goals: (i) to develop and improve a large area (3 ft × 3 ft) VHF PECVD system for high rate fabrication of > = 8 Å/s a-Si and >= 20 Å/s nc-Si or 4 Å/s a-SiGe absorber layers with high uniformity in film thicknesses and in material structures. (ii) to develop and optimize the large-area VHF PECVD processes to achieve high-performance a-Si/nc-Si or a-Si/a-SiGe tandem-junction solar cells with >= 10% stable efficiency. Our work has met the goals and is summarized in “Accomplishments versus goals and objectives”.

  15. Porous SiC/SiC composites development for industrial application

    International Nuclear Information System (INIS)

    Maeta, S.; Hinoki, T.

    2014-01-01

    Silicon carbide (SiC) is promising structural materials in nuclear fields due to an excellent irradiation resistance and low activation characteristics. Conventional SiC fibers reinforced SiC matrix (SiC/SiC composites) fabricated by liquid phase sintering (LPS-SiC/SiC composites) have been required high cost and long processing time. And microstructure and mechanical property data of finally obtained LPS-SiC/SiC composites are easily scattered, because quality of the composites depend on personal skill. Thus, conventional LPS-SiC/SiC composites are inadequate for industrial use. In order to overcome these issues, the novel “porous SiC/SiC composites” have been developed by means of liquid phase sintering fabrication process. The composites consist of porous SiC matrix and SiC fibers without conventional carbon interfacial layer. The composites don’t have concerns of the degradation interfacial layer at the severe accident. Porous SiC/SiC composites preform was prepared with a thin sheet shape of SiC, sintering additives and carbon powder mixture by tape casting process which was adopted because of productive and high yielding rate fabrication process. The preform was stacked with SiC fibers and sintered in hot-press at the high temperature in argon environment. The sintered preform was decarburized obtain porous matrix structure by heat-treatment in air. Moreover, mechanical property data scattering of the obtained porous SiC/SiC composites decreased. In the flexural test, the porous SiC/SiC composites showed pseudo-ductile behavior with sufficient strength even after heat treatment at high temperature in air. From these conclusions, it was proven that porous SiC/SiC composites were reliable material at severe environment such as high temperature in air, by introducing tape casting fabrication process that could produce reproducible materials with low cost and simple way. Therefore development of porous SiC/SiC composites for industrial application was

  16. Stretching of red blood cells at high strain rates

    Science.gov (United States)

    Mancuso, J. E.; Ristenpart, W. D.

    2017-10-01

    Most work on the mechanical behavior of red blood cells (RBCs) in flow has focused on simple shear flows. Relatively little work has examined RBC deformations in the physiologically important extensional flow that occurs at the entrance to a constriction. In particular, previous work suggests that RBCs rapidly stretch out and then retract upon entering the constriction, but to date no model predicts this behavior for the extremely high strain rates typically experienced there. In this Rapid Communication, we use high speed video to perform systematic measurements of the dynamic stretching behavior of RBCs as they enter a microfluidic constriction. We demonstrate that both the Kelvin-Voigt and Skalak viscoelastic models capture the observed stretching dynamics, up to strain rates as high as 2000 s-1. The results indicate that the effective elastic modulus of the RBC membrane at these strain rates is an order of magnitude larger than moduli measured by micropipette aspiration or other low strain rate techniques.

  17. The Degradation Behavior of SiCf/SiO2 Composites in High-Temperature Environment

    Science.gov (United States)

    Yang, Xiang; Cao, Feng; Qing, Wang; Peng, Zhi-hang; Wang, Yi

    2018-04-01

    SiCf/SiO2 composites had been fabricated efficiently by Sol-Gel method. The oxidation behavior, thermal shock property and ablation behavior of SiCf/SiO2 composites was investigated. SiCf/SiO2 composites showed higher oxidation resistance in oxidation atmosphere, the flexural strength retention ratio was larger than 90.00%. After 1300 °C thermal shock, the mass retention ratio was 97.00%, and the flexural strength retention ratio was 92.60%, while after 1500 °C thermal shock, the mass retention ratio was 95.37%, and the flexural strength retention ratio was 83.34%. After 15 s ablation, the mass loss rate was 0.049 g/s and recession loss rate was 0.067 mm/s. The SiO2 matrix was melted in priority and becomes loosen and porous. With the ablation going on, the oxides were washed away by the shearing action of the oxyacetylene flame. The evaporation of SiO2 took away large amount of heat, which is also beneficial to the protection for SiCf/SiO2 composites.

  18. Evaluation of carbon incorporation and strain of doped MgB2 superconductor by Raman spectroscopy

    International Nuclear Information System (INIS)

    Yeoh, W.K.; Zheng, R.K.; Ringer, S.P.; Li, W.X.; Xu, X.; Dou, S.X.; Chen, S.K.; MacManus-Driscoll, J.L.

    2011-01-01

    Raman spectroscopy is employed to study both the strain and the carbon substitution level in SiC-doped MgB 2 bulk samples. Raman spectroscopy was demonstrated to be a better method to distinguish the individual influences of strain and carbon than standard X-ray diffraction. It is found that the lattice parameter correlation method for C content determination is invalid for highly strained samples. Our result also provides an alternative explanation for lattice variation in non-carbon-doped MgB 2 , which is basically due to lattice strain.

  19. High temperature mechanical behaviour of glass-ceramics in the YSiAlON and ErSiAlON systems

    Energy Technology Data Exchange (ETDEWEB)

    Bondanini, A.; Massouras, G.; Besson, J.L. [ENSCI, Limoges (France). SPCTS

    2002-07-01

    The high temperature mechanical behaviour of oxynitride glass-ceramics in the YSiAlON and ErSiAlON systems was studied in the 950-1150 C temperature range under compressive stresses ranging from 20 to 100 MPa. The parent glass had a composition of 35 Y(or Er)-45 Si-20 Al-83 O-17 N in equivalent percent. Starting from these glasses, glass-ceramics were prepared using a two stage heat treatment: nucleation at the optimum nucleation temperature followed by crystal growth at 1050, 1150 or 1250 C. The two parent glasses had similar viscosities, with that of the Er-glass being slightly less than that of the Y-glass. After the devitrification treatment at 1050 C, B-phase (M{sub 2}SiAlO{sub 5}N) was the only crystalline phase formed in both systems. The creep behaviour was similar for the yttrium and the erbium materials. It was characterised by a long transient stage, due to the viscoelastic response of the residual glass, with recovered strain after unloading decreasing as loading time increased. The creep resistance was compared to that of the parent glasses in terms of apparent viscosity. The crystallisation of 75% of the glass resulted in an increase in viscosity such that a temperature some 100 C higher showed the same viscosity value. After heat treatment at 1150 C, the phase assemblage in the yttrium material changed with the formation of wollastonite and partial conversion of B-phase into Iw-phase. The apparent viscosity was 2 orders of magnitude higher than that of the samples heat treated at 1050 C and no strain recovery was observed upon unloading. In contrast, the erbium materials retained the same microstructure as after the heat treatment at 1050{sup b}C and there was no difference in the creep behaviour of the samples heat treated at 1050 or 1150 C. After a crystallisation treatment at 1250 C of the yttrium parent glass, the glass-ceramic consisted of yttrium aluminium garnet, N-apatite and {beta}-Y{sub 2}Si{sub 2}O{sub 7} and showed excellent creep

  20. Improved Si0.5Ge0.5/Si interface quality achieved by the process of low energy hydrogen plasma cleaning and investigation of interface quality with positron annihilation spectroscopy

    Science.gov (United States)

    Liao, M.-H.; Chen, C.-H.

    2013-04-01

    The Positron Annihilation Spectra (PAS), Raman, and Photoluminescence spectroscopy reveal that Si0.5Ge0.5/Si interface quality can be significantly improved by the low energy plasma cleaning process using hydrogen. In the PAS, the particularly small value of lifetime and intensity near the Si0.5Ge0.5/Si interface in the sample with the treatment indicate that the defect concentration is successfully reduced 2.25 times, respectively. Fewer defects existed in the Si0.5Ge0.5/Si interface result in the high compressive strain about 0.36% in the top epi-Si0.5Ge0.5 layer, which can be observed in Raman spectra and stronger radiative recombination rate about 1.39 times for the infrared emission, which can be observed in the photoluminescence spectra. With better Si0.5Ge0.5/Si interface quality, the SiGe-based devices can have better optical and electrical characteristics for more applications in the industry. The PAS is also demonstrated that it is the useful methodology tool to quantify the defect information in the SiGe-based material.

  1. Mechanical characterization of alloys in extreme conditions of high strain rates and high temperature

    Science.gov (United States)

    Cadoni, Ezio

    2018-03-01

    The aim of this paper is the description of the mechanical characterization of alloys under extreme conditions of temperature and loading. In fact, in the frame of the Cost Action CA15102 “Solutions for Critical Raw Materials Under Extreme Conditions (CRM-EXTREME)” this aspect is crucial and many industrial applications have to consider the dynamic response of materials. Indeed, for a reduction and substitution of CRMs in alloys is necessary to design the materials and understand if the new materials behave better or if the substitution or reduction badly affect their performance. For this reason, a deep knowledge of the mechanical behaviour at high strain-rates of considered materials is required. In general, machinery manufacturing industry or transport industry as well as energy industry have important dynamic phenomena that are simultaneously affected by extended strain, high strain-rate, damage and pressure, as well as conspicuous temperature gradients. The experimental results in extreme conditions of high strain rate and high temperature of an austenitic stainless steel as well as a high-chromium tempered martensitic reduced activation steel Eurofer97 are presented.

  2. Physical nature of strain rate sensitivity of metals and alloys at high strain rates

    Science.gov (United States)

    Borodin, E. N.; Gruzdkov, A. A.; Mayer, A. E.; Selyutina, N. S.

    2018-04-01

    The role of instabilities of plastic flow at plastic deformation of various materials is one of the important cross-disciplinary problems which is equally important in physics, mechanics and material science. The strain rate sensitivities under slow and high strain rate conditions of loading have different physical nature. In the case of low strain rate, the sensitivity arising from the inertness of the defect structures evolution can be expressed by a single parameter characterizing the plasticity mechanism. In our approach, this is the value of the characteristic relaxation time. In the dynamic case, there are additional effects of “high-speed sensitivity” associated with the micro-localization of the plastic flow near the stress concentrators. In the frames of mechanical description, this requires to introduce additional strain rate sensitivity parameters, which is realized in numerous modifications of Johnson–Cook and Zerilli–Armstrong models. The consideration of both these factors is fundamental for an adequate description of the problems of dynamic deformation of highly inhomogeneous metallic materials such as steels and alloys. The measurement of the dispersion of particle velocities on the free surface of a shock-loaded material can be regarded as an experimental expression of the effect of micro-localization. This is also confirmed by our results of numerical simulation of the propagation of shock waves in a two-dimensional formulation and analytical estimations.

  3. Highly sensitive strain sensors based on fragmentized carbon nanotube/polydimethylsiloxane composites

    Science.gov (United States)

    Gao, Yang; Fang, Xiaoliang; Tan, Jianping; Lu, Ting; Pan, Likun; Xuan, Fuzhen

    2018-06-01

    Wearable strain sensors based on nanomaterial/elastomer composites have potential applications in flexible electronic skin, human motion detection, human–machine interfaces, etc. In this research, a type of high performance strain sensors has been developed using fragmentized carbon nanotube/polydimethylsiloxane (CNT/PDMS) composites. The CNT/PDMS composites were ground into fragments, and a liquid-induced densification method was used to fabricate the strain sensors. The strain sensors showed high sensitivity with gauge factors (GFs) larger than 200 and a broad strain detection range up to 80%, much higher than those strain sensors based on unfragmentized CNT/PDMS composites (GF composite particles during mechanical deformation, which causes significant resistance change in the strain sensors. The strain sensors can differentiate mechanical stimuli and monitor various human body motions, such as bending of the fingers, human breathing, and blood pulsing.

  4. Screening of siRNA nanoparticles for delivery to airway epithelial cells using high-content analysis

    LENUS (Irish Health Repository)

    Hibbitts, Alan

    2011-08-01

    Aims: Delivery of siRNA to the lungs via inhalation offers a unique opportunity to develop a new treatment paradigm for a range of respiratory conditions. However, progress has been greatly hindered by safety and delivery issues. This study developed a high-throughput method for screening novel nanotechnologies for pulmonary siRNA delivery. Methodology: Following physicochemical analysis, the ability of PEI–PEG–siRNA nanoparticles to facilitate siRNA delivery was determined using high-content analysis (HCA) in Calu-3 cells. Results obtained from HCA were validated using confocal microscopy. Finally, cytotoxicity of the PEI–PEG–siRNA particles was analyzed by HCA using the Cellomics® multiparameter cytotoxicity assay. Conclusion: PEI–PEG–siRNA nanoparticles facilitated increased siRNA uptake and luciferase knockdown in Calu-3 cells compared with PEI–siRNA.

  5. Highly stable carbon-doped Cu films on barrierless Si

    International Nuclear Information System (INIS)

    Zhang, X.Y.; Li, X.N.; Nie, L.F.; Chu, J.P.; Wang, Q.; Lin, C.H.; Dong, C.

    2011-01-01

    Electrical resistivities and thermal stabilities of carbon-doped Cu films on silicon have been investigated. The films were prepared by magnetron sputtering using a Cu-C alloy target. After annealing at 400 deg. C for 1 h, the resistivity maintains a low level at 2.7 μΩ-cm and no Cu-Si reaction is detected in the film by X-ray diffraction (XRD) and transmission electron microscopy (TEM) observations. According to the secondary ion mass spectroscopy (SIMS) results, carbon is enriched near the interfacial region of Cu(C)/Si, and is considered responsible for the growth of an amorphous Cu(C)/Si interlayer that inhibits the Cu-Si inter-diffusion. Fine Cu grains, less than 100 nm, were present in the Cu(C) films after long-term and high-temperature annealings. The effect of C shows a combination of forming a self-passivated interface barrier layer and maintaining a fine-grained structure of Cu. A low current leakage measured on this Cu(C) film also provides further evidence for the carbon-induced diffusion barrier interlayer performance.

  6. Spectroscopic XPEEM of highly conductive SI-doped GaN wires

    Energy Technology Data Exchange (ETDEWEB)

    Renault, O., E-mail: olivier.renault@cea.fr [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Morin, J. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Tchoulfian, P. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); CNRS, Inst. NEEL, F-38042 Grenoble (France); Chevalier, N. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CEA, LETI, MINATEC Campus, F-38054 Grenoble (France); Feyer, V. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, D-52425 Jülich (Germany); Pernot, J. [Univ. Grenoble Alpes, F-38000 Grenoble (France); CNRS, Inst. NEEL, F-38042 Grenoble (France); Institut Universitaire de France, F-75005 Paris (France); Schneider, C.M. [Peter Grünberg Institute (PGI-6) and JARA-FIT, Research Center Jülich, D-52425 Jülich (Germany)

    2015-12-15

    Using soft X-ray photoelectron emission microscopy (XPEEM), complemented by scanning Auger microscopy (SAM) and scanning capacitance microscopy, we have quantitatively studied the incorporation of silicon and band bending at the surface (m-facet) of an individual, highly conductive Si-doped GaN micro-wires (Tchoulfian et al., Applied Physics Letters 102 (12), 2013). Electrically active n-dopants Si atoms in Ga interstitial sites are detected as nitride bonding states in the high-resolution Si2p core level spectra, and represent only a small fraction (<10%) of the overall Si surface concentration measured by SAM. The derived carrier concentration of 2×10{sup 21} at cm{sup −3} is in reasonable agreement with electrical measurements. A consistent surface band bending of ~1 eV is directly evidenced by surface photo-voltage measurements. Such an approach combining different surface-sensitive microscopies is of interest for studying other heavily doped semiconducting wires. - Highlights: • XPEEM analysis of state-of-the-art, heavily doped GaN wires with insights on the issue of the origin of the increased conductivity. • Combined microscopic approach with Scanning Auger microscopy and X-ray Photoeletron Emission Microscopy, to quantity the electrically active Si-dopants in GaN. • The determined concentration is found in reasonable agreement with the one derived from bulk electrical measurements. • The proposed method is of interest for studying the electronics and chemistry of doping in other heavily doped semiconducting wires.

  7. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    Science.gov (United States)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  8. Investigation of stress–strain models for confined high strength ...

    Indian Academy of Sciences (India)

    High strength concrete; confined concrete; stress–strain models; ... One of its advantages is the lessening column cross-sectional areas. It was ..... Ahmad S H, Shah S P 1982 Stress–strain curves of concrete confined by spiral reinforcement.

  9. Microstructural evolution at high strain rates in solution-hardened interstitial free steels

    International Nuclear Information System (INIS)

    Uenishi, A.; Teodosiu, C.; Nesterova, E.V.

    2005-01-01

    Comprehensive transmission electron microscopical studies have been conducted for solution-hardened steels deformed at high (1000 s -1 ) and low (0.001 s -1 ) strain rates, in order to clarify the effects of strain rate and a jump in strain rate on the evolution of the microstructure and its connection with the mechanical response. It was revealed that the various types of microstructure, observed even within the same specimen, depend on the corresponding grain orientations and their evolution with progressive deformation depends on these microstructure types. At high strain rates, the dislocation density increases especially at low strains and the onset of dislocation organization is delayed. A jump in strain rate causes an increase of the dislocation density inside an organized structure. These results corroborated the mechanical behaviour at high strain rates after compensation for the cross-sectional reduction and temperature increase. The higher work-hardening rate at high strain rates could be connected to a delay in the dislocation organization. The high work-hardening rate just after a jump could be due to an increase of the density of dislocations distributed uniformly inside an organized structure

  10. Penta-P2X (X=C, Si) monolayers as wide-bandgap semiconductors: A first principles prediction

    Science.gov (United States)

    Naseri, Mosayeb; Lin, Shiru; Jalilian, Jaafar; Gu, Jinxing; Chen, Zhongfang

    2018-06-01

    By means of density functional theory computations, we predicted two novel two-dimensional (2D) nanomaterials, namely P2X (X=C, Si) monolayers with pentagonal configurations. Their structures, stabilities, intrinsic electronic, and optical properties as well as the effect of external strain to the electronic properties have been systematically examined. Our computations showed that these P2C and P2Si monolayers have rather high thermodynamic, kinetic, and thermal stabilities, and are indirect semiconductors with wide bandgaps (2.76 eV and 2.69 eV, respectively) which can be tuned by an external strain. These monolayers exhibit high absorptions in the UV region, but behave as almost transparent layers for visible light in the electromagnetic spectrum. Their high stabilities and exceptional electronic and optical properties suggest them as promising candidates for future applications in UV-light shielding and antireflection layers in solar cells.

  11. The strain effect in the surface barrier structures prepared on the basis of n-Si and p-Si

    International Nuclear Information System (INIS)

    Mamatkarimov, O.O.; Tuychiev, U.A.

    2004-01-01

    Full text: One of the ways of creation of large deformations in small volume of the semiconductor is the deformation created by a needle. At insignificant change of external influence the large deformation under a needle in small volume of the semiconductor the significant change of electrophysical parameters of the semiconductor in small volume is created. Therefore, in the present work the results of researches of local pressure influence on physical properties of surface barrier structures has been performed on the basis of silicon with Ni and Mn impurity. The relative changes of a direct current made on the basis n-Si and p-Si from a different degree of compensation are given depending on size of local pressure are shown. Change of current in structures Au-Si -Sb with specific resistance of base ρ=80 Ω·cm and ρ=200 Ω·cm are I p /I 0 =3-3.5 times and I P /I ) =2-2.5 times at pressure P=1.6·10 8 Pa respectively. These data show, that in structures received on the basis of initial silicon, change of a direct current with pressure is in inverse proportion to size of resistance of base of the diode. And in structures Au-Si -Sb with specific resistance of base ρ=5·10 2 Ω·cm and ρ=3·10 3 Ω·cm these changes accordingly are I P /I 0 =7 and I P /I 0 =14. Changes of direct current relative to initial value for structures on the basis p-Si with specific resistance ρ=7·10 2 Ω·cm and ρ=4·10 3 Ω·cm) are I P /I 0 =9 and I P /I 0 =16 respectively. The same changes of direct current of structures on the basis P-Si at local pressure are I P /I 0 =2-2.5. The given values I P /I 0 testify that as in structures Au-Si -Sb, and structures Sb-p-Si -Au, unlike structures on the basis of initial silicon, the values I P /I 0 are increased with increase of specific resistance of base of structures

  12. Development of high temperature resistant ceramic matrix composites based on SiC- and novel SiBNC-fibres

    International Nuclear Information System (INIS)

    Daenicke, Enrico

    2014-01-01

    Novel ceramic fibres in the quaternary system Si-B-C-N exhibit excellent high temperature stability and creep resistance. In th is work it was investigated, to what extent these outstanding properties of SiBNC-fibres can be transferred into ceramic matrix composites (CMC) in comparison to commercial silicon carbide (SiC) fibres. For the CMC development the liquid silicon infiltration (LSI) as well as the polymer infiltration and pyrolysis process (PIP) was applied. Extensive correlations between fibre properties, fibre coating (without, pyrolytic carbon, lanthanum phosphate), process parameters of the CMC manufacturing method and the mechanical and microstructural properties of the CMC before and after exposure to air could be established. Hence, the potential of novel CMCs can be assessed and application fields can be derived.

  13. Net-shape forming and properties of high volume fraction SiCp/Al composites

    International Nuclear Information System (INIS)

    Ren Shubin; Qu Xuanhui; Guo Jia; He Xinbo; Qin Mingli; Shen Xiaoyu

    2009-01-01

    High performance SiCp/Al composites have been realized their net-shape forming by use of a novel process-ceramic injection molding (CIM) for the preparation of SiC preform and pressureless infiltration of aluminum alloys. The dimension precision of prepared SiCp/Al parts could reach about ±0.3%, and their properties could also better meet the requirement of electronic packaging on the materials. In this paper, the CIM process to fabricate SiC preform and the infiltration of SiC preform by Al alloys have been discussed in detail. Additionally, the properties of prepared SiCp/Al composites have also been given research and evaluation.

  14. Highly sensitive strain sensors based on fragmentized carbon nanotube/polydimethylsiloxane composites.

    Science.gov (United States)

    Gao, Yang; Fang, Xiaoliang; Tan, Jianping; Lu, Ting; Pan, Likun; Xuan, Fuzhen

    2018-06-08

    Wearable strain sensors based on nanomaterial/elastomer composites have potential applications in flexible electronic skin, human motion detection, human-machine interfaces, etc. In this research, a type of high performance strain sensors has been developed using fragmentized carbon nanotube/polydimethylsiloxane (CNT/PDMS) composites. The CNT/PDMS composites were ground into fragments, and a liquid-induced densification method was used to fabricate the strain sensors. The strain sensors showed high sensitivity with gauge factors (GFs) larger than 200 and a broad strain detection range up to 80%, much higher than those strain sensors based on unfragmentized CNT/PDMS composites (GF sensors is ascribed to the sliding of individual fragmentized-CNT/PDMS-composite particles during mechanical deformation, which causes significant resistance change in the strain sensors. The strain sensors can differentiate mechanical stimuli and monitor various human body motions, such as bending of the fingers, human breathing, and blood pulsing.

  15. Highly flexible and robust N-doped SiC nanoneedle field emitters

    KAUST Repository

    Chen, Shanliang

    2015-01-23

    Flexible field emission (FE) emitters, whose unique advantages are lightweight and conformable, promise to enable a wide range of technologies, such as roll-up flexible FE displays, e-papers and flexible light-emitting diodes. In this work, we demonstrate for the first time highly flexible SiC field emitters with low turn-on fields and excellent emission stabilities. n-Type SiC nanoneedles with ultra-sharp tips and tailored N-doping levels were synthesized via a catalyst-assisted pyrolysis process on carbon fabrics by controlling the gas mixture and cooling rate. The turn-on field, threshold field and current emission fluctuation of SiC nanoneedle emitters with an N-doping level of 7.58 at.% are 1.11 V μm-1, 1.55 V μm-1 and 8.1%, respectively, suggesting the best overall performance for such flexible field emitters. Furthermore, characterization of the FE properties under repeated bending cycles and different bending states reveal that the SiC field emitters are mechanically and electrically robust with unprecedentedly high flexibility and stabilities. These findings underscore the importance of concurrent morphology and composition controls in nanomaterial synthesis and establish SiC nanoneedles as the most promising candidate for flexible FE applications. © 2015 Nature Publishing Group All rights reserved.

  16. Highly flexible and robust N-doped SiC nanoneedle field emitters

    KAUST Repository

    Chen, Shanliang; Ying, Pengzhan; Wang, Lin; Wei, Guodong; Gao, Fengmei; Zheng, Jinju; Shang, Minhui; Yang, Zuobao; Yang, Weiyou; Wu, Tao

    2015-01-01

    Flexible field emission (FE) emitters, whose unique advantages are lightweight and conformable, promise to enable a wide range of technologies, such as roll-up flexible FE displays, e-papers and flexible light-emitting diodes. In this work, we demonstrate for the first time highly flexible SiC field emitters with low turn-on fields and excellent emission stabilities. n-Type SiC nanoneedles with ultra-sharp tips and tailored N-doping levels were synthesized via a catalyst-assisted pyrolysis process on carbon fabrics by controlling the gas mixture and cooling rate. The turn-on field, threshold field and current emission fluctuation of SiC nanoneedle emitters with an N-doping level of 7.58 at.% are 1.11 V μm-1, 1.55 V μm-1 and 8.1%, respectively, suggesting the best overall performance for such flexible field emitters. Furthermore, characterization of the FE properties under repeated bending cycles and different bending states reveal that the SiC field emitters are mechanically and electrically robust with unprecedentedly high flexibility and stabilities. These findings underscore the importance of concurrent morphology and composition controls in nanomaterial synthesis and establish SiC nanoneedles as the most promising candidate for flexible FE applications. © 2015 Nature Publishing Group All rights reserved.

  17. Behavior of quenched and tempered steels under high strain rate compression loading

    International Nuclear Information System (INIS)

    Meyer, L.W.; Seifert, K.; Abdel-Malek, S.

    1997-01-01

    Two quenched and tempered steels were tested under compression loading at strain rates of ε = 2.10 2 s -1 and ε = 2.10 3 s -1 . By applying the thermal activation theory, the flow stress at very high strain rates of 10 5 to 10 6 s -1 is derived from low temperature and high strain rate tests. Dynamic true stress - true strain behaviour presents, that stress increases with increasing strain until a maximum, then it decreases. Because of the adiabatic process under dynamic loading the maximum flow stress will occur at a lower strain if the strain rate is increased. Considering strain rate, strain hardening, strain rate hardening and strain softening, a constitutive equation with different additive terms is successfully used to describe the behaviour of material under dynamic compression loading. Results are compared with other models of constitutive equations. (orig.)

  18. In situ observation of mechanical damage within a SiC-SiC ceramic matrix composite

    Energy Technology Data Exchange (ETDEWEB)

    Saucedo-Mora, L. [Institute Eduardo Torroja for Construction Sciences-CSIC, Madrid (Spain); Department of Materials, University of Oxford (United Kingdom); Lowe, T. [Manchester X-ray Imaging Facility, The University of Manchester (United Kingdom); Zhao, S. [Department of Materials, University of Oxford (United Kingdom); Lee, P.D. [Research Complex at Harwell, Rutherford Appleton Laboratory (United Kingdom); Mummery, P.M. [School of Mechanical, Aerospace and Civil Engineering, The University of Manchester (United Kingdom); Marrow, T.J., E-mail: james.marrow@materials.ox.ac.uk [Department of Materials, University of Oxford (United Kingdom)

    2016-12-01

    SiC-SiC ceramic matrix composites are candidate materials for fuel cladding in Generation IV nuclear fission reactors and as accident tolerant fuel clad in current generation plant. Experimental methods are needed that can detect and quantify the development of mechanical damage, to support modelling and qualification tests for these critical components. In situ observations of damage development have been obtained of tensile and C-ring mechanical test specimens of a braided nuclear grade SiC-SiC ceramic composite tube, using a combination of ex situ and in situ computed X-ray tomography observation and digital volume correlation analysis. The gradual development of damage by matrix cracking and also the influence of non-uniform loading are examined. - Highlights: • X-ray tomography with digital volume correlation measures 3D deformation in situ. • Cracking and damage in the microstructure can be detected using the strain field. • Fracture can initiate from the monolithic coating of a SiC-SiC ceramic composite.

  19. In situ observation of mechanical damage within a SiC-SiC ceramic matrix composite

    International Nuclear Information System (INIS)

    Saucedo-Mora, L.; Lowe, T.; Zhao, S.; Lee, P.D.; Mummery, P.M.; Marrow, T.J.

    2016-01-01

    SiC-SiC ceramic matrix composites are candidate materials for fuel cladding in Generation IV nuclear fission reactors and as accident tolerant fuel clad in current generation plant. Experimental methods are needed that can detect and quantify the development of mechanical damage, to support modelling and qualification tests for these critical components. In situ observations of damage development have been obtained of tensile and C-ring mechanical test specimens of a braided nuclear grade SiC-SiC ceramic composite tube, using a combination of ex situ and in situ computed X-ray tomography observation and digital volume correlation analysis. The gradual development of damage by matrix cracking and also the influence of non-uniform loading are examined. - Highlights: • X-ray tomography with digital volume correlation measures 3D deformation in situ. • Cracking and damage in the microstructure can be detected using the strain field. • Fracture can initiate from the monolithic coating of a SiC-SiC ceramic composite.

  20. Comparison of Biochemical Activities between High and Low Lipid-Producing Strains of Mucor circinelloides: An Explanation for the High Oleaginicity of Strain WJ11.

    Directory of Open Access Journals (Sweden)

    Xin Tang

    Full Text Available The oleaginous fungus, Mucor circinelloides, is one of few fungi that produce high amounts of γ-linolenic acid (GLA; however, it usually only produces <25% lipid. Nevertheless, a new strain (WJ11 isolated in this laboratory can produce lipid up to 36% (w/w cell dry weight (CDW. We have investigated the potential mechanism of high lipid accumulation in M. circinelloides WJ11 by comparative biochemical analysis with a low lipid-producing strain, M. circinelloides CBS 277.49, which accumulates less than 15% (w/w lipid. M. circinelloides WJ11 produced more cell mass than that of strain CBS 277.49, although with slower glucose consumption. In the lipid accumulation phase, activities of glucose-6-phosphate dehydrogenase and 6-phosphogluconate dehydrogenase in strain WJ11 were greater than in CBS 277.49 by 46% and 17%, respectively, and therefore may provide more NADPH for fatty acid biosynthesis. The activities of NAD+:isocitrate dehydrogenase and NADP+:isocitrate dehydrogenase, however, were 43% and 54%, respectively, lower in WJ11 than in CBS 277.49 and may retard the tricarboxylic acid cycle and thereby provide more substrate for ATP:citrate lyase (ACL to produce acetyl-CoA. Also, the activities of ACL and fatty acid synthase in the high lipid-producing strain, WJ11, were 25% and 56%, respectively, greater than in strain CBS 277.49. These enzymes may therefore cooperatively regulate the fatty acid biosynthesis in these two strains.

  1. Comparison of Biochemical Activities between High and Low Lipid-Producing Strains of Mucor circinelloides: An Explanation for the High Oleaginicity of Strain WJ11.

    Science.gov (United States)

    Tang, Xin; Chen, Haiqin; Chen, Yong Q; Chen, Wei; Garre, Victoriano; Song, Yuanda; Ratledge, Colin

    2015-01-01

    The oleaginous fungus, Mucor circinelloides, is one of few fungi that produce high amounts of γ-linolenic acid (GLA); however, it usually only produces <25% lipid. Nevertheless, a new strain (WJ11) isolated in this laboratory can produce lipid up to 36% (w/w) cell dry weight (CDW). We have investigated the potential mechanism of high lipid accumulation in M. circinelloides WJ11 by comparative biochemical analysis with a low lipid-producing strain, M. circinelloides CBS 277.49, which accumulates less than 15% (w/w) lipid. M. circinelloides WJ11 produced more cell mass than that of strain CBS 277.49, although with slower glucose consumption. In the lipid accumulation phase, activities of glucose-6-phosphate dehydrogenase and 6-phosphogluconate dehydrogenase in strain WJ11 were greater than in CBS 277.49 by 46% and 17%, respectively, and therefore may provide more NADPH for fatty acid biosynthesis. The activities of NAD+:isocitrate dehydrogenase and NADP+:isocitrate dehydrogenase, however, were 43% and 54%, respectively, lower in WJ11 than in CBS 277.49 and may retard the tricarboxylic acid cycle and thereby provide more substrate for ATP:citrate lyase (ACL) to produce acetyl-CoA. Also, the activities of ACL and fatty acid synthase in the high lipid-producing strain, WJ11, were 25% and 56%, respectively, greater than in strain CBS 277.49. These enzymes may therefore cooperatively regulate the fatty acid biosynthesis in these two strains.

  2. Onset temperature for Si nanostructure growth on Si substrate during high vacuum electron beam annealing.

    Science.gov (United States)

    Fang, F; Markwitz, A

    2009-05-01

    Silicon nanostructures, called Si nanowhiskers, are successfully synthesized on Si(100) substrate by high vacuum electron beam annealing. The onset temperature and duration needed for the Si nanowhiskers to grow was investigated. It was found that the onset and growth morphology of Si nanowhiskers strongly depend on the annealing temperature and duration applied in the annealing cycle. The onset temperature for nanowhisker growth was determined as 680 degrees C using an annealing duration of 90 min and temperature ramps of +5 degrees C s(-1) for heating and -100 degrees C s(-1) for cooling. Decreasing the annealing time at peak temperature to 5 min required an increase in peak temperature to 800 degrees C to initiate the nanowhisker growth. At 900 degrees C the duration for annealing at peak temperature can be set to 0 s to grow silicon nanowhiskers. A correlation was found between the variation in annealing temperature and duration and the nanowhisker height and density. Annealing at 900 degrees C for 0 s, only 2-3 nanowhiskers (average height 2.4 nm) grow on a surface area of 5 x 5 microm, whereas more than 500 nanowhiskers with an important average height of 4.6 nm for field emission applications grow on the same surface area for a sample annealed at 970 degrees C for 0 s. Selected results are presented showing the possibility of controlling the density and height of Si nanowhisker growth for field emission applications by applying different annealing temperature and duration.

  3. Standard guide for high-temperature static strain measurement

    CERN Document Server

    American Society for Testing and Materials. Philadelphia

    1998-01-01

    1.1 This guide covers the selection and application of strain gages for the measurement of static strain up to and including the temperature range from 425 to 650°C (800 to 1200°F). This guide reflects some current state-of-the-art techniques in high temperature strain measurement, and will be expanded and updated as new technology develops. 1.2 This practice assumes that the user is familiar with the use of bonded strain gages and associated signal conditioning and instrumentation as discussed in Refs. (1) and (2). The strain measuring systems described are those that have proven effective in the temperature range of interest and were available at the time of issue of this practice. It is not the intent of this practice to limit the user to one of the gage types described nor is it the intent to specify the type of system to be used for a specific application. However, in using any strain measuring system including those described, the proposer must be able to demonstrate the capability of the proposed sy...

  4. HIVsirDB: a database of HIV inhibiting siRNAs.

    Directory of Open Access Journals (Sweden)

    Atul Tyagi

    Full Text Available Human immunodeficiency virus (HIV is responsible for millions of deaths every year. The current treatment involves the use of multiple antiretroviral agents that may harm patients due to their toxic nature. RNA interference (RNAi is a potent candidate for the future treatment of HIV, uses short interfering RNA (siRNA/shRNA for silencing HIV genes. In this study, attempts have been made to create a database HIVsirDB of siRNAs responsible for silencing HIV genes.HIVsirDB is a manually curated database of HIV inhibiting siRNAs that provides comprehensive information about each siRNA or shRNA. Information was collected and compiled from literature and public resources. This database contains around 750 siRNAs that includes 75 partially complementary siRNAs differing by one or more bases with the target sites and over 100 escape mutant sequences. HIVsirDB structure contains sixteen fields including siRNA sequence, HIV strain, targeted genome region, efficacy and conservation of target sequences. In order to facilitate user, many tools have been integrated in this database that includes; i siRNAmap for mapping siRNAs on target sequence, ii HIVsirblast for BLAST search against database, iii siRNAalign for aligning siRNAs.HIVsirDB is a freely accessible database of siRNAs which can silence or degrade HIV genes. It covers 26 types of HIV strains and 28 cell types. This database will be very useful for developing models for predicting efficacy of HIV inhibiting siRNAs. In summary this is a useful resource for researchers working in the field of siRNA based HIV therapy. HIVsirDB database is accessible at http://crdd.osdd.net/raghava/hivsir/.

  5. Surface segregation of Ge during Si growth on Ge/Si(0 0 1) at low temperature observed by high-resolution RBS

    International Nuclear Information System (INIS)

    Nakajima, K.; Hosaka, N.; Hattori, T.; Kimura, K.

    2002-01-01

    The Si/Ge/Si(0 0 1) multilayer with about 1 ML Ge layer is fabricated by evaporating Si overlayer on a Ge/Si(0 0 1) surface at 20-300 deg. C. The depth profile of the Ge atoms is observed by high-resolution Rutherford backscattering spectroscopy to investigate the possibility of Ge delta doping in Si. The observed profile of the Ge atoms spreads over several atomic layers even at 20 deg. C and a significant amount of Ge is located in the surface layer at higher temperatures. The results at 20-150 deg. C are well explained with two-layer model for surface segregation of the Ge atoms and the segregation rates are estimated. The activation energy for surface segregation of Ge atoms in amorphous Si is evaluated to be 0.035 eV, which is much smaller than the value reported for Si deposition at 500 deg. C. The small activation energy suggests that local heating during the Si deposition is dominant at low temperature

  6. High strain rate studies in rock

    International Nuclear Information System (INIS)

    Grady, D.

    1977-01-01

    Dynamic compression studies using high velocity impact are usually considered to involve a catastrophic process of indeterminate loading rate by which a material is brough to a shock compressed state. Although this is frequently the case, methods are also available to control the rate of strain during the shock compression process. One of the most accurate of these methods makes use of the anomalous nonlinear elastic property of glass to transform an initial shock or step wave input into a ramp wave of known amplitude and duration. Fused silica is the most carefully calibrated material for this purpose and, when placed between the test specimen and the impact projectile, can provide loading strain rates in the range of 10 4 /s to 10 6 /s for final stress states of approximately 3.9 GPa or less.Ramp wave compression experiments have been conducted on dolomite at strain rates of 3 x 10 4 /s. Both initial yielding and subsequent deformation at this strain rate agrees well with previous shock wave studies (epsilon-dotapprox.10 6 /s) and differs substantially from quasi-static measurements (epsilon-dotapprox.10 -4 /s). The ramp wave studies have also uncovered a pressure-induced phase transition in dolomite initiating at 4.0 GPa

  7. Strain of laser annealed silicon surfaces

    Science.gov (United States)

    Nemanich, R. J.; Haneman, D.

    1982-05-01

    High resolution Raman scattering measurements have been carried out on pulse and continuous-wave laser annealed silicon samples with various surface preparations. These included polished and ion-bombarded wafers, and saw-cut crystals. The pulse annealing treatments were carried out in ultrahigh vacuum and in air. The residual strain was inferred from the frequency shift of the first-order Raman active mode of Si, and was detectable in the range 10-2-10-3 in all except the polished samples.

  8. Variable magnification dual lens electron holography for semiconductor junction profiling and strain mapping

    International Nuclear Information System (INIS)

    Wang, Y.Y.; Li, J.; Domenicucci, A.; Bruley, J.

    2013-01-01

    Dual lens operation for electron holography, which was developed previously (Wang et al., Ultramicroscopy 101 (2004) 63–72; US patent: 7,015,469 B2 (2006)), is re-investigated for bright field (junction profiling) and dark field (strain mapping) electron holography using FEI instrumentation (i.e. F20 and Titan). It is found that dual lens operation provides a wide operational range for electron holography. In addition, the dark field image tilt increases at high objective lens current to include Si 〈0 0 4〉 diffraction spot. Under the condition of high spatial resolution (1 nm fringe spacing), a large field of view (450 nm), and high fringe contrast (26%) with dual lens operation, a junction map is obtained and strain maps of Si device on 〈2 2 0〉 and 〈0 0 4〉 diffraction are acquired. In this paper, a fringe quality number, N′, which is number of fringe times fringe contrast, is proposed to estimate the quality of an electron hologram and mathematical reasoning for the N′ number is provided. -- Highlights: ► Dual lens electron holography is implemented on FEI instruments (Titan and F20). ► Wide range of field of view (0.1–0.9 μm) and fringe spacing (0.5–6 nm) is achieved. ► Fringe quality number is proposed to quantify the quality of an electron hologram. ► Junction map at high spatial resolution is provided. ► Strain maps along 〈2 2 0〉 and 〈0 0 4〉 direction of Si by dark field electron holography are reported.

  9. Oxidation Study of an Ultra High Temperature Ceramic Coatings Based on HfSiCN

    Science.gov (United States)

    Sacksteder, Dagny; Waters, Deborah L.; Zhu, Dongming

    2018-01-01

    High temperature fiber-reinforced ceramic matrix composites (CMCs) are important for aerospace applications because of their low density, high strength, and significantly higher-temperature capabilities compared to conventional metallic systems. The use of the SiCf/SiC and Cf/SiC CMCs allows the design of lighter-weight, more fuel efficient aircraft engines and also more advanced spacecraft airframe thermal protection systems. However, CMCs have to be protected with advanced environmental barrier coatings when they are incorporated into components for the harsh environments such as in aircraft engine or spacecraft applications. In this study, high temperature oxidation kinetics of an advanced HfSiCN coating on Cf/SiC CMC substrates were investigated at 1300 C, 1400 C, and 1500 C by using thermogravimetric analysis (TGA). The coating oxidation reaction parabolic rate constant and activation energy were estimated from the experimental results. The oxidation reaction studies showed that the coatings formed the most stable, predominant HfSiO4-HfO2 scales at 1400 C. A peroxidation test at 1400 C then followed by subsequent oxidation tests at various temperatures also showed more adherent scales and slower scale growth because of reduced the initial transient oxidation stage and increased HfSiO4-HfO2 content in the scales formed on the HfSiCN coatings.

  10. Split-Hopkinson Pressure Bar: an experimental technique for high strain rate tests

    International Nuclear Information System (INIS)

    Sharma, S.; Chavan, V.M.; Agrawal, R.G.; Patel, R.J.; Kapoor, R.; Chakravartty, J.K.

    2011-06-01

    Mechanical properties of materials are, in general, strain rate dependent, i.e. they respond differently at quasi-static and higher strain rate condition. The Split-Hopkinson Pressure Bar (SHPB), also referred to as Kolsky bar is a commonly used setup for high strain rate testing. SHPB is suitable for high strain rate test in strain rate range of 10 2 to 10 4 s -1 . These high strain rate data are required for safety and structural integrity assessment of structures subjected to dynamic loading. As high strain rate data are not easily available in open literature need was felt for setting up such high strain rate testing machine. SHPB at BARC was designed and set-up inhouse jointly by Refuelling Technology Division and Mechanical Metallurgy Division, at Hall no. 3, BARC. A number of conceptual designs for SHPB were thought of and the optimized design was worked out. The challenges of precision tolerance, straightness in bars and design and proper functioning of pneumatic gun were met. This setup has been used extensively to study the high strain rate material behavior. This report introduces the SHPB in general and the setup at BARC in particular. The history of development of SHPB, the basic formulations of one dimensional wave propagation, the relations between the wave velocity, particle velocity and elastic strain in a one dimensional bar, and the equations used to obtain the final stress vs. strain curves are described. The calibration of the present setup, the pre-test calculations and the posttest analysis of data are described. Finally some of the experimental results on different materials such as Cu, SS305, SA516 and Zr, at room temperature and elevated temperatures are presented. (author)

  11. Stable and low contact resistance electrical contacts for high temperature SiGe thermoelectric generators

    KAUST Repository

    Zhang, Bo

    2018-04-14

    The thermal stability and contact resistance of TaAlN thin films as electrical contacts to SiGe thermoelectric elements are reported. We demonstrate that a sharp interface is maintained after the device annealed at 800°C for over 100h, indicating that no interdiffusion takes place between TaAlN and SiGe. A specific contact resistivity of (2.1±1.3)×10−6Ω-cm2 for p-type SiGe and (2.8±1.6)×10−5 Ω-cm2 for n-type SiGe is demonstrated after the high temperature annealing. These results show that TaAlN is a promising contact material for high temperature thermoelectrics such as SiGe.

  12. High-Tg TOPAS mPOF strain sensing at 110 degrees

    DEFF Research Database (Denmark)

    Nielsen, Kristian; Markos, Christos; Stefani, Alessio

    2013-01-01

    We demonstrate a mPOF made of high-Tg TOPAS grade 5013 with Tg = 135°C. We inscribe FBGs into the fiber and demonstrate strain sensing of 2.5% strain at 98°C, further we also demonstrate strain sensing at a record high temperature of 110°C. The Bragg wavelengths of the FBGs are around 860 nm, whe...... the propagation loss is 5.1dB/m, close to the fiber loss minimum of 3.67dB/m at 787nm....

  13. High-quality GaN epitaxially grown on Si substrate with serpentine channels

    Science.gov (United States)

    Wei, Tiantian; Zong, Hua; Jiang, Shengxiang; Yang, Yue; Liao, Hui; Xie, Yahong; Wang, Wenjie; Li, Junze; Tang, Jun; Hu, Xiaodong

    2018-06-01

    A novel serpentine-channeled mask was introduced to Si substrate for low-dislocation GaN epitaxial growth and the fully coalesced GaN film on the masked Si substrate was achieved for the first time. Compared with the epitaxial lateral overgrowth (ELOG) growth method, this innovative mask only requires one-step epitaxial growth of GaN which has only one high-dislocation region per mask opening. This new growth method can effectively reduce dislocation density, thus improving the quality of GaN significantly. High-quality GaN with low dislocation density ∼2.4 × 107 cm-2 was obtained, which accounted for about eighty percent of the GaN film in area. This innovative technique is promising for the growth of high-quality GaN templates and the subsequent fabrication of high-performance GaN-based devices like transistors, laser diodes (LDs), and light-emitting diodes (LEDs) on Si substrate.

  14. X-ray characterization of Ge dots epitaxially grown on nanostructured Si islands on silicon-on-insulator substrates.

    Science.gov (United States)

    Zaumseil, Peter; Kozlowski, Grzegorz; Yamamoto, Yuji; Schubert, Markus Andreas; Schroeder, Thomas

    2013-08-01

    On the way to integrate lattice mismatched semiconductors on Si(001), the Ge/Si heterosystem was used as a case study for the concept of compliant substrate effects that offer the vision to be able to integrate defect-free alternative semiconductor structures on Si. Ge nanoclusters were selectively grown by chemical vapour deposition on Si nano-islands on silicon-on-insulator (SOI) substrates. The strain states of Ge clusters and Si islands were measured by grazing-incidence diffraction using a laboratory-based X-ray diffraction technique. A tensile strain of up to 0.5% was detected in the Si islands after direct Ge deposition. Using a thin (∼10 nm) SiGe buffer layer between Si and Ge the tensile strain increases to 1.8%. Transmission electron microscopy studies confirm the absence of a regular grid of misfit dislocations in such structures. This clear experimental evidence for the compliance of Si nano-islands on SOI substrates opens a new integration concept that is not only limited to Ge but also extendable to semiconductors like III-V and II-VI materials.

  15. High-speed Si/GeSi hetero-structure Electro Absorption Modulator.

    Science.gov (United States)

    Mastronardi, L; Banakar, M; Khokhar, A Z; Hattasan, N; Rutirawut, T; Bucio, T Domínguez; Grabska, K M; Littlejohns, C; Bazin, A; Mashanovich, G; Gardes, F Y

    2018-03-19

    The ever-increasing demand for integrated, low power interconnect systems is pushing the bandwidth density of CMOS photonic devices. Taking advantage of the strong Franz-Keldysh effect in the C and L communication bands, electro-absorption modulators in Ge and GeSi are setting a new standard in terms of device footprint and power consumption for next generation photonics interconnect arrays. In this paper, we present a compact, low power electro-absorption modulator (EAM) Si/GeSi hetero-structure based on an 800 nm SOI overlayer with a modulation bandwidth of 56 GHz. The device design and fabrication tolerant process are presented, followed by the measurement analysis. Eye diagram measurements show a dynamic ER of 5.2 dB at a data rate of 56 Gb/s at 1566 nm, and calculated modulator power is 44 fJ/bit.

  16. Structural transition in Ge growth on Si mediated by sub-monolayer carbon

    International Nuclear Information System (INIS)

    Itoh, Yuhki; Hatakeyama, Shinji; Washio, Katsuyoshi

    2014-01-01

    Ge growth on Si mediated by sub-monolayer (ML) carbon (C) covered directly on Si surface was studied. C and Ge layers were grown on Si(100) substrates by using solid-source molecular beam epitaxy system. After Si surface cleaning by heating up to 900 °C, C up to 0.45 ML was deposited and then 10 to 15-nm-thick Ge were deposited. Reflection high energy electron diffraction patterns after sub-ML C deposition changed from streaks to halo depending on C coverage. The Ge dots were formed at low C coverage of 0.08–0.16 ML. Octagonal dots had three same facet planes of (001), (111), and (113) and consisted of the mixture of single crystals with dislocations along [111]. This is due to the event that the incorporation of small amount of C into Si surface gave rise to a strain. As a result, Si surface weaved Si(100) 2 × 1 with Si-C c(4 × 4) and Ge atoms adsorbed selectively on Si(100) 2 × 1 forming dome-shaped dots. A drastic structural transition from dots to films occurred at C coverage of 0.20 ML. The Ge films, consisting of relaxed poly- and amorphous-Ge, formed at C coverage of 0.20–0.45 ML. This is because a large amount of Si-C bonds induced strong compressive strain and surface roughening. In consequence, the growth mode changed from three-dimensional (3D) to 2D due to the reduction of Ge diffusion length. - Highlights: • Ge growth on Si mediated by sub-monolayer (ML) carbon (C) was studied. • Ge dots were formed at low C coverage of 0.08–0.16 ML. • Drastic structural transition from dots to films occurred at C coverage of 0.20 ML. • Ge films consisted of relaxed poly- and amorphous-Ge at C coverage of 0.20–0.45 ML

  17. Templated self-assembly of SiGe quantum dots

    Energy Technology Data Exchange (ETDEWEB)

    Dais, Christian

    2009-08-19

    This PhD thesis reports on the fabrication and characterization of exact aligned SiGe quantum dot structures. In general, SiGe quantum dots which nucleate via the Stranski-Krastanov growth mode exhibit broad size dispersion and nucleate randomly on the surface. However, to tap the full potential of SiGe quantum dots it is necessary to control the positioning and size of the dots on a nanometer length, e.g. for electronically addressing of individual dots. This can be realized by so-called templated self-assembly, which combines top-down lithography with bottom-up selfassembly. In this process the lithographically defined pits serve as pre-defined nucleation points for the epitaxially grown quantum dots. In this thesis, extreme ultraviolet interference lithography at a wavelength of e=13.4 nm is employed for prepatterning of the Si substrates. This technique allows the precise and fast fabrication of high-resolution templates with a high degree of reproducibility. The subsequent epitaxial deposition is either performed by molecular beam epitaxy or low-pressure chemical vapour deposition. It is shown that the dot nucleation on pre-patterned substrates depends strongly on the lithography parameters, e.g. size and periodicity of the pits, as well as on the epitaxy parameters, e.g. growth temperature or material coverage. The interrelations are carefully analyzed by means of scanning force microscopy, transmission electron microscopy and X-ray diffraction measurements. Provided that correct template and overgrowth parameters are chosen, perfectly aligned and uniform SiGe quantum dot arrays of different period, size as well as symmetry are created. In particular, the quantum dot arrays with the so far smallest period (35 nm) and smallest size dispersion are fabricated in this thesis. Furthermore, the strain fields of the underlying quantum dots allow the fabrication of vertically aligned quantum dot stacks. Combining lateral and vertical dot alignment results in three

  18. Wafer-level MOCVD growth of AlGaN/GaN-on-Si HEMT structures with ultra-high room temperature 2DEG mobility

    Directory of Open Access Journals (Sweden)

    Xiaoqing Xu

    2016-11-01

    Full Text Available In this work, we investigate the influence of growth temperature, impurity concentration, and metal contact structure on the uniformity and two-dimensional electron gas (2DEG properties of AlGaN/GaN high electron mobility transistor (HEMT structure grown by metal-organic chemical vapor deposition (MOCVD on 4-inch Si substrate. High uniformity of 2DEG mobility (standard deviation down to 0.72% across the radius of the 4-inch wafer has been achieved, and 2DEG mobility up to 1740.3 cm2/V⋅s at room temperature has been realized at low C and O impurity concentrations due to reduced ionized impurity scattering. The 2DEG mobility is further enhanced to 2161.4 cm2/V⋅s which is comparable to the highest value reported to date when the contact structure is switched from a square to a cross pattern due to reduced piezoelectric scattering at lower residual strain. This work provides constructive insights and promising results to the field of wafer-scale fabrication of AlGaN/GaN HEMT on Si.

  19. Two-dimensional threshold voltage analytical model of DMG strained-silicon-on-insulator MOSFETs

    International Nuclear Information System (INIS)

    Li Jin; Liu Hongxia; Li Bin; Cao Lei; Yuan Bo

    2010-01-01

    For the first time, a simple and accurate two-dimensional analytical model for the surface potential variation along the channel in fully depleted dual-material gate strained-Si-on-insulator (DMG SSOI) MOSFETs is developed. We investigate the improved short channel effect (SCE), hot carrier effect (HCE), drain-induced barrier-lowering (DIBL) and carrier transport efficiency for the novel structure MOSFET. The analytical model takes into account the effects of different metal gate lengths, work functions, the drain bias and Ge mole fraction in the relaxed SiGe buffer. The surface potential in the channel region exhibits a step potential, which can suppress SCE, HCE and DIBL. Also, strained-Si and SOI structure can improve the carrier transport efficiency, with strained-Si being particularly effective. Further, the threshold voltage model correctly predicts a 'rollup' in threshold voltage with decreasing channel length ratios or Ge mole fraction in the relaxed SiGe buffer. The validity of the two-dimensional analytical model is verified using numerical simulations. (semiconductor devices)

  20. The H_6_0Si_6C_5_4 heterofullerene as high-capacity hydrogen storage medium

    International Nuclear Information System (INIS)

    Yong, Yongliang; Zhou, Qingxiao; Li, Xiaohong; Lv, Shijie

    2016-01-01

    With the great success in Si atoms doped C_6_0 fullerene and the well-established methods for synthesis of hydrogenated carbon fullerenes, this leads naturally to wonder whether Si-doped fullerenes are possible for special applications such as hydrogen storage. Here by using first-principles calculations, we design a novel high-capacity hydrogen storage material, H_6_0Si_6C_5_4 heterofullerene, and confirm its geometric stability. It is found that the H_6_0Si_6C_5_4 heterofullerene has a large HOMO-LUMO gap and a high symmetry, indicating it is high chemically stable. Further, our finite temperature simulations indicate that the H_6_0Si_6C_5_4 heterofullerene is thermally stable at 300 K. H_2 molecules would enter into the cage from the Si-hexagon ring because of lower energy barrier. Through our calculation, a maximum of 21 H_2 molecules can be stored inside the H_6_0Si_6C_5_4 cage in molecular form, leading to a gravimetric density of 11.11 wt% for 21H_2@H_6_0Si_6C_5_4 system, which suggests that the hydrogenated Si_6C_5_4 heterofullerene could be suitable as a high-capacity hydrogen storage material.

  1. Differential phase-contrast dark-field electron holography for strain mapping

    Energy Technology Data Exchange (ETDEWEB)

    Denneulin, Thibaud, E-mail: thibaud.denneulin@cemes.fr; Houdellier, Florent, E-mail: florent.houdellier@cemes.fr; Hÿtch, Martin, E-mail: martin.hytch@cemes.fr

    2016-01-15

    Strain mapping is an active area of research in transmission electron microscopy. Here we introduce a dark-field electron holographic technique that shares several aspects in common with both off-axis and in-line holography. Two incident and convergent plane waves are produced in front of the specimen thanks to an electrostatic biprism in the condenser system of a transmission electron microscope. The interference of electron beams diffracted by the illuminated crystal is then recorded in a defocused plane. The differential phase recovered from the hologram is directly proportional to the strain in the sample. The strain can be quantified if the separation of the images due to the defocus is precisely determined. The present technique has the advantage that the derivative of the phase is measured directly which allows us to avoid numerical differentiation. The distribution of the noise in the reconstructed strain maps is isotropic and more homogeneous. This technique was used to investigate different samples: a Si/SiGe superlattice, transistors with SiGe source/drain and epitaxial PZT thin films. - Highlights: • DPC dark-field electron holography is set up with a condenser biprism. • The DPC phase is directly proportional to the lattice deformation. • The technique is illustrated with epitaxial SiGe and Pb(Zr,Ti)O{sub 3} samples. • The defocus allows us to control the strain sensitivity and the spatial resolution. • A solution is proposed to setup this technique with a post-specimen biprism.

  2. From plastic to elastic stress relaxation in highly mismatched SiGe/Si heterostructures

    International Nuclear Information System (INIS)

    Isa, Fabio; Salvalaglio, Marco; Dasilva, Yadira Arroyo Rojas; Jung, Arik; Isella, Giovanni; Erni, Rolf; Niedermann, Philippe; Gröning, Pierangelo; Montalenti, Francesco; Känel, Hans von

    2016-01-01

    We present a detailed experimental and theoretical analysis of the epitaxial stress relaxation process in micro-structured compositionally graded alloys. We focus on the pivotal SiGe/Si(001) system employing patterned Si substrates at the micrometre-size scale to address the distribution of threading and misfit dislocations within the heterostructures. SiGe alloys with linearly increasing Ge content were deposited by low energy plasma enhanced chemical vapour deposition resulting in isolated, tens of micrometre tall 3D crystals. We demonstrate that complete elastic relaxation is achieved by appropriate choice of the Ge compositional grading rate and Si pillar width. We investigate the nature and distribution of dislocations along the [001] growth direction in SiGe crystals by transmission electron microscopy, chemical defect etching and etch pit counting. We show that for 3 μm wide Si pillars and a Ge grading rate of 1.5% μm −1 , only misfit dislocations are present while their fraction is reduced for higher Ge grading rates and larger structures due to dislocation interactions. The experimental results are interpreted with the help of theoretical calculations based on linear elasticity theory describing the competition between purely elastic and plastic stress relaxation with increasing crystal width and Ge compositional grading rate.

  3. To Crack or Not to Crack: Strain in High Temperature Superconductors

    International Nuclear Information System (INIS)

    Godeke, Arno

    2007-01-01

    Round wire Bi 2212 is emerging as a viable successor of Nb3Sn in High Energy Physics and Nuclear Magnetic Resonance, to generate magnetic fields that surpass the intrinsic limitations of Nb3Sn. Rather bold claims are made on achievable magnetic fields in applications using Bi 2212, due to the materials' estimated critical magnetic field of 100 Tor higher. High transport currents in high magnetic fields, however, lead to large stress on, and resulting large strain in the superconductor. The effect of strain on the critical properties of Bi-2212 is far from understood, and strain is, as with Nb3Sn, often treated as a secondary parameter in the design of superconducting magnets. Reversibility of the strain induced change of the critical surface of Nb3Sn, points to an electronic origin of the observed strain dependence. Record breaking high field magnets are enabled by virtue of such reversible behavior. Strain effects on the critical surface of Bi-2212, in contrast, are mainly irreversible and suggest a non-electronic origin of the observed strain dependence, which appears to be dominated by the formation of cracks in the superconductor volumes. A review is presented of available results on the effects of strain on the critical surface of Bi-2212, Bi-2223 and YBCO. It is shown how a generic behavior emerges for the (axial) strain dependence of the critical current density, and how the irreversible reduction of the critical current density is dominated by strain induced crack formation in the superconductor. From this generic model it becomes clear that magnets using high temperature superconductors will be strain limited far before the intrinsic magnetic field limitations will be approached, or possibly even before the magnetic field limitation of Nb3Sn can be surpassed. On a positive note, in a very promising recent result from NIST on the axial strain dependence of the critical current density in extremely well aligned YBCO, reversible behavior was observed. This

  4. Tattoo-Like Strain Gauges Based on Silicon Nano-Membranes

    Science.gov (United States)

    Lu, Nanshu

    2012-02-01

    This talk reports the in vivo measurement of tissue deformation through adhesive-free, conformable lamination of a tattoo-like elastic strain gauge consisted of piezoresistive silicon nano-membranes strategically integrated with tissue-like elastomeric substrates. The mechanical deformation in soft tissues cannot yet be directly quantified due to the lack of enabling tools. While stiff strain gauges for structural health monitoring have long existed, biological tissues are soft, curvilinear and highly deformable in contrast to civil or aerospace structures. An ultra-thin, ultra-soft, tattoo-like strain gauge that can conform to the convoluted surface of human body and stay attached during locomotion will be able to directly quantify tissue deformation without affecting the mechanical behavior of the tissue. While single crystalline silicon is known to have the highest gauge factor and best elastic response, it is intrinsically stiff and brittle. To achieve strain gauges with high compliance, high stretchability and reasonable sensitivity, single crystalline silicon nano-membranes will be transfer-printed onto polymeric support through carefully engineered stamps. The thickness and length of the Si strip will be chosen according to theoretical and numerical mechanics analysis which takes into account for the tradeoff between stretchability and sensitivity.

  5. Highly Sensitive and Very Stretchable Strain Sensor Based on a Rubbery Semiconductor.

    Science.gov (United States)

    Kim, Hae-Jin; Thukral, Anish; Yu, Cunjiang

    2018-02-07

    There is a growing interest in developing stretchable strain sensors to quantify the large mechanical deformation and strain associated with the activities for a wide range of species, such as humans, machines, and robots. Here, we report a novel stretchable strain sensor entirely in a rubber format by using a solution-processed rubbery semiconductor as the sensing material to achieve high sensitivity, large mechanical strain tolerance, and hysteresis-less and highly linear responses. Specifically, the rubbery semiconductor exploits π-π stacked poly(3-hexylthiophene-2,5-diyl) nanofibrils (P3HT-NFs) percolated in silicone elastomer of poly(dimethylsiloxane) to yield semiconducting nanocomposite with a large mechanical stretchability, although P3HT is a well-known nonstretchable semiconductor. The fabricated strain sensors exhibit reliable and reversible sensing capability, high gauge factor (gauge factor = 32), high linearity (R 2 > 0.996), and low hysteresis (degree of hysteresis wearable smart gloves. Systematic investigations in the materials design and synthesis, sensor fabrication and characterization, and mechanical analysis reveal the key fundamental and application aspects of the highly sensitive and very stretchable strain sensors entirely from rubbers.

  6. Angular dependence of Si3N4 etch rates and the etch selectivity of SiO2 to Si3N4 at different bias voltages in a high-density C4F8 plasma

    International Nuclear Information System (INIS)

    Lee, Jin-Kwan; Lee, Gyeo-Re; Min, Jae-Ho; Moon, Sang Heup

    2007-01-01

    The dependence of Si 3 N 4 etch rates and the etch selectivity of SiO 2 to Si 3 N 4 on ion-incident angles was studied for different bias voltages in a high-density C 4 F 8 plasma. A Faraday cage and specially designed substrate holders were used to accurately control the angles of incident ions on the substrate surface. The normalized etch yield (NEY), defined as the etch yield obtained at a given ion-incident angle normalized to that obtained on a horizontal surface, was unaffected by the bias voltage in Si 3 N 4 etching, but it increased with the bias voltage in SiO 2 etching in the range of -100 to -300 V. The NEY changed showing a maximum with an increase in the ion-incident angle in the etching of both substrates. In the Si 3 N 4 etching, a maximum NEY of 1.7 was obtained at 70 deg. in the above bias voltage range. However, an increase in the NEY at high ion-incident angles was smaller for SiO 2 than for Si 3 N 4 and, consequently, the etch selectivity of SiO 2 to Si 3 N 4 decreased with an increase in the ion-incident angle. The etch selectivity decreased to a smaller extent at high bias voltage because the NEY of SiO 2 had increased. The characteristic changes in the NEY for different substrates could be correlated with the thickness of a steady-state fluorocarbon (CF x ) film formed on the substrates

  7. Highly sensitive wearable strain sensor based on silver nanowires and nanoparticles

    Science.gov (United States)

    Shengbo, Sang; Lihua, Liu; Aoqun, Jian; Qianqian, Duan; Jianlong, Ji; Qiang, Zhang; Wendong, Zhang

    2018-06-01

    Here, we propose a highly sensitive and stretchable strain sensor based on silver nanoparticles and nanowires (Ag NPs and NWs), advancing the rapid development of electronic skin. To improve the sensitivity of strain sensors based on silver nanowires (Ag NWs), Ag NPs and NWs were added to polydimethylsiloxane (PDMS) as an aid filler. Silver nanoparticles (Ag NPs) increase the conductive paths for electrons, leading to the low resistance of the resulting sensor (14.9 Ω). The strain sensor based on Ag NPs and NWs showed strong piezoresistivity with a tunable gauge factor (GF) at 3766, and a change in resistance as the strain linearly increased from 0% to 28.1%. The high GF demonstrates the irreplaceable role of Ag NPs in the sensor. Moreover, the applicability of our high-performance strain sensor has been demonstrated by its ability to sense movements caused by human talking, finger bending, wrist raising and walking.

  8. A Facile and General Approach to Recoverable High-Strain Multishape Shape Memory Polymers.

    Science.gov (United States)

    Li, Xingjian; Pan, Yi; Zheng, Zhaohui; Ding, Xiaobin

    2018-03-01

    Fabricating a single polymer network with no need to design complex structures to achieve an ideal combination of tunable high-strain multiple-shape memory effects and highly recoverable shape memory property is a great challenge for the real applications of advanced shape memory devices. Here, a facile and general approach to recoverable high-strain multishape shape memory polymers is presented via a random copolymerization of acrylate monomers and a chain-extended multiblock copolymer crosslinker. As-prepared shape memory networks show a large width at the half-peak height of the glass transition, far wider than current classical multishape shape memory polymers. A combination of tunable high-strain multishape memory effect and as high as 1000% recoverable strain in a single chemical-crosslinking network can be obtained. To the best of our knowledge, this is the first thermosetting material with a combination of highly recoverable strain and tunable high-strain multiple-shape memory effects. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. High Performance Li4Ti5O12/Si Composite Anodes for Li-Ion Batteries

    Directory of Open Access Journals (Sweden)

    Chunhui Chen

    2015-08-01

    Full Text Available Improving the energy capacity of spinel Li4Ti5O12 (LTO is very important to utilize it as a high-performance Li-ion battery (LIB electrode. In this work, LTO/Si composites with different weight ratios were prepared and tested as anodes. The anodic and cathodic peaks from both LTO and silicon were apparent in the composites, indicating that each component was active upon Li+ insertion and extraction. The composites with higher Si contents (LTO:Si = 35:35 exhibited superior specific capacity (1004 mAh·g−1 at lower current densities (0.22 A·g−1 but the capacity deteriorated at higher current densities. On the other hand, the electrodes with moderate Si contents (LTO:Si = 50:20 were able to deliver stable capacity (100 mAh·g−1 with good cycling performance, even at a very high current density of 7 A·g−1. The improvement in specific capacity and rate performance was a direct result of the synergy between LTO and Si; the former can alleviate the stresses from volumetric changes in Si upon cycling, while Si can add to the capacity of the composite. Therefore, it has been demonstrated that the addition of Si and concentration optimization is an easy yet an effective way to produce high performance LTO-based electrodes for lithium-ion batteries.

  10. Highly Stretchable and Transparent Microfluidic Strain Sensors for Monitoring Human Body Motions.

    Science.gov (United States)

    Yoon, Sun Geun; Koo, Hyung-Jun; Chang, Suk Tai

    2015-12-16

    We report a new class of simple microfluidic strain sensors with high stretchability, transparency, sensitivity, and long-term stability with no considerable hysteresis and a fast response to various deformations by combining the merits of microfluidic techniques and ionic liquids. The high optical transparency of the strain sensors was achieved by introducing refractive-index matched ionic liquids into microfluidic networks or channels embedded in an elastomeric matrix. The microfluidic strain sensors offer the outstanding sensor performance under a variety of deformations induced by stretching, bending, pressing, and twisting of the microfluidic strain sensors. The principle of our microfluidic strain sensor is explained by a theoretical model based on the elastic channel deformation. In order to demonstrate its capability of practical usage, the simple-structured microfluidic strain sensors were performed onto a finger, wrist, and arm. The highly stretchable and transparent microfluidic strain sensors were successfully applied as potential platforms for distinctively monitoring a wide range of human body motions in real time. Our novel microfluidic strain sensors show great promise for making future stretchable electronic devices.

  11. Phonon populations by nanosecond-pulsed Raman scattering in Si

    International Nuclear Information System (INIS)

    Compaan, A.; Lee, M.C.; Trott, G.J.

    1985-01-01

    Since the first time-resolved Raman studies of phonon populations under pulsed-laser-annealing conditions, a number of cw Raman studies have been performed which provide a much improved basis for interpreting the pulsed Raman data. Here we present new pulsed Raman results and interpret them with reference to temperature-dependent resonance effects, high-carrier-density effects, phonon anharmonicity, and laser-induced strain effects. The pulsed Raman data: Stokes to anti-Stokes ratios, shift and shape of the first-order peak, and second-order spectra: indicate the existence of a phase in which the Raman signal disappears followed by a rapidly cooling solid which begins within 300 K of the 1685 K normal melting temperature of Si. We identify a major difficulty in pulsed Raman studies in Si to be the decrease in Raman intensity at high temperatures

  12. Magnetotransport, structural and optical characterization of p-type modulation doped heterostructures with high Ge content Si1-xGex channel grown by SS-MBE on Si1-yGey/Si(001) virtual substrates

    International Nuclear Information System (INIS)

    Myronov, M.

    2001-04-01

    This thesis is a report on experimental investigations of magnetotransport, structural and optical properties of p-type modulation doped (MOD) heterostructures with Si 1-x Ge x channel of high Ge content (0.6 1-y Ge y /Si(001) virtual substrate (VS). The active layers of MOD heterostructures were grown by solid source molecular beam epitaxy (SS-MBE). The VSs were grown either by SS-MBE or low-pressure chemical vapour deposition (LP-CVD). The influence of thermal annealing on magnetotransport, structural and optical properties of Si 1-x Ge x /Si 1-y Ge y heterostructures was studied by performing the post growth furnace thermal annealing (FTA) treatments in the temperature range of 600-900C for 30min and rapid thermal annealing (RTA) treatments at temperature 750C for 30sec. Structural and optical analysis of p-type MOD Si 1-x Ge x /Si 1-y Ge y heterostructures involved the techniques of cross-sectional transmission electron microscopy, ultra low energy secondary ion mass spectrometry, photoluminescence spectroscopy, micro-Raman spectroscopy and scanning white-light interferometry. From the combinations of experimental results obtained by these techniques the Ge composition in the SiGe heteroepilayers, their thicknesses, state of strain in the heteroepilayers and dislocations microstructure in VSs were obtained. After post growth thermal annealing treatments were observed broadening of the Si 1-x Ge x channel accompanied with the reduction of Ge content in the channel and smearing of Si 1-x Ge x /Si 1-y Ge y interfaces. The Si 0.7 Ge 0.3 on low-temperature Si buffer VSs with very good structural properties were designed and grown by SS-MBE. These include: relatively thin 850nm total thickness of VS, 4-6nm Peak-to-Valley values of surface roughness, less than 10 5 cm -2 threading dislocations density and more than 95% degree of relaxation in the top layers of VS. The Hall mobility and sheet carrier density of as-grown and annealed p-type MOD Si 1-x Ge x /Si 1-y Ge y

  13. An Investigation of Carbon-Doping-Induced Current Collapse in GaN-on-Si High Electron Mobility Transistors

    Directory of Open Access Journals (Sweden)

    An-Jye Tzou

    2016-06-01

    Full Text Available This paper reports the successful fabrication of a GaN-on-Si high electron mobility transistor (HEMT with a 1702 V breakdown voltage (BV and low current collapse. The strain and threading dislocation density were well-controlled by 100 pairs of AlN/GaN superlattice buffer layers. Relative to the carbon-doped GaN spacer layer, we grew the AlGaN back barrier layer at a high temperature, resulting in a low carbon-doping concentration. The high-bandgap AlGaN provided an effective barrier for blocking leakage from the channel to substrate, leading to a BV comparable to the ordinary carbon-doped GaN HEMTs. In addition, the AlGaN back barrier showed a low dispersion of transiently pulsed ID under substrate bias, implying that the buffer traps were effectively suppressed. Therefore, we obtained a low-dynamic on-resistance with this AlGaN back barrier. These two approaches of high BV with low current collapse improved the device performance, yielding a device that is reliable in power device applications.

  14. Nitric acid oxidation of Si (NAOS) method for low temperature fabrication of SiO{sub 2}/Si and SiO{sub 2}/SiC structures

    Energy Technology Data Exchange (ETDEWEB)

    Kobayashi, H., E-mail: koba771@ybb.ne.jp [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan); Imamura, K.; Kim, W.-B.; Im, S.-S.; Asuha [Institute of Scientific and Industrial Research, Osaka University, and CREST, Japan Science and Technology Agency, 8-1 Mihogaoka, Ibaraki, Osaka 567-0047 (Japan)

    2010-07-15

    We have developed low temperature formation methods of SiO{sub 2}/Si and SiO{sub 2}/SiC structures by use of nitric acid, i.e., nitric acid oxidation of Si (or SiC) (NAOS) methods. By use of the azeotropic NAOS method (i.e., immersion in 68 wt% HNO{sub 3} aqueous solutions at 120 deg. C), an ultrathin (i.e., 1.3-1.4 nm) SiO{sub 2} layer with a low leakage current density can be formed on Si. The leakage current density can be further decreased by post-metallization anneal (PMA) at 200 deg. C in hydrogen atmosphere, and consequently the leakage current density at the gate bias voltage of 1 V becomes 1/4-1/20 of that of an ultrathin (i.e., 1.5 nm) thermal oxide layer usually formed at temperatures between 800 and 900 deg. C. The low leakage current density is attributable to (i) low interface state density, (ii) low SiO{sub 2} gap-state density, and (iii) high band discontinuity energy at the SiO{sub 2}/Si interface arising from the high atomic density of the NAOS SiO{sub 2} layer. For the formation of a relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer, we have developed the two-step NAOS method in which the initial and subsequent oxidation is performed by immersion in {approx}40 wt% HNO{sub 3} and azeotropic HNO{sub 3} aqueous solutions, respectively. In this case, the SiO{sub 2} formation rate does not depend on the Si surface orientation. Using the two-step NAOS method, a uniform thickness SiO{sub 2} layer can be formed even on the rough surface of poly-crystalline Si thin films. The atomic density of the two-step NAOS SiO{sub 2} layer is slightly higher than that for thermal oxide. When PMA at 250 deg. C in hydrogen is performed on the two-step NAOS SiO{sub 2} layer, the current-voltage and capacitance-voltage characteristics become as good as those for thermal oxide formed at 900 deg. C. A relatively thick (i.e., {>=}10 nm) SiO{sub 2} layer can also be formed on SiC at 120 deg. C by use of the two-step NAOS method. With no treatment before the NAOS method

  15. Evaluation test on stability of high temperature strain gage

    Energy Technology Data Exchange (ETDEWEB)

    Sato, Toshimi (Kyowa Electronic Instruments Co. Ltd., Tokyo (Japan)); Ito, Haruhiko; Tanaka, Isao; Komori, Yoshihiro

    1983-08-01

    This report deals with the results on a stability test of high temperature strain gage which is utilized for development of the Stethoscope for OGL - 1 Components in Elevated Temperature Services (ab. SOCETS). The test has proved that the weldable strain gage (KHC - 20 - G5) exhibits excellent stability at 500/sup 0/C during 3000 to 4000 hours service and can be applied sufficiently to evaluate integrity of OGL - 1 high temperature pipings and others.

  16. Evaluation test on stability of high temperature strain gage

    International Nuclear Information System (INIS)

    Sato, Toshimi; Ito, Haruhiko; Tanaka, Isao; Komori, Yoshihiro.

    1983-01-01

    This report deals with the results on a stability test of high temperature strain gage which is utilized for development of the Stethoscope for OGL - 1 Components in Elevated Temperature Services (ab. SOCETS). The test has proved that the weldable strain gage (KHC - 20 - G5) exhibits excellent stability at 500 0 C during 3000 to 4000 hours service and can be applied sufficiently to evaluate integrity of OGL - 1 high temperature pipings and others. (author)

  17. Variable magnification dual lens electron holography for semiconductor junction profiling and strain mapping.

    Science.gov (United States)

    Wang, Y Y; Li, J; Domenicucci, A; Bruley, J

    2013-01-01

    Dual lens operation for electron holography, which was developed previously (Wang et al., Ultramicroscopy 101 (2004) 63-72; US patent: 7,015,469 B2 (2006)), is re-investigated for bright field (junction profiling) and dark field (strain mapping) electron holography using FEI instrumentation (i.e. F20 and Titan). It is found that dual lens operation provides a wide operational range for electron holography. In addition, the dark field image tilt increases at high objective lens current to include Si diffraction spot. Under the condition of high spatial resolution (1 nm fringe spacing), a large field of view (450 nm), and high fringe contrast (26%) with dual lens operation, a junction map is obtained and strain maps of Si device on and diffraction are acquired. In this paper, a fringe quality number, N', which is number of fringe times fringe contrast, is proposed to estimate the quality of an electron hologram and mathematical reasoning for the N' number is provided. Copyright © 2012 Elsevier B.V. All rights reserved.

  18. Performance evaluation of a high power DC-DC boost converter for PV applications using SiC power devices

    Science.gov (United States)

    Almasoudi, Fahad M.; Alatawi, Khaled S.; Matin, Mohammad

    2016-09-01

    The development of Wide band gap (WBG) power devices has been attracted by many commercial companies to be available in the market because of their enormous advantages over the traditional Si power devices. An example of WBG material is SiC, which offers a number of advantages over Si material. For example, SiC has the ability of blocking higher voltages, reducing switching and conduction losses and supports high switching frequency. Consequently, SiC power devices have become the affordable choice for high frequency and power application. The goal of this paper is to study the performance of 4.5 kW, 200 kHz, 600V DC-DC boost converter operating in continuous conduction mode (CCM) for PV applications. The switching behavior and turn on and turn off losses of different switching power devices such as SiC MOSFET, SiC normally ON JFET and Si MOSFET are investigated and analyzed. Moreover, a detailed comparison is provided to show the overall efficiency of the DC-DC boost converter with different switching power devices. It is found that the efficiency of SiC power switching devices are higher than the efficiency of Si-based switching devices due to low switching and conduction losses when operating at high frequencies. According to the result, the performance of SiC switching power devices dominate the conventional Si power devices in terms of low losses, high efficiency and high power density. Accordingly, SiC power switching devices are more appropriate for PV applications where a converter of smaller size with high efficiency, and cost effective is required.

  19. Comparative genomic analyses of Mycoplasma hyopneumoniae pathogenic 168 strain and its high-passaged attenuated strain

    Science.gov (United States)

    2013-01-01

    Background Mycoplasma hyopneumoniae is the causative agent of porcine enzootic pneumonia (EP), a mild, chronic pneumonia of swine. Despite presenting with low direct mortality, EP is responsible for major economic losses in the pig industry. To identify the virulence-associated determinants of M. hyopneumoniae, we determined the whole genome sequence of M. hyopneumoniae strain 168 and its attenuated high-passage strain 168-L and carried out comparative genomic analyses. Results We performed the first comprehensive analysis of M. hyopneumoniae strain 168 and its attenuated strain and made a preliminary survey of coding sequences (CDSs) that may be related to virulence. The 168-L genome has a highly similar gene content and order to that of 168, but is 4,483 bp smaller because there are 60 insertions and 43 deletions in 168-L. Besides these indels, 227 single nucleotide variations (SNVs) were identified. We further investigated the variants that affected CDSs, and compared them to reported virulence determinants. Notably, almost all of the reported virulence determinants are included in these variants affected CDSs. In addition to variations previously described in mycoplasma adhesins (P97, P102, P146, P159, P216, and LppT), cell envelope proteins (P95), cell surface antigens (P36), secreted proteins and chaperone protein (DnaK), mutations in genes related to metabolism and growth may also contribute to the attenuated virulence in 168-L. Furthermore, many mutations were located in the previously described repeat motif, which may be of primary importance for virulence. Conclusions We studied the virulence attenuation mechanism of M. hyopneumoniae by comparative genomic analysis of virulent strain 168 and its attenuated high-passage strain 168-L. Our findings provide a preliminary survey of CDSs that may be related to virulence. While these include reported virulence-related genes, other novel virulence determinants were also detected. This new information will form

  20. Reduction in interface defect density in p-BaSi2/n-Si heterojunction solar cells by a modified pretreatment of the Si substrate

    Science.gov (United States)

    Yamashita, Yudai; Yachi, Suguru; Takabe, Ryota; Sato, Takuma; Emha Bayu, Miftahullatif; Toko, Kaoru; Suemasu, Takashi

    2018-02-01

    We have investigated defects that occurred at the interface of p-BaSi2/n-Si heterojunction solar cells that were fabricated by molecular beam epitaxy. X-ray diffraction measurements indicated that BaSi2 (a-axis-oriented) was subjected to in-plane compressive strain, which relaxed when the thickness of the p-BaSi2 layer exceeded 50 nm. Additionally, transmission electron microscopy revealed defects in the Si layer near steps that were present on the Si(111) substrate. Deep level transient spectroscopy revealed two different electron traps in the n-Si layer that were located at 0.33 eV (E1) and 0.19 eV (E2) below the conduction band edge. The densities of E1 and E2 levels in the region close to the heterointerface were approximately 1014 cm-3. The density of these electron traps decreased below the limits of detection following Si pretreatment to remove the oxide layers from the n-Si substrate, which involved heating the substrate to 800 °C for 30 min under ultrahigh vacuum while depositing a layer of Si (1 nm). The remaining traps in the n-Si layer were hole traps located at 0.65 eV (H1) and 0.38 eV (H2) above the valence band edge. Their densities were as low as 1010 cm-3. Following pretreatment, the current versus voltage characteristics of the p-BaSi2/n-Si solar cells under AM1.5 illumination were reproducible with conversion efficiencies beyond 5% when using a p-BaSi2 layer thickness of 100 nm. The origin of the H2 level is discussed.

  1. Residual stress in thick low-pressure chemical-vapor deposited polycrystalline SiC coatings on Si substrates

    Science.gov (United States)

    Choi, D.; Shinavski, R. J.; Steffier, W. S.; Spearing, S. M.

    2005-04-01

    Residual stress in thick coatings of polycrystalline chemical-vapor deposited SiC on Si substrates is a key variable that must be controlled if SiC is to be used in microelectromechanical systems. Studies have been conducted to characterize the residual stress level as a function of deposition temperature, Si wafer and SiC coating thickness, and the ratios of methyltrichlorosilane to hydrogen and hydrogen chloride. Wafer curvature was used to monitor residual stress in combination with a laminated plate analysis. Compressive intrinsic (growth) stresses were measured with magnitudes in the range of 200-300MPa; however, these can be balanced with the tensile stress due to the thermal-expansion mismatch to leave near-zero stress at room temperature. The magnitude of the compressive intrinsic stress is consistent with previously reported values of surface stress in combination with the competition between grain-boundary energy and elastic strain energy.

  2. Variable magnification dual lens electron holography for semiconductor junction profiling and strain mapping

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Y.Y., E-mail: wangyy@us.ibm.com [IBM Micro-electronics Division, Zip 40E, Hudson Valley Research Park, 2070 Route 52, Hopewell Junction, NY 12533 (United States); Li, J.; Domenicucci, A. [IBM Micro-electronics Division, Zip 40E, Hudson Valley Research Park, 2070 Route 52, Hopewell Junction, NY 12533 (United States); Bruley, J. [IBM TJ Watson Research Center, 1101 Kitchawan Road, Route 134 Yorktown Heights, NY 10598 (United States)

    2013-01-15

    Dual lens operation for electron holography, which was developed previously (Wang et al., Ultramicroscopy 101 (2004) 63-72; US patent: 7,015,469 B2 (2006)), is re-investigated for bright field (junction profiling) and dark field (strain mapping) electron holography using FEI instrumentation (i.e. F20 and Titan). It is found that dual lens operation provides a wide operational range for electron holography. In addition, the dark field image tilt increases at high objective lens current to include Si Left-Pointing-Angle-Bracket 0 0 4 Right-Pointing-Angle-Bracket diffraction spot. Under the condition of high spatial resolution (1 nm fringe spacing), a large field of view (450 nm), and high fringe contrast (26%) with dual lens operation, a junction map is obtained and strain maps of Si device on Left-Pointing-Angle-Bracket 2 2 0 Right-Pointing-Angle-Bracket and Left-Pointing-Angle-Bracket 0 0 4 Right-Pointing-Angle-Bracket diffraction are acquired. In this paper, a fringe quality number, N Prime , which is number of fringe times fringe contrast, is proposed to estimate the quality of an electron hologram and mathematical reasoning for the N Prime number is provided. -- Highlights: Black-Right-Pointing-Pointer Dual lens electron holography is implemented on FEI instruments (Titan and F20). Black-Right-Pointing-Pointer Wide range of field of view (0.1-0.9 {mu}m) and fringe spacing (0.5-6 nm) is achieved. Black-Right-Pointing-Pointer Fringe quality number is proposed to quantify the quality of an electron hologram. Black-Right-Pointing-Pointer Junction map at high spatial resolution is provided. Black-Right-Pointing-Pointer Strain maps along Left-Pointing-Angle-Bracket 2 2 0 Right-Pointing-Angle-Bracket and Left-Pointing-Angle-Bracket 0 0 4 Right-Pointing-Angle-Bracket direction of Si by dark field electron holography are reported.

  3. Effect of InGaAs interlayer on the properties of GaAs grown on Si (111) substrate by molecular beam epitaxy

    International Nuclear Information System (INIS)

    Wen, Lei; Gao, Fangliang; Li, Jingling; Guan, Yunfang; Wang, Wenliang; Zhou, Shizhong; Lin, Zhiting; Zhang, Xiaona; Zhang, Shuguang; Li, Guoqiang

    2014-01-01

    High-quality GaAs films have been epitaxially grown on Si (111) substrates by inserting an In x Ga 1−x As interlayer with proper In composition by molecular beam epitaxy (MBE). The effect of In x Ga 1−x As (0 < x < 0.2) interlayers on the properties of GaAs films grown on Si (111) substrates by MBE has been studied in detailed. Due to the high compressive strain between InGaAs and Si, InGaAs undergoes partial strain relaxation. Unstrained InGaAs has a larger lattice constant than GaAs. Therefore, a thin InGaAs layer with proper In composition may adopt a close lattice constant with that of GaAs, which is beneficial to the growth of high-quality GaAs epilayer on top. It is found that the proper In composition in In x Ga 1−x As interlayer of 10% is beneficial to obtaining high-quality GaAs films, which, on the one hand, greatly compensates the misfit stress between GaAs film and Si substrate, and on the other hand, suppresses the formation of multiple twin during the heteroepitaxial growth of GaAs film. However, when the In composition does not reach the proper value (∼10%), the In x Ga 1−x As adopts a lower strain relaxation and undergoes a lattice constant smaller than unstrained GaAs, and therefore introduces compressive stress to GaAs grown on top. When In composition exceeds the proper value, the In x Ga 1−x As will adopt a higher strain relaxation and undergoes a lattice constant larger than unstrained GaAs, and therefore introduces tensile stress to GaAs grown on top. As a result, In x Ga 1−x As interlayers with improper In composition introduces enlarged misfit stress to GaAs epilayers grown on top, and deteriorates the quality of GaAs epilayers. This work demonstrates a simple but effective method to grow high-quality GaAs epilayers and brings up a broad prospect for the application of GaAs-based optoelectronic devices on Si substrates

  4. High-throughput screening of Si-Ni flux for SiC solution growth using a high-temperature laser microscope observation and secondary ion mass spectroscopy depth profiling.

    Science.gov (United States)

    Maruyama, Shingo; Onuma, Aomi; Kurashige, Kazuhisa; Kato, Tomohisa; Okumura, Hajime; Matsumoto, Yuji

    2013-06-10

    Screening of Si-based flux materials for solution growth of SiC single crystals was demonstrated using a thin film composition-spread technique. The reactivity and diffusion of carbon in a composition spread of the flux was investigated by secondary ion mass spectroscopy depth profiling of the annealed flux thin film spread on a graphite substrate. The composition dependence of the chemical interaction between a seed crystal and flux materials was revealed by high-temperature thermal behavior observation of the flux and the subsequent morphological study of the surface after removing the flux using atomic force microscopy. Our new screening approach is shown to be an efficient process for understanding flux materials for SiC solution growth.

  5. Microscopic Mechanism of the Staebler-Wronski Effect in a-Si Films and High-Efficiency Solar Cells: Final Subcontract Report, 1 October 2001--30 September 2004

    Energy Technology Data Exchange (ETDEWEB)

    Han, D.

    2005-05-01

    In high growth rate ({ge} 50 {angstrom}/s) HW-CVD a-Si:H films, for the first time, we show gaseous molecules in nanovoids ({approx}2% volume fraction of tube-like nanoscale voids), and demonstrate that confinement on the nanometer scale generates NMR effects that have never been observed in macroscopic systems. In the same system we found the PL peak red shift. We suggest that highly strained bonds on the inner surfaces of the nanoscale voids form broad conduction-band tail states that are responsible for the PL red shift. We characterized the structural transition from a- to nc-Si as function of H-dilution, thickness and T{sub s} of both HW- and PE-CVD films using IR, Raman, PL, CPM/PDS and E{sub a} et al. We found not only the c-Si volume fraction but also the g.b. and microstructures play an important role in the properties of the i-layer and their solar cell performance. We found a narrow structural transition zone in which the bond-angle variation, {Delta}{Theta}, decreases from 10{sup o} to 8{sup o}. For nc-Si samples, we found a characteristic low energy PL peak and proved that is originated from the g.b. regions. Using micro-Raman, we found the structural non-uniformity in the mixed-phase solar cells that showed V{sub oc} enhancement after light soaking. Using micro-Raman, we also found the slight increase of crystallinity in M/{mu}c-Si/M devices after current forming.

  6. Wet etching characteristics of a HfSiON high-k dielectric in HF-based solutions

    International Nuclear Information System (INIS)

    Li Yongliang; Xu Qiuxia

    2010-01-01

    The wet etching properties of a HfSiON high-k dielectric in HF-based solutions are investigated. HF-based solutions are the most promising wet chemistries for the removal of HfSiON, and etch selectivity of HF-based solutions can be improved by the addition of an acid and/or an alcohol to the HF solution. Due to densification during annealing, the etch rate of HfSiON annealed at 900 0 C for 30 s is significantly reduced compared with as-deposited HfSiON in HF-based solutions. After the HfSiON film has been completely removed by HF-based solutions, it is not possible to etch the interfacial layer and the etched surface does not have a hydrophobic nature, since N diffuses to the interface layer or Si substrate formation of Si-N bonds that dissolves very slowly in HF-based solutions. Existing Si-N bonds at the interface between the new high-k dielectric deposit and the Si substrate may degrade the carrier mobility due to Coulomb scattering. In addition, we show that N 2 plasma treatment before wet etching is not very effective in increasing the wet etch rate for a thin HfSiON film in our case. (semiconductor technology)

  7. High-energy ion-beam-induced phase separation in SiOx films

    International Nuclear Information System (INIS)

    Arnoldbik, W.M.; Tomozeiu, N.; Hattum, E.D. van; Lof, R.W.; Vredenberg, A.M.; Habraken, F.H.P.M.

    2005-01-01

    The modification of the nanostructure of silicon suboxide (SiO x ) films as a result of high-energy heavy-ion irradiation has been studied for the entire range 0.1≤x x films have been obtained by radio-frequency magnetron sputter deposition. For 50 MeV 63 Cu 8+ ions and an angle of incidence of 20 deg. with the plane of the surface, and for x≥0.5, it takes a fluence of about 10 14 /cm 2 to reach a Si-O-Si infrared absorption spectrum, which is supposed to be characteristic for a Si-SiO 2 composite film structure. For smaller x values, it takes a much larger fluence. The interpretation of the IR spectra is corroborated for the surface region by results from x-ray photoelectron spectroscopy. The results present evidence for a mechanism, in which the phase separation takes place in the thermal spike, initiated by the energy deposited in many overlapping independent ion tracks. Such a process is possible since the suboxides fulfill the conditions for spinodal decomposition

  8. The plane strain shear fracture of the advanced high strength steels

    International Nuclear Information System (INIS)

    Sun, Li

    2013-01-01

    The “shear fracture” which occurs at the high-curvature die radii in the sheet metal forming has been reported to remarkably limit the application of the advanced high strength steels (AHSS) in the automobile industry. However, this unusual fracture behavior generally cannot be predicted by the traditional forming limit diagram (FLD). In this research, a new experimental system was developed in order to simulate the shear fracture, especially at the plane strain state which is the most common state in the auto-industry and difficult to achieve in the lab due to sample size. Furthermore, the system has the capability to operate in a strain rate range from quasi-static state to the industrial forming state. One kinds of AHSS, Quenching-Partitioning (QP) steels have been performed in this test and the results show that the limiting fracture strain is related to the bending ratio and strain rate. The experimental data support that deformation-induced heating is an important cause of “shear fracture” phenomena for AHSS: a deformation-induced quasi-heating caused by smaller bending ratio and high strain rate produce a smaller limiting plane strain and lead a “shear fracture” in the component

  9. GaAs/Ge crystals grown on Si substrates patterned down to the micron scale

    International Nuclear Information System (INIS)

    Taboada, A. G.; Kreiliger, T.; Falub, C. V.; Känel, H. von; Meduňa, M.; Salvalaglio, M.; Miglio, L.; Isa, F.; Barthazy Meier, E.; Müller, E.; Isella, G.

    2016-01-01

    Monolithic integration of III-V compounds into high density Si integrated circuits is a key technological challenge for the next generation of optoelectronic devices. In this work, we report on the metal organic vapor phase epitaxy growth of strain-free GaAs crystals on Si substrates patterned down to the micron scale. The differences in thermal expansion coefficient and lattice parameter are adapted by a 2-μm-thick intermediate Ge layer grown by low-energy plasma enhanced chemical vapor deposition. The GaAs crystals evolve during growth towards a pyramidal shape, with lateral facets composed of (111) planes and an apex formed by (137) and (001) surfaces. The influence of the anisotropic GaAs growth kinetics on the final morphology is highlighted by means of scanning and transmission electron microscopy measurements. The effect of the Si pattern geometry, substrate orientation, and crystal aspect ratio on the GaAs structural properties was investigated by means of high resolution X-ray diffraction. The thermal strain relaxation process of GaAs crystals with different aspect ratio is discussed within the framework of linear elasticity theory by Finite Element Method simulations based on realistic geometries extracted from cross-sectional scanning electron microscopy images

  10. Theoretical and experimental study of high strain, high strain rate materials viscoplastic behaviour. Application to Mars 190 steel and tantalum

    International Nuclear Information System (INIS)

    Juanicotena, A.

    1998-01-01

    This work enters in the general framework of the study and modelling of metallic materials viscoplastic behaviour in the area of high strain and high strain rate, from 10 4 to 10 5 s -1 . We define a methodology allowing to describe the behaviour of armor steel Mars 190 and tantalum in the initial area. In a first time, the study of visco-plasticity physical mechanisms shows the necessity to take into account some fundamental processes of the plastic deformation. Then, the examination of various constitutive relations allows to select the Preston-Tonks-Wallace model, that notably reproduce the physical phenomenon of the flow stress saturation. In a second part, a mechanical characterization integrating loading direction, strain rate and temperature effects is conducted on the two materials. Moreover, these experimental results allow to calculate associated constants to Preston-Tonks-Wallace, Zerilli-Armstrong and Johnson-Cook models for each material. In a third time, in order to evaluate and to validate these constitutive laws, we conceive and develop an experimental device open to reach the area of study: the expanding spherical shell test. It concerns to impose a free radial expanding to a thin spherical shell by means a shock wave generated by an explosive. By the radial expanding velocity measure, we can determine stress, strain rate and strain applied on the spherical shell at each time. In a four and last part, we evaluate constitutive models out of their optimization area's. This validation is undertaken by comparisons 'experimental results/calculations' with the help of global experiences like expanding spherical shell test and Taylor test. (author)

  11. SiC substrate defects and III-N heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Poust, B D [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Koga, T S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Sandhu, R [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States); Heying, B [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Hsing, R [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Wojtowicz, M [Northrop Grumman Space Technology, Space and Electronics Group, Redondo Beach, CA 90278 (United States); Khan, A [Department of Electrical Engineering, University of South Carolina, Columbia, SC (United States); Goorsky, M S [Department of Materials Science and Engineering, University of California, Los Angeles, CA 90095 (United States)

    2003-05-21

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuK{alpha} radiation ({lambda} = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10{sup -7}. The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from {approx}100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were {approx}20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established.

  12. SiC substrate defects and III-N heteroepitaxy

    International Nuclear Information System (INIS)

    Poust, B D; Koga, T S; Sandhu, R; Heying, B; Hsing, R; Wojtowicz, M; Khan, A; Goorsky, M S

    2003-01-01

    This study addressed how defects in SiC substrates influence the crystallographic properties of AlGaN/GaN layers deposited by metallorganic vapour phase epitaxy and by molecular beam epitaxy. We employed double crystal reflection x-ray topography using symmetric (0008) and (00012) reflections with CuKα radiation (λ = 1.54 A) to image dislocations, micropipes, and low angle boundaries in SiC substrates. Lattice strain near the core of a micropipe defect was estimated to be of the order of 10 -7 . The substrates investigated exhibited radial patterns of strain and, primarily, of tilt of the order of tens of arcsec. After deposition of the AlGaN and GaN layers, DCXRT images were generated from the substrate (0008) or (00012) and GaN epitaxial layer (0004) reflections. Full-width at half-maximum values ranging from ∼100 to 300 arcsec were typical of the GaN reflections, while those of the 4H-SiC reflections were ∼20-70 arcsec. Micropipes, tilt boundaries, and inclusions in the SiC were shown to produce structural defects in the GaN layers. A clear correlation between SiC substrate defects and GaN defects has been established

  13. Mechanical characterization of rocks at high strain rate

    Directory of Open Access Journals (Sweden)

    Konstantinov A.

    2012-08-01

    Full Text Available The paper presents the dynamic characterization in tension and compression of three rocks, Carrara marble, Onsernone gneiss and Peccia Marble, at high strain-rates. Two versions of a Split Hopkinson Bar have been used. The version for direct tension tests is installed at the DynaMat Laboratory of the University of Applied Sciences of Southern Switzerland, while the traditional version in compression is installed at the Laboratory of Dynamic Investigation of Materials of Lobachevsky State University. Results of the tests show a significantly strain-rate sensitive behaviour, exhibiting dynamic strength increasing with strain-rate. The experimental research has been developed in the frame of the Swiss-Russian Joint Research Program.

  14. Alkyl-terminated Si(111) surfaces: A high-resolution, core level photoelectron spectroscopy study

    Energy Technology Data Exchange (ETDEWEB)

    Terry, J.; Linford, M.R.; Wigren, C.; Cao, R.; Pianetta, P.; Chidsey, C.E. [Stanford University, Stanford, California 94309 (United States)

    1999-01-01

    The bonding of alkyl monolayers to Si(111) surfaces has been studied with high-resolution core level photoelectron spectroscopy (PES). Two very different wet-chemical methods have been used to prepare the alkyl monolayers: (i) Olefin insertion into the H{endash}Si bond of the H{endash}Si(111) surface, and (ii) replacement of Cl on the Cl{endash}Si(111) surface by an alkyl group from an alkyllithium reagent. In both cases, PES has revealed a C 1s component shifted to lower binding energy and a Si 2p component shifted to higher binding energy. Both components are attributed to the presence of a C{endash}Si bond at the interface. Along with photoelectron diffraction data [Appl. Phys. Lett. {bold 71}, 1056, (1997)], these data are used to show that these two synthetic methods can be used to functionalize the Si(111) surface. {copyright} {ital 1999 American Institute of Physics.}

  15. Perceived exertion is as effective as the perceptual strain index in predicting physiological strain when wearing personal protective clothing.

    Science.gov (United States)

    Borg, David N; Costello, Joseph T; Bach, Aaron J; Stewart, Ian B

    2017-02-01

    The perceptual strain index (PeSI) has been shown to overcome the limitations associated with the assessment of the physiological strain index (PSI), primarily the need to obtain a core body temperature measurement. The PeSI uses the subjective scales of thermal sensation and perceived exertion (RPE) to provide surrogate measures of core temperature and heart rate, respectively. Unfortunately, thermal sensation has shown large variability in providing an estimation of core body temperature. Therefore, the primary aim of this study was to determine if thermal comfort improved the ability of the PeSI to predict the PSI during exertional-heat stress. Eighteen healthy males (age: 23.5years; body mass: 79.4kg; maximal aerobic capacity: 57.2ml·kg -1 ·min -1 ) wore four different chemical/biological protective garments while walking on treadmill at a low (temperatures 21, 30 or 37°C. Trials were terminated when heart rate exceeded 90% of maximum, when core body temperature reached 39°C, at 120min or due to volitional fatigue. Core body temperature, heart rate, thermal sensation, thermal comfort and RPE were recorded at 15min intervals and at termination. Multiple statistical methods were used to determine the most accurate perceptual predictor. Significant moderate relationships were observed between the PeSI (r=0.74; pestimate physiological strain during exertional-heat stress under these work conditions. Copyright © 2016 Elsevier Inc. All rights reserved.

  16. Interfacial microstructure of NiSi x/HfO2/SiO x/Si gate stacks

    International Nuclear Information System (INIS)

    Gribelyuk, M.A.; Cabral, C.; Gusev, E.P.; Narayanan, V.

    2007-01-01

    Integration of NiSi x based fully silicided metal gates with HfO 2 high-k gate dielectrics offers promise for further scaling of complementary metal-oxide- semiconductor devices. A combination of high resolution transmission electron microscopy and small probe electron energy loss spectroscopy (EELS) and energy dispersive X-ray analysis has been applied to study interfacial reactions in the undoped gate stack. NiSi was found to be polycrystalline with the grain size decreasing from top to bottom of NiSi x film. Ni content varies near the NiSi/HfO x interface whereby both Ni-rich and monosilicide phases were observed. Spatially non-uniform distribution of oxygen along NiSi x /HfO 2 interface was observed by dark field Scanning Transmission Electron Microscopy and EELS. Interfacial roughness of NiSi x /HfO x was found higher than that of poly-Si/HfO 2 , likely due to compositional non-uniformity of NiSi x . No intermixing between Hf, Ni and Si beyond interfacial roughness was observed

  17. Modeling the Effects of Cu Content and Deformation Variables on the High-Temperature Flow Behavior of Dilute Al-Fe-Si Alloys Using an Artificial Neural Network.

    Science.gov (United States)

    Shakiba, Mohammad; Parson, Nick; Chen, X-Grant

    2016-06-30

    The hot deformation behavior of Al-0.12Fe-0.1Si alloys with varied amounts of Cu (0.002-0.31 wt %) was investigated by uniaxial compression tests conducted at different temperatures (400 °C-550 °C) and strain rates (0.01-10 s -1 ). The results demonstrated that flow stress decreased with increasing deformation temperature and decreasing strain rate, while flow stress increased with increasing Cu content for all deformation conditions studied due to the solute drag effect. Based on the experimental data, an artificial neural network (ANN) model was developed to study the relationship between chemical composition, deformation variables and high-temperature flow behavior. A three-layer feed-forward back-propagation artificial neural network with 20 neurons in a hidden layer was established in this study. The input parameters were Cu content, temperature, strain rate and strain, while the flow stress was the output. The performance of the proposed model was evaluated using the K-fold cross-validation method. The results showed excellent generalization capability of the developed model. Sensitivity analysis indicated that the strain rate is the most important parameter, while the Cu content exhibited a modest but significant influence on the flow stress.

  18. High spin-polarization in ultrathin Co2MnSi/CoPd multilayers

    Science.gov (United States)

    Galanakis, I.

    2015-03-01

    Half-metallic Co2MnSi finds a broad spectrum of applications in spintronic devices either in the form of thin films or as spacer in multilayers. Using state-of-the-art ab-initio electronic structure calculations we exploit the electronic and magnetic properties of ultrathin Co2MnSi/CoPd multilayers. We show that these heterostructures combine high values of spin-polarization at the Co2MnSi spacer with the perpendicular magnetic anisotropy of binary compounds such as CoPd. Thus they could find application in spintronic/magnetoelectronic devices.

  19. Highly efficient holograms based on c-Si metasurfaces in the visible range.

    Science.gov (United States)

    Martins, Augusto; Li, Juntao; da Mota, Achiles F; Wang, Yin; Neto, Luiz G; do Carmo, João P; Teixeira, Fernando L; Martins, Emiliano R; Borges, Ben-Hur V

    2018-04-16

    This paper reports on the first hologram in transmission mode based on a c-Si metasurface in the visible range. The hologram shows high fidelity and high efficiency, with measured transmission and diffraction efficiencies of ~65% and ~40%, respectively. Although originally designed to achieve full phase control in the range [0-2π] at 532 nm, these holograms have also performed well at 444.9 nm and 635 nm. The high tolerance to both fabrication and wavelength variations demonstrate that holograms based on c-Si metasurfaces are quite attractive for diffractive optics applications, and particularly for full-color holograms.

  20. The Strain Index (SI) and Threshold Limit Value (TLV) for Hand Activity Level (HAL): risk of carpal tunnel syndrome (CTS) in a prospective cohort.

    Science.gov (United States)

    Garg, A; Kapellusch, J; Hegmann, K; Wertsch, J; Merryweather, A; Deckow-Schaefer, G; Malloy, E J

    2012-01-01

    A cohort of 536 workers was enrolled from 10 diverse manufacturing facilities and was followed monthly for six years. Job physical exposures were individually measured. Worker demographics, medical history, psychosocial factors, current musculoskeletal disorders (MSDs) and nerve conduction studies (NCS) were obtained. Point and lifetime prevalence of carpal tunnel syndrome (CTS) at baseline (symptoms + abnormal NCS) were 10.3% and 19.8%. During follow-up, there were 35 new CTS cases (left, right or both hands). Factors predicting development of CTS included: job physical exposure (American conference of governmental industrial hygienists Threshold Limit Value (ACGIH TLV) for Hand Activity Level (HAL) and the Strain Index (SI)), age, BMI, other MSDs, inflammatory arthritis, gardening outside of work and feelings of depression. In the adjusted models, the TLV for HAL and the SI were both significant per unit increase in exposure with hazard ratios (HR) increasing up to a maximum of 5.4 (p = 0.05) and 5.3 (p = 0.03), respectively; however, similar to other reports, both suggested lower risk at higher exposures. Data suggest that the TLV for HAL and the SI are useful metrics for estimating exposure to biomechanical stressors. This study was conducted to determine how well the TLV for HAL and the SI predict risk of CTS using a prospective cohort design with survival analysis. Both the TLV for HAL and the SI were found to predict risk of CTS when adjusted for relevant covariates.

  1. High fluence swift heavy ion structure modification of the SiO{sub 2}/Si interface and gate insulator in 65 nm MOSFETs

    Energy Technology Data Exchange (ETDEWEB)

    Ma, Yao [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gao, Bo, E-mail: gaobo@scu.edu.cn [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Gong, Min [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Willis, Maureen [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Yang, Zhimei [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); Guan, Mingyue [College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China); Li, Yun [Key Laboratory of Radiation Physics and Technology of Ministry of Education, Sichuan University, Chengdu 610064 (China); Key Lab of Microelectronics Sichuan Province, Sichuan University, Chengdu, Sichuan 610064 (China); College of Physical Science and Technology, Sichuan University, Chengdu, Sichuan 610064 (China)

    2017-04-01

    In this work, a study of the structure modification, induced by high fluence swift heavy ion radiation, of the SiO{sub 2}/Si structures and gate oxide interface in commercial 65 nm MOSFETs is performed. A key and novel point in this study is the specific use of the transmission electron microscopy (TEM) technique instead of the conventional atomic force microscope (AFM) or scanning electron microscope (SEM) techniques which are typically performed following the chemical etching of the sample to observe the changes in the structure. Using this method we show that after radiation, the appearance of a clearly visible thin layer between the SiO{sub 2} and Si is observed presenting as a variation in the TEM intensity at the interface of the two materials. Through measuring the EDX line scans we reveal that the Si:O ratio changed and that this change can be attributed to the migration of the Si towards interface after the Si-O bond is destroyed by the swift heavy ions. For the 65 nm MOSFET sample, the silicon substrate, the SiON insulator and the poly-silicon gate interfaces become blurred under the same irradiation conditions.

  2. Dislocation-free Ge Nano-crystals via Pattern Independent Selective Ge Heteroepitaxy on Si Nano-Tip Wafers.

    Science.gov (United States)

    Niu, Gang; Capellini, Giovanni; Schubert, Markus Andreas; Niermann, Tore; Zaumseil, Peter; Katzer, Jens; Krause, Hans-Michael; Skibitzki, Oliver; Lehmann, Michael; Xie, Ya-Hong; von Känel, Hans; Schroeder, Thomas

    2016-03-04

    The integration of dislocation-free Ge nano-islands was realized via selective molecular beam epitaxy on Si nano-tip patterned substrates. The Si-tip wafers feature a rectangular array of nanometer sized Si tips with (001) facet exposed among a SiO2 matrix. These wafers were fabricated by complementary metal-oxide-semiconductor (CMOS) compatible nanotechnology. Calculations based on nucleation theory predict that the selective growth occurs close to thermodynamic equilibrium, where condensation of Ge adatoms on SiO2 is disfavored due to the extremely short re-evaporation time and diffusion length. The growth selectivity is ensured by the desorption-limited growth regime leading to the observed pattern independence, i.e. the absence of loading effect commonly encountered in chemical vapor deposition. The growth condition of high temperature and low deposition rate is responsible for the observed high crystalline quality of the Ge islands which is also associated with negligible Si-Ge intermixing owing to geometric hindrance by the Si nano-tip approach. Single island as well as area-averaged characterization methods demonstrate that Ge islands are dislocation-free and heteroepitaxial strain is fully relaxed. Such well-ordered high quality Ge islands present a step towards the achievement of materials suitable for optical applications.

  3. Formation of radiative centers in SiO2 by tin high-dose implantation

    International Nuclear Information System (INIS)

    Komarov, F.F.; Parkhomenko, I.N.; Vlasukova, L.A.; Mil'chanin, O.V.; Mokhovikov, M.A.; Wendler, E.; Wesch, W.

    2013-01-01

    The structural transformations in SiO 2 layers implanted with high fluence of Sn ions have been investigated. It has been found that post-implantation annealing results in the β-Sn precipitation as well as the formation of SnO 2 -enriched regions in SiO 2 :Sn matrix. The intensive emission in the range of photon energies 1.5 – 3.5 eV is registered for the implanted and annealed samples. We attribute it to the oxygen deficiency centers created in the SiO 2 :Sn matrix and at the 'nanocluster/SiO 2 ' interfaces. (authors)

  4. Valence band structure and density of states effective mass model of biaxial tensile strained silicon based on k · p theory

    International Nuclear Information System (INIS)

    Kuang Qian-Wei; Liu Hong-Xia; Wang Shu-Long; Qin Shan-Shan; Wang Zhi-Lin

    2011-01-01

    After constructing a stress and strain model, the valence bands of in-plane biaxial tensile strained Si is calculated by k · p method. In the paper we calculate the accurate anisotropy valance bands and the splitting energy between light and heavy hole bands. The results show that the valance bands are highly distorted, and the anisotropy is more obvious. To obtain the density of states (DOS) effective mass, which is a very important parameter for device modeling, a DOS effective mass model of biaxial tensile strained Si is constructed based on the valance band calculation. This model can be directly used in the device model of metal—oxide semiconductor field effect transistor (MOSFET). It also a provides valuable reference for biaxial tensile strained silicon MOSFET design. (condensed matter: electronic structure, electrical, magnetic, and optical properties)

  5. Strain relaxation and self-organization phenomena in heteroepitaxial systems

    DEFF Research Database (Denmark)

    Shiryaev, Sergey Y; Hansen, J. Lundsgaard; Larsen, A. Nylandsted

    1995-01-01

    The plastic behavior of strained, compositionally graded Si1-xGex alloy layers grown on Si substrates has been studied by a combination of optical, atomic force, and transmission electron microscopy. Formation of ordered patterns of misfit dislocations has been found in films grown at low (simila...

  6. Evaluation of dry-adapted strains of the predatory mite Neoseiulus californicus for spider mite control on cucumber, strawberry and pepper.

    Science.gov (United States)

    Palevsky, E; Walzer, A; Gal, S; Schausberger, P

    2008-06-01

    The goal of this study was to evaluate spider mite control efficacy of two dry-adapted strains of Neoseiulus californicus. Performance of these strains were compared to a commercial strain of Phytoseiulus persimilis on whole cucumber, pepper and strawberry plants infested with Tetranychus urticae at 50 +/- 5% RH. Under these dry conditions predators' performance was very different on each host plant. On cucumber, spider mite suppression was not attained by any of the three predators, plants 'burnt out' within 4 weeks of spider mite infestation. On strawberry, all predators satisfactorily suppressed spider mites yet they differed in short term efficacy and persistence. Phytoseiulus persimilis suppressed the spider mites more rapidly than did the BOKU and SI N. californicus strains. Both N. californicus strains persisted longer than did P. persimilis. The BOKU strain was superior to SI in population density reached, efficacy in spider mite suppression and persistence. On pepper, in the first 2 weeks of the experiment the BOKU strain was similar to P. persimilis and more efficacious in spider mite suppression than strain SI. Four weeks into the experiment the efficacy of P. persimilis dropped dramatically and was inferior to the SI and BOKU strains. Overall, mean predator density was highest on plants harbouring the BOKU strain, lowest on plants with P. persimilis and intermediate on plants with the SI strain. Implications for biocontrol of spider mites using phytoseiid species under dry conditions are discussed.

  7. High spin-polarization in ultrathin Co2MnSi/CoPd multilayers

    International Nuclear Information System (INIS)

    Galanakis, I.

    2015-01-01

    Half-metallic Co 2 MnSi finds a broad spectrum of applications in spintronic devices either in the form of thin films or as spacer in multilayers. Using state-of-the-art ab-initio electronic structure calculations we exploit the electronic and magnetic properties of ultrathin Co 2 MnSi/CoPd multilayers. We show that these heterostructures combine high values of spin-polarization at the Co 2 MnSi spacer with the perpendicular magnetic anisotropy of binary compounds such as CoPd. Thus they could find application in spintronic/magnetoelectronic devices. - Highlights: • Ab-initio study of ultrathin Co 2 MnSi/CoPd multilayers. • Large values of spin-polarization at the Fermi are retained. • Route for novel spintronic/magnetoelectronic devices

  8. Inverse methods for the mechanical characterization of materials at high strain rates

    Directory of Open Access Journals (Sweden)

    Casas-Rodriguez J.P.

    2012-08-01

    Full Text Available Mechanical material characterization represents a research challenge. Furthermore, special attention is directed to material characterization at high strain rates as the mechanical properties of some materials are influenced by the rate of loading. Diverse experimental techniques at high strain rates are available, such as the drop-test, the Taylor impact test or the Split Hopkinson pressure bar among others. However, the determination of the material parameters associated to a given mathematical constitutive model from the experimental data is a complex and indirect problem. This paper presents a material characterization methodology to determine the material parameters of a given material constitutive model from a given high strain rate experiment. The characterization methodology is based on an inverse technique in which an inverse problem is formulated and solved as an optimization procedure. The input of the optimization procedure is the characteristic signal from the high strain rate experiment. The output of the procedure is the optimum set of material parameters determined by fitting a numerical simulation to the high strain rate experimental signal.

  9. Enhancing Light Emission of ZnO-Nanofilm/Si-Micropillar Heterostructure Arrays by Piezo-Phototronic Effect.

    Science.gov (United States)

    Li, Xiaoyi; Chen, Mengxiao; Yu, Ruomeng; Zhang, Taiping; Song, Dongsheng; Liang, Renrong; Zhang, Qinglin; Cheng, Shaobo; Dong, Lin; Pan, Anlian; Wang, Zhong Lin; Zhu, Jing; Pan, Caofeng

    2015-06-22

    n-ZnO nanofilm/p-Si micropillar heterostructure light-emitting diode (LED) arrays for white light emissions are achieved and the light emission intensity of LED array is enhanced by 120% under -0.05% compressive strains. These results indicate a promising approach to fabricate Si-based light-emitting components with high performances enhanced by piezo-phototronic effect, with potential applications in touchpad technology, personalized signatures, smart skin, and silicon-based photonic integrated circuits. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Re-evaluation of SiC permeation coefficients at high temperatures

    Energy Technology Data Exchange (ETDEWEB)

    Yamamoto, Yasushi, E-mail: yama3707@kansai-u.ac.jp [Faculty of Engineering Science, Kansai Univ., Yamate-cho, Suita, Osaka 564-8680 (Japan); Murakami, Yuichiro; Yamaguchi, Hirosato; Yamamoto, Takehiro; Yonetsu, Daigo [Faculty of Engineering Science, Kansai Univ., Yamate-cho, Suita, Osaka 564-8680 (Japan); Noborio, Kazuyuki [Hydrogen Isotope Research Center, Univ. of Toyama, Toyama, Toyama 930-8555 (Japan); Konishi, Satoshi [Institute of Advanced Energy, Kyoto Univ., Gokasho, Uji, Kyoto 611-0011 (Japan)

    2016-11-01

    Highlights: • The deuterium permeation coefficients of CVD-SiC at 600–950 °C were evaluated. • The wraparound flow was reduced to less than 1/100th of the permeation flow. • CVD-SiC materials are very effective as hydrogen isotope permeation barriers. - Abstract: Since 2007, our group has studied the deuterium permeation and diffusion coefficients for SiC materials at temperatures above 600 °C as a means of evaluating the tritium inventory and permeation in fusion blankets. During such measurements, control and evaluation of the wraparound flow through the sample holder are important, and so the heated sample holder is enclosed by a glass tube and kept under vacuum during experimental trials. However, detailed studies regarding the required degree of vacuum based on model calculations have shown that the wraparound flow is much larger than expected, and so can affect measurements at high temperatures. We therefore modified the measurement apparatus based on calculations involving reduced pressure in the glass tube, and are now confident that the measurement error is only several percent, even at 950 °C. In this paper, recent experimental results obtained with a chemical vapor deposition (CVD)-SiC sample over the temperature range of 600–950 °C are presented, showing that the permeation coefficient for CVD-SiC is more than three orders of magnitude smaller than that for stainless steel (SS316) at 600 °C, and that at 950 °C, the coefficient for CVD-SiC is almost equal to that for SUS316 at 550 °C.

  11. High-temperature protective coatings for C/SiC composites

    OpenAIRE

    Xiang Yang; Chen Zhao-hui; Cao Feng

    2014-01-01

    Carbon fiber-reinforced silicon carbide (C/SiC) composites were well-established light weight materials combining high specific strength and damage tolerance. For high-temperature applications, protective coatings had to provide oxidation and corrosion resistance. The literature data introduced various technologies and materials, which were suitable for the application of coatings. Coating procedures and conditions, materials design limitations related to the reactivity of the components of C...

  12. Effects of phosphorous-doping and high temperature annealing on CVD grown 3C-SiC

    International Nuclear Information System (INIS)

    Rooyen, I.J. van; Neethling, J.H.; Henry, A.; Janzén, E.; Mokoduwe, S.M.; Janse van Vuuren, A.; Olivier, E.

    2012-01-01

    The integrity and property behavior of the SiC layer of the Tri-isotropic (TRISO) coated particle (CP) for high temperature reactors (HTR) are very important as the SiC layer is the main barrier for gaseous and metallic fission product release. This study describes the work done on un-irradiated SiC samples prepared with varying phosphorus levels to simulate the presence of phosphorus due to transmutation. 30 Si transmutes to phosphorous ( 31 P) and other transmutation products during irradiation, which may affect the integrity of the SiC layer. The P-doping levels of the SiC samples used in this study cover the range from 1.1 × 10 15 to 1.2 × 10 19 atom/cm 3 and are therefore relevant to the PBMR operating conditions. Annealing from 1000 °C to 2100 °C was performed to study the possible changes in nanostructures and various properties due to temperature. Characterization results by X-ray diffraction (XRD), secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), transmission electron microscopy (TEM) and high resolution transmission electron microscopy (HRTEM), are reported in this article. As grain boundary diffusion is identified as a possible mechanism by which 110m Ag, one of the fission activation products, might be released through intact SiC layer, grain size measurements is also included in this study. Temperature is evidently one of the factors/parameters amongst others known to influence the grain size of SiC and therefore it is important to investigate the effect of high temperature annealing on the SiC grain size. The ASTM E112 method as well as electron back scatter diffraction (EBSD) was used to determine the grain size of various commercial SiC samples and the SiC layer in experimental PBMR Coated Particles (CPs) after annealing at temperatures ranging from 1600 °C to 2100 °C. The HRTEM micrograph of the decomposition of SiC at 2100 °C are shown and discussed. Nanotubes were not identified during the TEM and HRTEM analysis

  13. Effects of phosphorous-doping and high temperature annealing on CVD grown 3C-SiC

    Energy Technology Data Exchange (ETDEWEB)

    Rooyen, I.J. van, E-mail: Isabella.vanrooyen@inl.gov [CSIR, National Laser Centre, PO Box 395, Pretoria 0001 (South Africa); Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Fuel Design, PBMR, 1279 Mike Crawford Avenue, Centurion 0046 (South Africa); Neethling, J.H. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa); Henry, A.; Janzen, E. [Department of Physics, Chemistry and Biology, Semiconductor Materials, Linkoeping University, Linkoeping 58183 (Sweden); Mokoduwe, S.M. [Fuel Design, PBMR, 1279 Mike Crawford Avenue, Centurion 0046 (South Africa); Janse van Vuuren, A.; Olivier, E. [Department of Physics, Nelson Mandela Metropolitan University, PO Box 77000, Port Elizabeth 6031 (South Africa)

    2012-10-15

    The integrity and property behavior of the SiC layer of the Tri-isotropic (TRISO) coated particle (CP) for high temperature reactors (HTR) are very important as the SiC layer is the main barrier for gaseous and metallic fission product release. This study describes the work done on un-irradiated SiC samples prepared with varying phosphorus levels to simulate the presence of phosphorus due to transmutation. {sup 30}Si transmutes to phosphorous ({sup 31}P) and other transmutation products during irradiation, which may affect the integrity of the SiC layer. The P-doping levels of the SiC samples used in this study cover the range from 1.1 Multiplication-Sign 10{sup 15} to 1.2 Multiplication-Sign 10{sup 19} atom/cm{sup 3} and are therefore relevant to the PBMR operating conditions. Annealing from 1000 Degree-Sign C to 2100 Degree-Sign C was performed to study the possible changes in nanostructures and various properties due to temperature. Characterization results by X-ray diffraction (XRD), secondary ion mass spectrometry (SIMS), scanning electron microscopy (SEM), transmission electron microscopy (TEM) and high resolution transmission electron microscopy (HRTEM), are reported in this article. As grain boundary diffusion is identified as a possible mechanism by which {sup 110m}Ag, one of the fission activation products, might be released through intact SiC layer, grain size measurements is also included in this study. Temperature is evidently one of the factors/parameters amongst others known to influence the grain size of SiC and therefore it is important to investigate the effect of high temperature annealing on the SiC grain size. The ASTM E112 method as well as electron back scatter diffraction (EBSD) was used to determine the grain size of various commercial SiC samples and the SiC layer in experimental PBMR Coated Particles (CPs) after annealing at temperatures ranging from 1600 Degree-Sign C to 2100 Degree-Sign C. The HRTEM micrograph of the decomposition of Si

  14. High-Strain Rate Failure Modeling Incorporating Shear Banding and Fracture

    Science.gov (United States)

    2017-11-22

    High Strain Rate Failure Modeling Incorporating Shear Banding and Fracture The views, opinions and/or findings contained in this report are those of...SECURITY CLASSIFICATION OF: 1. REPORT DATE (DD-MM-YYYY) 4. TITLE AND SUBTITLE 13. SUPPLEMENTARY NOTES 12. DISTRIBUTION AVAILIBILITY STATEMENT 6. AUTHORS...Report as of 05-Dec-2017 Agreement Number: W911NF-13-1-0238 Organization: Columbia University Title: High Strain Rate Failure Modeling Incorporating

  15. High-temperature synthesis of highly hydrothermal stable mesoporous silica and Fe-SiO2 using ionic liquid as a template

    International Nuclear Information System (INIS)

    Liu, Hong; Wang, Mengyang; Hu, Hongjiu; Liang, Yuguang; Wang, Yong; Cao, Weiran; Wang, Xiaohong

    2011-01-01

    Mesoporous silicas and Fe-SiO 2 with worm-like structures have been synthesized using a room temperature ionic liquid, 1-hexadecane-3-methylimidazolium bromide, as a template at a high aging temperature (150-190 o C) with the assistance of NaF. The hydrothermal stability of mesoporous silica was effectively improved by increasing the aging temperature and adding NaF to the synthesis gel. High hydrothermally stable mesoporous silica was obtained after being aged at 190 o C in the presence of NaF, which endured the hydrothermal treatment in boiling water at least for 10 d or steam treatment at 600 o C for 6 h. The ultra hydrothermal stability could be attributed to its high degree of polymerization of silicate. Furthermore, highly hydrothermal stable mesoporous Fe-SiO 2 has been synthesized, which still remained its mesostructure after being hydrothermally treated at 100 o C for 12 d or steam-treated at 600 o C for 6 h. -- Graphical abstract: Worm-like mesoporous silica and Fe-SiO 2 with high hydrothermal stability have been synthesized using ionic liquid 1-hexadecane-3-methylimidazolium bromide as a template under the assistance of NaF at high temperature. Display Omitted Research highlights: → Increasing aging temperature improved the hydrothermal stability of materials. →Addition of NaF enhanced the polymerization degree of silicates. → Mesoporous SiO 2 and Fe-SiO 2 obtained have remarkable hydrothermal stability.

  16. Development of High Quality 4H-SiC Thick Epitaxy for Reliable High Power Electronics Using Halogenated Precursors

    Science.gov (United States)

    2016-08-02

    defects :=()llowed by a second buffer epilayer gro\\\\ th with ~: urn thickness with high n-type dopi:1g (- 5£17 cm-3) for the same C/Si ratio of ~1.4 at...gradient, pressure , etc.) can further reduce the parasitic deposition, especially in TFS-growth. • Thick epitaxy on-axis 4H-SiC Growth at High Growth...From - To) 08/02/2016 Final Technical Report 01-Apr-10 Through 31-Mar-14 4. TITLE AND SUBTITLE 5a. CONTRACT NUMBER Development of High Quality 4H

  17. Methodology for assessing the interfacial sliding stress of a 2D woven SiC-SiC composite

    Energy Technology Data Exchange (ETDEWEB)

    Morvan, J.-M.; Baste, S. [Bordeaux-1 Univ., 33 - Talence (France)

    1999-03-01

    A micromechanical model is established to assess the value of the interfacial sliding stress as a function of the elastic and inelastic strains, the transverse crack density and the area upon which the sliding takes. The interfacial sliding stress is then measured during all the tensile test whether the damage occurs at the meso or at the microstructure level of a 2D SiC-SiC composite. The ultrasonic characterization through the complete determination of the stiffness tensor along a tensile test detects all the damage mechanisms and allows a strain partition under load which separates the various mechanisms responsible for the non-linear behavior of ceramic matrix composites (CMCs). It results that, according to the scale of the composite, the interfacial sliding stress exhibits a different value due to the nature of the bonding. (orig.) 13 refs.

  18. Epitaxial growth of higher transition-temperature VO2 films on AlN/Si

    Directory of Open Access Journals (Sweden)

    Tetiana Slusar

    2016-02-01

    Full Text Available We report the epitaxial growth and the mechanism of a higher temperature insulator-to-metal-transition (IMT of vanadium dioxide (VO2 thin films synthesized on aluminum nitride (AlN/Si (111 substrates by a pulsed-laser-deposition method; the IMT temperature is TIMT ≈ 350 K. X-ray diffractometer and high resolution transmission electron microscope data show that the epitaxial relationship of VO2 and AlN is VO2 (010 ‖ AlN (0001 with VO2 [101] ‖   AlN   [ 2 1 ̄ 1 ̄ 0 ] zone axes, which results in a substrate-induced tensile strain along the in-plane a and c axes of the insulating monoclinic VO2. This strain stabilizes the insulating phase of VO2 and raises TIMT for 10 K higher than TIMT single crystal ≈ 340 K in a bulk VO2 single crystal. Near TIMT, a resistance change of about four orders is observed in a thick film of ∼130 nm. The VO2/AlN/Si heterostructures are promising for the development of integrated IMT-Si technology, including thermal switchers, transistors, and other applications.

  19. Effect of hydrogen on passivation quality of SiNx/Si-rich SiNx stacked layers deposited by catalytic chemical vapor deposition on c-Si wafers

    International Nuclear Information System (INIS)

    Thi, Trinh Cham; Koyama, Koichi; Ohdaira, Keisuke; Matsumura, Hideki

    2015-01-01

    We investigate the role of hydrogen content and fixed charges of catalytic chemical vapor deposited (Cat-CVD) SiN x /Si-rich SiN x stacked layers on the quality of crystalline silicon (c-Si) surface passivation. Calculated density of fixed charges is on the order of 10 12 cm −2 , which is high enough for effective field effect passivation. Hydrogen content in the films is also found to contribute significantly to improvement in passivation quality of the stacked layers. Furthermore, Si-rich SiN x films deposited with H 2 dilution show better passivation quality of SiN x /Si-rich SiN x stacked layers than those prepared without H 2 dilution. Effective minority carrier lifetime (τ eff ) in c-Si passivated by SiN x /Si-rich SiN x stacked layers is as high as 5.1 ms when H 2 is added during Si-rich SiN x deposition, which is much higher than the case of using Si-rich SiN x films prepared without H 2 dilution showing τ eff of 3.3 ms. - Highlights: • Passivation mechanism of Si-rich SiN x /SiN x stacked layers is investigated. • H atoms play important role in passivation quality of the stacked layer. • Addition of H 2 gas during Si-rich SiN x film deposition greatly enhances effective minority carrier lifetime (τ eff ). • For a Si-rich SiN x film with refractive index of 2.92, τ eff improves from 3.3 to 5.1 ms by H 2 addition

  20. Parameters of compensating centers in n-type Si highly compensated by irradiation. Parametry kompensiruyushchikh tsentrov v n-Si sil'no kompensirovannym oblucheniem

    Energy Technology Data Exchange (ETDEWEB)

    Klinger, P M; Fistul' , V I [Moskovskij Gosudarstvennyj Univ., Moscow (USSR)

    1990-06-01

    Resuls of investigations into effect of {gamma}- and neutron irradiation on defect formation in high-ohmic n-Si

    using technique of capacity temperature dependence (CTD) are given. Radiation dose varied from 4.8x10{sup 17} up to 1.7x10{sup 18} cm{sup -2}. CTD technique is shown to be successfully applied to investigate into defect formation at irradiation of highly compensated silicon. Rate of admission of Ec-0.40 eV deep acceptor levels in n-Si at pulsed electron irradiation does not depend on fine impurity cocentration. Positions of energy levels of deep acceptors introduced into n-Si do not coincide and constitute, respectively, E{sub c}-0.40 and E{sub c}-049 eV.

  1. Micromechanics of fiber pull-out and crack bridging in SCS-6 SiC- CVD SiC composite system at high-temperature

    International Nuclear Information System (INIS)

    El-Azab, A.; Ghoniem, N.M.

    1993-01-01

    A micro mechanical model is developed to study fiber pull-out and crack bridging in fiber reinforced SiC-SiC composites with time dependent thermal creep. By analyzing the creep data for monolithic CVD SiC (matrix) and the SCS-6 SiC fibers in the temperature range 900-1250 degrees C, it is found that the matrix creep rates can be ignored in comparison to those of fibers. Two important relationships are obtained: (1) a time dependent relation between the pull-out stress and the relative sliding distance between the fiber and matrix for the purpose of analyzing pull-out experiments, and (2) the relation between the bridging stress and the crack opening displacement to be used in studying the mechanics and stability of matrix crack bridged by fibers at high temperatures. The present analysis can also be applied to Nicalon-reinforced CVD SiC matrix system since the Nicalon fibers exhibit creep characteristics similar to those of the SCS-6 fibers

  2. SEMICONDUCTOR DEVICES: Two-dimensional threshold voltage analytical model of DMG strained-silicon-on-insulator MOSFETs

    Science.gov (United States)

    Jin, Li; Hongxia, Liu; Bin, Li; Lei, Cao; Bo, Yuan

    2010-08-01

    For the first time, a simple and accurate two-dimensional analytical model for the surface potential variation along the channel in fully depleted dual-material gate strained-Si-on-insulator (DMG SSOI) MOSFETs is developed. We investigate the improved short channel effect (SCE), hot carrier effect (HCE), drain-induced barrier-lowering (DIBL) and carrier transport efficiency for the novel structure MOSFET. The analytical model takes into account the effects of different metal gate lengths, work functions, the drain bias and Ge mole fraction in the relaxed SiGe buffer. The surface potential in the channel region exhibits a step potential, which can suppress SCE, HCE and DIBL. Also, strained-Si and SOI structure can improve the carrier transport efficiency, with strained-Si being particularly effective. Further, the threshold voltage model correctly predicts a “rollup" in threshold voltage with decreasing channel length ratios or Ge mole fraction in the relaxed SiGe buffer. The validity of the two-dimensional analytical model is verified using numerical simulations.

  3. Multilayered Si nanoparticle/reduced graphene oxide hybrid as a high-performance lithium-ion battery anode.

    Science.gov (United States)

    Chang, Jingbo; Huang, Xingkang; Zhou, Guihua; Cui, Shumao; Hallac, Peter B; Jiang, Junwei; Hurley, Patrick T; Chen, Junhong

    2014-02-01

    Multilayered Si/RGO anode nanostructures, featuring alternating Si nanoparticle (NP) and RGO layers, good mechanical stability, and high electrical conductivity, allow Si NPs to easily expand between RGO layers, thereby leading to high reversible capacity up to 2300 mAh g(-1) at 0.05 C (120 mA g(-1) ) and 87% capacity retention (up to 630 mAh g(-1) ) at 10 C after 152 cycles. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. High temperature flow behaviour of SiC reinforced lithium

    Indian Academy of Sciences (India)

    The compressive flow behaviour of lithium aluminosilicate (LAS) glass, with and without SiC particulate reinforcements, was studied. The LAS glass crystallized to spodumene during high-temperature testing. The flow behaviour of LAS glass changed from Newtonian to non-Newtonian due to the presence of crystalline ...

  5. Wide bandgap, strain-balanced quantum well tunnel junctions on InP substrates

    International Nuclear Information System (INIS)

    Lumb, M. P.; Yakes, M. K.; Schmieder, K. J.; Affouda, C. A.; Walters, R. J.; González, M.; Bennett, M. F.; Herrera, M.; Delgado, F. J.; Molina, S. I.

    2016-01-01

    In this work, the electrical performance of strain-balanced quantum well tunnel junctions with varying designs is presented. Strain-balanced quantum well tunnel junctions comprising compressively strained InAlAs wells and tensile-strained InAlAs barriers were grown on InP substrates using solid-source molecular beam epitaxy. The use of InAlAs enables InP-based tunnel junction devices to be produced using wide bandgap layers, enabling high electrical performance with low absorption. The impact of well and barrier thickness on the electrical performance was investigated, in addition to the impact of Si and Be doping concentration. Finally, the impact of an InGaAs quantum well at the junction interface is presented, enabling a peak tunnel current density of 47.6 A/cm 2 to be realized.

  6. Wide bandgap, strain-balanced quantum well tunnel junctions on InP substrates

    Energy Technology Data Exchange (ETDEWEB)

    Lumb, M. P. [The George Washington University, Washington, DC 20037 (United States); US Naval Research Laboratory, Washington, DC 20375 (United States); Yakes, M. K.; Schmieder, K. J.; Affouda, C. A.; Walters, R. J. [US Naval Research Laboratory, Washington, DC 20375 (United States); González, M.; Bennett, M. F. [Sotera Defense Solutions, Annapolis Junction, Maryland 20701 (United States); US Naval Research Laboratory, Washington, DC 20375 (United States); Herrera, M.; Delgado, F. J.; Molina, S. I. [University of Cádiz, 11510, Puerto Real, Cádiz (Spain)

    2016-05-21

    In this work, the electrical performance of strain-balanced quantum well tunnel junctions with varying designs is presented. Strain-balanced quantum well tunnel junctions comprising compressively strained InAlAs wells and tensile-strained InAlAs barriers were grown on InP substrates using solid-source molecular beam epitaxy. The use of InAlAs enables InP-based tunnel junction devices to be produced using wide bandgap layers, enabling high electrical performance with low absorption. The impact of well and barrier thickness on the electrical performance was investigated, in addition to the impact of Si and Be doping concentration. Finally, the impact of an InGaAs quantum well at the junction interface is presented, enabling a peak tunnel current density of 47.6 A/cm{sup 2} to be realized.

  7. A self-ordered, body-centered tetragonal superlattice of SiGe nanodot growth by reduced pressure CVD

    Science.gov (United States)

    Yamamoto, Yuji; Zaumseil, Peter; Capellini, Giovanni; Schubert, Markus Andreas; Hesse, Anne; Albani, Marco; Bergamaschini, Roberto; Montalenti, Francesco; Schroeder, Thomas; Tillack, Bernd

    2017-12-01

    Self-ordered three-dimensional body-centered tetragonal (BCT) SiGe nanodot structures are fabricated by depositing SiGe/Si superlattice layer stacks using reduced pressure chemical vapor deposition. For high enough Ge content in the island (>30%) and deposition temperature of the Si spacer layers (T > 700 °C), we observe the formation of an ordered array with islands arranged in staggered position in adjacent layers. The in plane periodicity of the islands can be selected by a suitable choice of the annealing temperature before the Si spacer layer growth and of the SiGe dot volume, while only a weak influence of the Ge concentration is observed. Phase-field simulations are used to clarify the driving force determining the observed BCT ordering, shedding light on the competition between heteroepitaxial strain and surface-energy minimization in the presence of a non-negligible surface roughness.

  8. The H{sub 60}Si{sub 6}C{sub 54} heterofullerene as high-capacity hydrogen storage medium

    Energy Technology Data Exchange (ETDEWEB)

    Yong, Yongliang, E-mail: ylyong@haust.edu.cn [College of Physics and Engineering, Henan University of Science and Technology, Luoyang 471003 (China); Department of Physics, Zhejiang University, Hangzhou 310027 (China); Zhou, Qingxiao; Li, Xiaohong; Lv, Shijie [College of Physics and Engineering, Henan University of Science and Technology, Luoyang 471003 (China)

    2016-07-15

    With the great success in Si atoms doped C{sub 60} fullerene and the well-established methods for synthesis of hydrogenated carbon fullerenes, this leads naturally to wonder whether Si-doped fullerenes are possible for special applications such as hydrogen storage. Here by using first-principles calculations, we design a novel high-capacity hydrogen storage material, H{sub 60}Si{sub 6}C{sub 54} heterofullerene, and confirm its geometric stability. It is found that the H{sub 60}Si{sub 6}C{sub 54} heterofullerene has a large HOMO-LUMO gap and a high symmetry, indicating it is high chemically stable. Further, our finite temperature simulations indicate that the H{sub 60}Si{sub 6}C{sub 54} heterofullerene is thermally stable at 300 K. H{sub 2} molecules would enter into the cage from the Si-hexagon ring because of lower energy barrier. Through our calculation, a maximum of 21 H{sub 2} molecules can be stored inside the H{sub 60}Si{sub 6}C{sub 54} cage in molecular form, leading to a gravimetric density of 11.11 wt% for 21H{sub 2}@H{sub 60}Si{sub 6}C{sub 54} system, which suggests that the hydrogenated Si{sub 6}C{sub 54} heterofullerene could be suitable as a high-capacity hydrogen storage material.

  9. Origin of the high p-doping in F intercalated graphene on SiC

    KAUST Repository

    Cheng, Yingchun

    2011-08-04

    The atomic and electronic structures of F intercalated epitaxialgraphene on a SiC(0001) substrate are studied by first-principles calculations. A three-step fluorination process is proposed. First, F atoms are intercalated between the graphene and the SiC, which restores the Dirac point in the band structure. Second, saturation of the topmost Si dangling bonds introduces p-doping up to 0.37 eV. Third, F atoms bond covalently to the graphene to enhance the p-doping. Our model explains the highly p-doped state of graphene on SiC after fluorination [A. L. Walter et al., Appl. Phys. Lett. 98, 184102 (2011)].

  10. Preparation of a Si/SiO2 -Ordered-Mesoporous-Carbon Nanocomposite as an Anode for High-Performance Lithium-Ion and Sodium-Ion Batteries.

    Science.gov (United States)

    Zeng, Lingxing; Liu, Renpin; Han, Lei; Luo, Fenqiang; Chen, Xi; Wang, Jianbiao; Qian, Qingrong; Chen, Qinghua; Wei, Mingdeng

    2018-04-03

    In this work, an Si/SiO 2 -ordered-mesoporous carbon (Si/SiO 2 -OMC) nanocomposite was initially fabricated through a magnesiothermic reduction strategy by using a two-dimensional bicontinuous mesochannel of SiO 2 -OMC as a precursor, combined with an NaOH etching process, in which crystal Si/amorphous SiO 2 nanoparticles were encapsulated into the OMC matrix. Not only can such unique porous crystal Si/amorphous SiO 2 nanoparticles uniformly dispersed in the OMC matrix mitigate the volume change of active materials during the cycling process, but they can also improve electrical conductivity of Si/SiO 2 and facilitate the Li + /Na + diffusion. When applied as an anode for lithium-ion batteries (LIBs), the Si/SiO 2 -OMC composite displayed superior reversible capacity (958 mA h g -1 at 0.2 A g -1 after 100 cycles) and good cycling life (retaining a capacity of 459 mA h g -1 at 2 A g -1 after 1000 cycles). For sodium-ion batteries (SIBs), the composite maintained a high capacity of 423 mA h g -1 after 100 cycles at 0.05 A g -1 and an extremely stable reversible capacity of 190 mA h g -1 was retained even after 500 cycles at 1 A g -1 . This performance is one of the best long-term cycling properties of Si-based SIB anode materials. The Si/SiO 2 -OMC composites exhibited great potential as an alternative material for both lithium- and sodium-ion battery anodes. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Modifying the Electronic Properties of Nano-Structures Using Strain

    International Nuclear Information System (INIS)

    Lamba, V K; Engles, D

    2012-01-01

    We used density-functional theory based Non equilibrium green function simulations to study the effects of strain and quantum confinement on the electronic properties of Germanium and Silicon NWs along the [110] direction, such as the energy gap and the effective masses of the electron and hole. The diameters of the NWs being studied in a range of 3-20 Å. On basis of our calculation we conclude that the Ge [110] NWs possess a direct band gap, while Si [110] NWs possess indirect band gap at nanoscale. The band gap is almost a linear function of strain when the diameter of Ge NWs D 15 Å; and for Si it is linear in behaviour. On doping silicon wire we found that the bandgap shows parabolic behaviour for change in strain. We also concluded that the band gap and the effective masses of charge carries (i.e. electron and hole) changes by applying the strain to the NWs. Our results suggested that strain can be used to tune the band structures of NWs, which may help in de sign of future nanoelectronic devices.

  12. Electroluminescence of a-Si/c-Si heterojunction solar cells after high energy irradiation

    Energy Technology Data Exchange (ETDEWEB)

    Ferrara, Manuela

    2009-11-24

    The crystalline silicon as absorber material will certainly continue to dominate the market for space applications of solar cells. In the contribution under consideration the applicability of a-Si:H/c-Si heterojunction solar cells in space has been tested by the investigation of the cell modification by high energy protons and comparing the results to the degradation of homojunction crystalline silicon reference cells. The investigated solar cells have been irradiated with protons of different energies and doses. For all investigated solar cells the maximum damage happens for an energy of about 1.7 MeV and is mainly due to the decrease of the effective minority carrier diffusion length in the crystalline silicon absorber. Simulations carried out by AFORS-HET, a heterojunction simulation program, also confirmed this result. The main degradation mechanism for all types of devices is the monotonically decreasing charge carrier diffusion length in the p-type monocrystalline silicon absorber layer. For the heterojunction solar cell an enhancement of the photocurrent in the blue wavelength region has been observed but only in the case of heterojunction solar cell with intrinsic a-Si:H buffer layer. Additionally to the traditional characterization techniques the electroluminescence technique used for monitoring the modifications of the heteroluminescence technique used for monitoring the modifications of the heterointerface between amorphous silicon and crystalline silicon in solar cells after proton irradiation. A direct relation between minority carrier diffusion length and electroluminescence quantum efficiency has been observed but also details of the interface modification could be monitored by this technique.

  13. Mechanical performance of Hi-Nicalon/CVI-SiC composites with multilayer SiC/C interfaces

    Energy Technology Data Exchange (ETDEWEB)

    Halverson, H.G.; Carter, R.H.; Curtin, W.A. [Virginia Polytechnic Inst. and State Univ., Blacksburg, VA (United States). Dept. of Engineering Science and Mechanics

    1997-12-01

    The mechanical properties and interfacial characteristics of new SiC/SiC ceramic composites, composed of Hi-Nicalon fibers in a CVI-SiC matrix and having a variety of multilayer SiC/C coatings between the fibers and the matrix, are studied in detail to elucidate the roles of the coatings and fibers. Axial tension tests and unload/reload hysteresis loop measurements are performed to determine mechanical performance. All materials exhibit the strong and tough behavior characteristic of good ceramic composites, with all multilayer variants performing quite similarly. SEM microscopy demonstrates that matrix cracks penetrate through the multilayers and debond at the fiber/inner-coating interface. Analysis of the hysteretic behavior leads to values for interfacial sliding resistance {tau} {approx} 11 ksi and interfacial toughness {Gamma}{sub i} {approx} 2 J/m{sup 2} that are nearly independent of multilayer structure, and are similar to values obtained for standard pyrolitic carbon interfaces. These results all indicate debonding at the fiber surface for all coating structures, which provides a common roughness, {tau}, and {Gamma}{sub i}. Analysis of fiber fracture mirrors provides an estimate of the in-situ strength of the fibers and demonstrates the high strength retention of the Hi-Nicalon fibers. The in-situ fiber strengths are combined with the measured pullout lengths to obtain an independent determination of {tau} = 8.5 ksi that agrees well with the value found from the hysteretic behavior. Predictions of composite strength using the derived fiber strengths agree well with the measured value although the predicted failure strain is too large. This study demonstrates that Hi-Nicalon fiber/CVI-SiC composites perform well for a wide range of multilayer interface structures and that the interfaces present relatively high values of {tau} and {Gamma}{sub i}, both of which are beneficial to strength and toughness. The small carbon layer thicknesses in these multilayer

  14. A Model for High-Strain-Rate Deformation of Uranium-Niobium Alloys

    Energy Technology Data Exchange (ETDEWEB)

    F.L.Addessio; Q.H.Zuo; T.A.Mason; L.C.Brinson

    2003-05-01

    A thermodynamic approach is used to develop a framework for modeling uranium-niobium alloys under the conditions of high strain rate. Using this framework, a three-dimensional phenomenological model, which includes nonlinear elasticity (equation of state), phase transformation, crystal reorientation, rate-dependent plasticity, and porosity growth is presented. An implicit numerical technique is used to solve the evolution equations for the material state. Comparisons are made between the model and data for low-strain-rate loading and unloading as well as for heating and cooling experiments. Comparisons of the model and data also are made for low- and high-strain-rate uniaxial stress and uniaxial strain experiments. A uranium-6 weight percent niobium alloy is used in the comparisons of model and experiment.

  15. Challenges in Accommodating Volume Change of Si Anodes for Li-Ion Batteries.

    Science.gov (United States)

    Ko, Minseong; Chae, Sujong; Cho, Jaephil

    2015-11-01

    Si has been considered as a promising alternative anode for next-generation Li-ion batteries (LIBs) because of its high theoretical energy density, relatively low working potential, and abundance in nature. However, Si anodes exhibit rapid capacity decay and an increase in the internal resistance, which are caused by the large volume changes upon Li insertion and extraction. This unfortunately limits their practical applications. Therefore, managing the total volume change remains a critical challenge for effectively alleviating the mechanical fractures and instability of solid-electrolyte-interphase products. In this regard, we review the recent progress in volume-change-accommodating Si electrodes and investigate their ingenious structures with significant improvements in the battery performance, including size-controlled materials, patterned thin films, porous structures, shape-preserving shell designs, and graphene composites. These representative approaches potentially overcome the large morphologic changes in the volume of Si anodes by securing the strain relaxation and structural integrity in the entire electrode. Finally, we propose perspectives and future challenges to realize the practical application of Si anodes in LIB systems.

  16. Microstructure, electrical, and optical properties of evaporated PtSi/p-Si(100) Schottky barriers as high quantum efficient infrared detectors

    International Nuclear Information System (INIS)

    Wu Jihhuah; Chang Rongsen; Horng Gwoji

    2004-01-01

    The effects of the microstructure and the electrical and optical properties on the formation at highly efficient infrared PtSi Schottky barrier detectors (SBD) have been studied in detail. Two- to twelve-nanometer-thick PtSi films were grown by evaporation at temperature ranging from 350 to 550 deg. C. The electron diffraction patterns indicate the existence of both the (11-bar0) and (12-bar1) orientations when PtSi films formed at 350 deg. C. However, the diffraction patterns show only the (12-bar1) orientation when the PtSi films are formed at 450 deg. C or above. The electrical barrier height of the Schottky barrier detector that formed at 350 deg. C was about 20 meV higher than that formed at 450 deg. C or above. The grain size and the film thickness had a negligible effect on the electrical barrier height. However, the optical performance was strongly dependent on the film thickness and the growth conditions. The 350 deg. C PtSi film showed increased quantum efficiency as the film thickness decreased. The optimal thickness that provided the highest responsivity was 2 nm. On the other hand, the optimal thickness shifted to 8 nm for PtSi film formed at 450 deg. C or above. These results indicate that the quantum efficiency of a detector can be improved if the PtSi film has an orientation at (12-bar1), a larger grain size, and an optimal film thickness

  17. Extreme implanting in Si: A study of ion-induced damage at high temperature and high dose

    International Nuclear Information System (INIS)

    Holland, O.W.

    1994-01-01

    Ion-solid interactions near room temperature and below have been well studied in single-crystal Si. While this has led to a better understanding of the mechanisms responsible for nucleation and growth of lattice damage during irradiation, these studies have not, in general, been extended to high temperatures (e.g., >200 degrees C). This is the case despite the commercialization of ion beam technologies which utilize high-temperature processing, such as separation by implantation of oxygen (SIMOX). In this process, a silicon-on-insulator (SOI) material is produced by implanting a high dose of oxygen ions into a Si wafer to form a buried, stoichiometric oxide layer. Results will be presented of a study of damage accumulation during high-dose implantation of Si at elevated temperatures. In particular, O + -ions were used because of the potential impact of the results on the SIMOX technology. It will be shown that the nature of the damage accumulation at elevated temperatures is quite distinctive and portends the presence of a new mechanism, one which is only dominant under the extreme conditions encountered during ion beam synthesis (i.e., high temperature and high dose). This mechanism is discussed and shown to be quite general and not dependent on the chemical identity of the ions. Also, techniques for suppressing this mechanism by open-quotes defect engineeringclose quotes are discussed. Such techniques are technologically relevant because they offer the possibility of reducing the defect density of the SOI produced by SIMOX

  18. Origin of the high p-doping in F intercalated graphene on SiC

    KAUST Repository

    Cheng, Yingchun; Kaloni, T. P.; Huang, G. S.; Schwingenschlö gl, Udo

    2011-01-01

    and the SiC, which restores the Dirac point in the band structure. Second, saturation of the topmost Si dangling bonds introduces p-doping up to 0.37 eV. Third, F atoms bond covalently to the graphene to enhance the p-doping. Our model explains the highly p

  19. First-principles investigation of strain effects on the energy gaps in silicon nanoclusters

    International Nuclear Information System (INIS)

    Peng, X-H; Alizadeh, A; Bhate, N; Varanasi, K K; Kumar, S K; Nayak, S K

    2007-01-01

    First-principles density functional calculations were performed to study strain effects on the energy gaps in silicon nanoclusters with diameter ranging from 0.6 to 2 nm. Hydrostatic and non-hydrostatic strains have been found to affect the energy gaps differently. For the same strain energy density, non-hydrostatic strain leads to a significantly larger change in the energy gap of silicon clusters compared to that of the hydrostatic strain case. In contrast, hydrostatic and non-hydrostatic strain effects on the energy gaps of bulk Si or larger size Si quantum dots are comparable. Non-hydrostatic strains break the tetrahedral bonding symmetry in silicon, resulting in significant variation in the energy gaps due to the splitting of the degenerate orbitals in the clusters. Our results suggest that the combination of energy gaps and strains permits the engineering of photoluminescence in silicon nanoclusters and offers the possibility of designing novel optical devices and chemical sensors

  20. Metal Fe3+ ions assisted synthesis of highly monodisperse Ag/SiO2 nanohybrids and their antibacterial activity

    International Nuclear Information System (INIS)

    Zhang, Nianchun; Xue, Feng; Yu, Xiang; Zhou, Huihua; Ding, Enyong

    2013-01-01

    Graphical abstract: TEM images of the Ag/SiO 2 -2 nanohybrids. The homogeneous and more mono-disperse Ag nanoparticles deposit on SiO 2 spheres. Through this method, Ag nanoparticles are easily formed on the surface of SiO 2 compared to other methods. Highlights: ► We prepared homogeneous and mono-dispersed Ag/SiO 2 -2 nanohybrids by adding Fe 3+ ions. ► The Ag/SiO 2 -2 nanohybrids had core(SiO 2 )-shell(Ag) structure. ► The Ag/SiO 2 -2 nanohybrids exhibited excellent antibacterial activity against bacteria. ► The reaction temperature was lower and the yield of Ag/SiO 2 -2 nanohybrids were higher. - Abstract: Highly monodispersed Ag/SiO 2 nanohybrids with excellent antibacterial property were synthesized by using DMF as a reducing agent and employing an additional redox potential of metal Fe 3+ ion as a catalytic agent. The obtained Ag/SiO 2 -2 nanohybrids of about 240 nm were highly monodispersity and uniformity by adding trace Fe 3+ ions into the reaction which Ag + reacted with N,N-dimethyl formamide (DMF) at 70 °C. Compared to the conventional techniques, which need long time and high temperature for silica coating of Ag nanoparticles, this new method was capable of synthesizing monodispersed, uniform, high yield Ag/SiO 2 nanohybrids. The electron was transferred from the Fe 2+ ion to the Ag + ion to accelerate the nucleation of silver nanoparticles. The chemical structures, morphologies and properties of the Ag/SiO 2 nanohybrids were characterized by X-ray diffraction (XRD), (High-resolution, Scanning transmission) transmission electron microscopy (TEM, HRTEM and STEM), and X-ray photoelectron spectroscopy (XPS), and UV–vis spectroscopy (UV–vis) and test of antibacterial. The results demonstrated that the silver nanoparticles supported on the surface of SiO 2 spheres in Ag/SiO 2 -2 nanohybrids structure, the Ag nanoparticles were homogeneous and monodispersed. The results also indicated that the Ag/SiO 2 -2 nanohybrid had excellent antibacterial.

  1. Highly Sensitive Multifilament Fiber Strain Sensors with Ultrabroad Sensing Range for Textile Electronics.

    Science.gov (United States)

    Lee, Jaehong; Shin, Sera; Lee, Sanggeun; Song, Jaekang; Kang, Subin; Han, Heetak; Kim, SeulGee; Kim, Seunghoe; Seo, Jungmok; Kim, DaeEun; Lee, Taeyoon

    2018-05-22

    Highly stretchable fiber strain sensors are one of the most important components for various applications in wearable electronics, electronic textiles, and biomedical electronics. Herein, we present a facile approach for fabricating highly stretchable and sensitive fiber strain sensors by embedding Ag nanoparticles into a stretchable fiber with a multifilament structure. The multifilament structure and Ag-rich shells of the fiber strain sensor enable the sensor to simultaneously achieve both a high sensitivity and largely wide sensing range despite its simple fabrication process and components. The fiber strain sensor simultaneously exhibits ultrahigh gauge factors (∼9.3 × 10 5 and ∼659 in the first stretching and subsequent stretching, respectively), a very broad strain-sensing range (450 and 200% for the first and subsequent stretching, respectively), and high durability for more than 10 000 stretching cycles. The fiber strain sensors can also be readily integrated into a glove to control a hand robot and effectively applied to monitor the large volume expansion of a balloon and a pig bladder for an artificial bladder system, thereby demonstrating the potential of the fiber strain sensors as candidates for electronic textiles, wearable electronics, and biomedical engineering.

  2. Strain hardening by dynamic slip band refinement in a high-Mn lightweight steel

    International Nuclear Information System (INIS)

    Welsch, E.; Ponge, D.; Hafez Haghighat, S.M.; Sandlöbes, S.; Choi, P.; Herbig, M.; Zaefferer, S.; Raabe, D.

    2016-01-01

    The strain hardening mechanism of a high-Mn lightweight steel (Fe-30.4Mn-8Al-1.2C (wt%)) is investigated by electron channeling contrast imaging (ECCI) and transmission electron microscopy (TEM). The alloy is characterized by a constant high strain hardening rate accompanied by high strength and high ductility (ultimate tensile strength: 900 MPa, elongation to fracture: 68%). Deformation microstructures at different strain levels are studied in order to reveal and quantify the governing structural parameters at micro- and nanometer scales. As the material deforms mainly by planar dislocation slip causing the formation of slip bands, we quantitatively study the evolution of the slip band spacing during straining. The flow stress is calculated from the slip band spacing on the basis of the passing stress. The good agreement between the calculated values and the tensile test data shows dynamic slip band refinement as the main strain hardening mechanism, enabling the excellent mechanical properties. This novel strain hardening mechanism is based on the passing stress acting between co-planar slip bands in contrast to earlier attempts to explain the strain hardening in high-Mn lightweight steels that are based on grain subdivision by microbands. We discuss in detail the formation of the finely distributed slip bands and the gradual reduction of the spacing between them, leading to constantly high strain hardening. TEM investigations of the precipitation state in the as-quenched state show finely dispersed atomically ordered clusters (size < 2 nm). The influence of these zones on planar slip is discussed.

  3. Heteroepitaxy of Ge on Si(001) with pits and windows transferred from free-standing porous alumina mask

    International Nuclear Information System (INIS)

    Huangfu, Yourui; Zhan Wenbo; Hong Xia; Fang Xu; Ye Hui; Ding Guqiao

    2013-01-01

    This paper reports the use of ultrathin free-standing porous alumina membrane (PAM) in pattern transferring for selective epitaxial growth (SEG) of Ge dots and films on Si. PAM, as a large-scale, controllable and lithography-free mask, can transfer nanopatterns onto Si without introducing any contaminants. High-density Ge dots are achievable with Ge adatoms confined in Si pits transferred from PAM. High-quality Ge films can also be grown on Si substrates through SiO 2 nano-windows. In this work, 80 and 60 nm pore sizes of PAM were transferred to 70, 50 and 20 nm windows for comparison. For the former two sizes, over-etching of Si beneath every SiO 2 window forms epi-seeds to improve intermixing of Ge–Si. No threading dislocations can be observed emanating from the epi-seeds due to the decreased lattice mismatch. An innovative shadow-etching technique utilizing the aspect ratio of PAM further decreased the lateral dimension of patterns from 60 to 20 nm. Cross-sectional transmission electron microscopy images show that the selective epitaxial Ge films grown from a 20 nm-width interface are defect free, which is attributed to the exponential decay of strain energy as well as Ge–Si intermixing. (paper)

  4. Facile synthesis of uniform MWCNT@Si nanocomposites as high-performance anode materials for lithium-ion batteries

    Energy Technology Data Exchange (ETDEWEB)

    Chen, Yifan; Du, Ning, E-mail: dna1122@zju.edu.cn; Zhang, Hui; Yang, Deren

    2015-02-15

    Highlights: • A uniform SiO{sub 2} layer was deposited on multi-walled carbon nanotube. • Synthesis of uniform (MWCNT)@Si nanocomposites via the magnesiothermic reduction. • The MWCNT@Si nanocomposites show high reversible capacity and good cyclability. • Enhanced performance is attributed to porous nanostructure, introduction of MWCNTs. - Abstract: We demonstrate the synthesis of uniform multi-walled carbon nanotube (MWCNT)@Si nanocomposites via the magnesiothermic reduction of pre-synthesized MWCNT@SiO{sub 2} nanocables. At first, the acid vapor steaming is used to treat the surface, which can facilitate the uniform deposition of SiO{sub 2} layer via the TEOS hydrolysis. Then, the uniform MWCNT@Si nanocomposites are obtained on the basis of MWCNT@SiO{sub 2} nanocables via a simple magnesiothermic reduction. When used as an anode material for lithium-ion batteries, the as-synthesized MWCNT@Si nanocomposites show high reversible capacity and good cycling performance, which is better than bulk Si and bare MWCNTs. It is believed that the good electrochemical performance can be attributed to the novel porous nanostructure and the introduction of MWCNTs that can buffer the volume change, maintain the electrical conductive network, and enhance the electronic conductivity and lithium-ion transport.

  5. Comparative Genomic Characterization of the Highly Persistent and Potentially Virulent Cronobacter sakazakii ST83, CC65 Strain H322 and Other ST83 Strains

    Directory of Open Access Journals (Sweden)

    Hannah R. Chase

    2017-06-01

    Full Text Available Cronobacter (C. sakazakii is an opportunistic pathogen and has been associated with serious infections with high mortality rates predominantly in pre-term, low-birth weight and/or immune compromised neonates and infants. Infections have been epidemiologically linked to consumption of intrinsically and extrinsically contaminated lots of reconstituted powdered infant formula (PIF, thus contamination of such products is a challenging task for the PIF producing industry. We present the draft genome of C. sakazakii H322, a highly persistent sequence type (ST 83, clonal complex (CC 65, serotype O:7 strain obtained from a batch of non-released contaminated PIF product. The presence of this strain in the production environment was traced back more than 4 years. Whole genome sequencing (WGS of this strain together with four more ST83 strains (PIF production environment-associated confirmed a high degree of sequence homology among four of the five strains. Phylogenetic analysis using microarray (MA and WGS data showed that the ST83 strains were highly phylogenetically related and MA showed that between 5 and 38 genes differed from one another in these strains. All strains possessed the pESA3-like virulence plasmid and one strain possessed a pESA2-like plasmid. In addition, a pCS1-like plasmid was also found. In order to assess the potential in vivo pathogenicity of the ST83 strains, each strain was subjected to infection studies using the recently developed zebrafish embryo model. Our results showed a high (90–100% zebrafish mortality rate for all of these strains, suggesting a high risk for infections and illness in neonates potentially exposed to PIF contaminated with ST83 C. sakazakii strains. In summary, virulent ST83, CC65, serotype CsakO:7 strains, though rarely found intrinsically in PIF, can persist within a PIF manufacturing facility for years and potentially pose significant quality assurance challenges to the PIF manufacturing industry.

  6. Tunneling magnetoresistance in Fe{sub 3}Si/MgO/Fe{sub 3}Si(001) magnetic tunnel junctions

    Energy Technology Data Exchange (ETDEWEB)

    Tao, L. L.; Liang, S. H.; Liu, D. P.; Wei, H. X.; Han, X. F., E-mail: xfhan@iphy.ac.cn [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China); Wang, Jian [Department of Physics and the Center of Theoretical and Computational Physics, The University of Hong Kong, Hong Kong (China)

    2014-04-28

    We present a theoretical study of the tunneling magnetoresistance (TMR) and spin-polarized transport in Fe{sub 3}Si/MgO/Fe{sub 3}Si(001) magnetic tunnel junction (MTJ). It is found that the spin-polarized conductance and bias-dependent TMR ratios are rather sensitive to the structure of Fe{sub 3}Si electrode. From the symmetry analysis of the band structures, we found that there is no spin-polarized Δ{sub 1} symmetry bands crossing the Fermi level for the cubic Fe{sub 3}Si. In contrast, the tetragonal Fe{sub 3}Si driven by in-plane strain reveals half-metal nature in terms of Δ{sub 1} state. The giant TMR ratios are predicted for both MTJs with cubic and tetragonal Fe{sub 3}Si electrodes under zero bias. However, the giant TMR ratio resulting from interface resonant transmission for the former decreases rapidly with the bias. For the latter, the giant TMR ratio can maintain up to larger bias due to coherent transmission through the majority-spin Δ{sub 1} channel.

  7. A micro-structured Si-based electrodes for high capacity electrical double layer capacitors

    International Nuclear Information System (INIS)

    Krikscikas, Valdas; Oguchi, Hiroyuki; Hara, Motoaki; Kuwano, Hiroki; Yanazawa, Hiroshi

    2014-01-01

    We challenged to make basis for Si electrodes of electric double layer capacitors (EDLC) used as a power source of micro-sensor nodes. Mcroelectromechanical systems (MEMS) processes were successfully introduced to fabricate micro-structured Si-based electrodes to obtain high surface area which leads to high capacity of EDLCs. Study of fundamental properties revealed that the microstructured electrodes benefit from good wettability to electrolytes, but suffer from electric resistance. We found that this problem can be solved by metal-coating of the electrode surface. Finally we build an EDLC consisting of Au-coated micro-structured Si electrodes. This EDLC showed capacity of 14.3 mF/cm 2 , which is about 530 times larger than that of an EDLC consisting of flat Au electrodes

  8. Flexural creep of coated SiC-fiber-reinforced glass-ceramic composites

    International Nuclear Information System (INIS)

    Sun, E.Y.

    1995-01-01

    This study reports the flexural creep behavior of a fiber-reinforced glass-ceramic and associated changes in microstructure. SiC fibers were coated with a dual layer of SiC/BN to provide a weak interface that was stable at high temperatures. Flexural creep, creep-rupture, and creep-strain recovery experiments were conducted on composite material and barium-magnesium aluminosilicate matrix from 1,000 to 1,200 C. Below 1,130 C, creep rates were extremely low (∼10 -9 s -1 ), preventing accurate measurement of the stress dependence. Above 1,130 C, creep rates were in the 10 -8 s -1 range. The creep-rupture strength of the composite at 1,100 C was about 75--80% of the fast fracture strength. Creep-strain recovery experiments showed recovery of up to 90% under prolonged unloading. Experimental creep results from the composite and the matrix were compared, and microstructural observations by TEM were employed to assess the effectiveness of the fiber coatings and to determine the mechanism(s) of creep deformation and damage

  9. Nanofabrication on a Si surface by slow highly charged ion impact

    International Nuclear Information System (INIS)

    Tona, Masahide; Watanabe, Hirofumi; Takahashi, Satoshi; Nakamura, Nobuyuki; Yoshiyasu, Nobuo; Sakurai, Makoto; Terui, Toshifumi; Mashiko, Shinro; Yamada, Chikashi; Ohtani, Shunsuke

    2007-01-01

    We have observed surface chemical reactions which occur at the impact sites on a Si(1 1 1)-(7 x 7) surface and a highly oriented pyrolytic graphite (HOPG) surface bombarded by highly charged ions (HCIs) by using a scanning tunneling microscope (STM). Crater structures are formed on the Si(1 1 1)-(7 x 7) surface by single I 50+ -impacts. STM-observation for the early step of oxidation on the surface suggests that the impact site is so active that dangling bonds created by HCI impacts are immediately quenched by reaction with residual gas molecules. We show also the selective adsorption of organic molecules at a HCI-induced impact site on the HOPG surface

  10. Growth and characterization of Ge nano-structures on Si(113) by adsorbate-mediated epitaxy; Wachstum und Charakterisierung von Ge-Nanostrukturen auf Si(113) durch Adsorbat-modifizierte Epitaxie

    Energy Technology Data Exchange (ETDEWEB)

    Clausen, T.

    2006-11-15

    In the work presented here Ge nano-structures on Si(113) substrates have been grown by adsorbate-mediated epitaxy at sample temperatures between 400 C and 700 C. The Ge nano-islands and nano-layers have been investigated regarding their atomic reconstruction, morphology, strain state, chemical composition and defect structure. Various in-situ and ex-situ experimental techniques have been used, as there are low-energy electron diffraction, low-energy electron microscopy, X-ray photoemission electron microscopy, spot profile analysis low-energy electron diffraction, grazing incidence X-ray diffraction, scanning tunneling microscopy, atomic force microscopy, scanning electron microscopy and transmission electron microscopy. On a clean Si(113) surface Ge preferentially nucleates at surface step edges and forms a wetting layer exhibiting a Ge-(2 x 2) surface reconstruction. With increasing growth temperature the Ge islands are elongated in the [33 anti 2] direction. Simultaneously, the average island size increases with decreasing island density. From the Arrhenius-like behaviour of the island density, a Ge adatom diffusion barrier height of about 0.53 eV is deduced. At 600 C the Si concentration of the islands amounts to about 41% and the residual lattice strain of the islands is found to about 23 %. The adsorption of Gallium on a clean Si(113) substrate leads to the formation of well ordered surface facets in the [1 anti 10] direction with a periodicity of about 43 nm in the [33 anti 2] direction. From reciprocal space maps in different ({kappa} {sub perpendicular} {sub to} -{kappa} {sub parallel}) planes both facet angles are determined to be about 9.8 with respect to the [113] direction. Thus the facet orientations are identified to be (112) and (115), showing (6 x 1) and (4 x 1) surface reconstructions, respectively. Ge deposition on the faceted Si(113) leads to a high density of ordered 3D Ge nano-islands beaded at the surface facets. The size of these islands is

  11. Stable and low contact resistance electrical contacts for high temperature SiGe thermoelectric generators

    KAUST Repository

    Zhang, Bo; Zheng, Tao; Wang, Qingxiao; Guo, Zaibing; Kim, Moon J.; Alshareef, Husam N.; Gnade, Bruce E.

    2018-01-01

    that no interdiffusion takes place between TaAlN and SiGe. A specific contact resistivity of (2.1±1.3)×10−6Ω-cm2 for p-type SiGe and (2.8±1.6)×10−5 Ω-cm2 for n-type SiGe is demonstrated after the high temperature annealing. These results show that TaAlN is a promising

  12. The High Strain Rate Deformation Behavior of High Purity Magnesium and AZ31B Magnesium Alloy

    Science.gov (United States)

    Livescu, Veronica; Cady, Carl M.; Cerreta, Ellen K.; Henrie, Benjamin L.; Gray, George T.

    The deformation in compression of pure magnesium and AZ31B magnesium alloy, both with a strong basal pole texture, has been investigated as a function of temperature, strain rate, and specimen orientation. The mechanical response of both metals is highly dependent upon the orientation of loading direction with respect to the basal pole. Specimens compressed along the basal pole direction have a high sensitivity to strain rate and temperature and display a concave down work hardening behavior. Specimens loaded perpendicularly to the basal pole have a yield stress that is relatively insensitive to strain rate and temperature and a work hardening behavior that is parabolic and then linearly upwards. Both specimen orientations display a mechanical response that is sensitive to temperature and strain rate. Post mortem characterization of the pure magnesium was conducted on a subset of specimens to determine the microstructural and textural evolution during deformation and these results are correlated with the observed work hardening behavior and strain rate sensitivities were calculated.

  13. Finite-Element Modeling of Viscoelastic Cells During High-Frequency Cyclic Strain

    Directory of Open Access Journals (Sweden)

    David W. Holdsworth

    2012-03-01

    Full Text Available Mechanotransduction refers to the mechanisms by which cells sense and respond to local loads and forces. The process of mechanotransduction plays an important role both in maintaining tissue viability and in remodeling to repair damage; moreover, it may be involved in the initiation and progression of diseases such as osteoarthritis and osteoporosis. An understanding of the mechanisms by which cells respond to surrounding tissue matrices or artificial biomaterials is crucial in regenerative medicine and in influencing cellular differentiation. Recent studies have shown that some cells may be most sensitive to low-amplitude, high-frequency (i.e., 1–100 Hz mechanical stimulation. Advances in finite-element modeling have made it possible to simulate high-frequency mechanical loading of cells. We have developed a viscoelastic finite-element model of an osteoblastic cell (including cytoskeletal actin stress fibers, attached to an elastomeric membrane undergoing cyclic isotropic radial strain with a peak value of 1,000 µstrain. The results indicate that cells experience significant stress and strain amplification when undergoing high-frequency strain, with peak values of cytoplasmic strain five times higher at 45 Hz than at 1 Hz, and peak Von Mises stress in the nucleus increased by a factor of two. Focal stress and strain amplification in cells undergoing high-frequency mechanical stimulation may play an important role in mechanotransduction.

  14. Bonding of Si wafers by surface activation method for the development of high efficiency high counting rate radiation detectors

    International Nuclear Information System (INIS)

    Kanno, Ikuo; Yamashita, Makoto; Onabe, Hideaki

    2006-01-01

    Si wafers with two different resistivities ranging over two orders of magnitude were bonded by the surface activation method. The resistivities of bonded Si wafers were measured as a function of annealing temperature. Using calculations based on a model, the interface resistivities of bonded Si wafers were estimated as a function of the measured resistivities of bonded Si wafers. With thermal treatment from 500degC to 900degC, all interfaces showed high resistivity, with behavior that was close to that of an insulator. Annealing at 1000degC decreased the interface resistivity and showed close to ideal bonding after thermal treatment at 1100degC. (author)

  15. Effect of high power ultrasound on mechanical properties of Al-Si alloys

    Science.gov (United States)

    Srivastava, N.; Gupta, R.; Chaudhari, G. P.

    2018-03-01

    Effect of high power ultrasonic treatment on the solidification microstructures of Al-Si alloys containing varying content of solute Si (1, 2, 3 and 5 wt %) is investigated. Large variation in microstructures is seen and refinement of primary α-Al grains is observed. It is observed that increasing the weight percentage of solute along with ultrasonic treatment resulted in finer primary phase. By increasing the solute content from 1% to 5 wt.% in Al-Si alloys, hardness increased by about 38% without and 48% with ultrasonic treatment. Tensile strength of the alloys with ultrasonic treatment is higher as compared to those without ultrasonic treated.

  16. Nitride passivation of the interface between high-k dielectrics and SiGe

    Energy Technology Data Exchange (ETDEWEB)

    Sardashti, Kasra [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Materials Science and Engineering Program, University of California, San Diego, La Jolla, California 92093-0411 (United States); Hu, Kai-Ting [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States); Department of Mechanical and Aerospace Engineering, University of California, San Diego, La Jolla, California 92093-0411 (United States); Tang, Kechao; McIntyre, Paul [Department of Materials Science and Engineering, Stanford University, Stanford, California 94305 (United States); Madisetti, Shailesh; Oktyabrsky, Serge [Colleges of Nanoscale Science and Engineering, SUNY Polytechnic Institute, Albany, New York 12222 (United States); Siddiqui, Shariq; Sahu, Bhagawan [TD Research, GLOBALFOUNDRIES US, Inc., Albany, New York 12203 (United States); Yoshida, Naomi; Kachian, Jessica; Dong, Lin [Applied Materials, Inc., Santa Clara, California 95054 (United States); Fruhberger, Bernd [California Institute for Telecommunications and Information Technology, University of California San Diego, La Jolla, California 92093-0436 (United States); Kummel, Andrew C., E-mail: akummel@ucsd.edu [Department of Chemistry and Biochemistry, University of California, San Diego, La Jolla, California 92093-0358 (United States)

    2016-01-04

    In-situ direct ammonia (NH{sub 3}) plasma nitridation has been used to passivate the Al{sub 2}O{sub 3}/SiGe interfaces with Si nitride and oxynitride. X-ray photoelectron spectroscopy of the buried Al{sub 2}O{sub 3}/SiGe interface shows that NH{sub 3} plasma pre-treatment should be performed at high temperatures (300 °C) to fully prevent Ge nitride and oxynitride formation at the interface and Ge out-diffusion into the oxide. C-V and I-V spectroscopy results show a lower density of interface traps and smaller gate leakage for samples with plasma nitridation at 300 °C.

  17. High Productivity DRIE solutions for 3D-SiP and MEMS Volume Manufacturing

    International Nuclear Information System (INIS)

    Puech, M; Thevenoud, J M; Launay, N; Arnal, N; Godinat, P; Andrieu, B; Gruffat, J M

    2006-01-01

    Emerging 3D-SiP technologies and high volume MEMS applications require high productivity mass production DRIE systems. The Alcatel DRIE product range has recently been optimised to reach the highest process and hardware production performances. A study based on sub-micron high aspect ratio structures encountered in the most stringent 3D-SiP has been carried out. The optimization of the Bosch process parameters has resulted in ultra high silicon etch rates, with unrivalled uniformity and repeatability leading to excellent process. In parallel, most recent hardware and proprietary design optimization including vacuum pumping lines, process chamber, wafer chucks, pressure control system, gas delivery are discussed. These improvements have been monitored in a mass production environment for a mobile phone application. Field data analysis shows a significant reduction of cost of ownership thanks to increased throughput and much lower running costs. These benefits are now available for all 3D-SiP and high volume MEMS applications. The typical etched patterns include tapered trenches for CMOS imagers, through silicon via holes for die stacking, well controlled profile angle for 3D high precision inertial sensors, and large exposed area features for inkjet printer heads and Silicon microphones

  18. Estimation of interface resistivity in bonded Si for the development of high performance radiation detectors

    International Nuclear Information System (INIS)

    Kanno, Ikuo; Yamashita, Makoto; Nomiya, Seiichiro; Onabe, Hideaki

    2007-01-01

    For the development of high performance radiation detectors, direct bonding of Si wafers would be an useful method. Previously, p-n bonded Si were fabricated and they showed diode characteristics. The interface resistivity was, however, not investigated in detail. For the study of interface resistivity, n-type Si wafers with different resistivities were bonded. The resistivity of bonded Si wafers were measured and the interface resistivity was estimated by comparing with the results of model calculations. (author)

  19. Self-Passivation by Fluorine Plasma Treatment and Low-Temperature Annealing in SiGe Nano wires for Biochemical Sensors

    International Nuclear Information System (INIS)

    Chang, K.; Chen, C.; Kuo, P.; Chen, Y.; Chang, T.; Lai, C.; Whang, A. J.; Lai, Y.; Chen, H.; Hsieh, I.

    2014-01-01

    Nano wires are widely used as highly sensitive sensors for electrical detection of biological and chemical species. Modifying the band structure of strained-Si metal-oxide-semiconductor field-effect transistors by applying the in-plane tensile strain reportedly improves electron and hole mobility. The oxidation-induced Ge condensation increases the Ge fraction in a SiGe-on-insulator (SGOI) and substantially increases hole mobility. However, oxidation increases the number of surface states, resulting in hole mobility degradation. In this work, 3-aminopropyltrimethoxysilane (APTMS) was used as a biochemical reagent. The hydroxyl molecule on the oxide surface was replaced by the methoxy groups of the APTMS molecule. We proposed a surface plasma treatment to improve the electrical properties of SiGe nano wires. Fluorine plasma treatment can result in enhanced rates of thermal oxidation and speed up the formation of a self-passivation oxide layer. Like a capping oxide layer, the self-passivation oxide layer reduces the rate of follow-up oxidation. Pre oxidation treatment also improved the sensitivity of SiGe nano wires because the Si-F binding was held at a more stable interface state compared to bare nano wire on the SiGe surface. Additionally, the sensitivity can be further improved by either the N 2 plasma posttreatment or the low-temperature post annealing due to the suppression of out diffusion of Ge and F atoms from the SiGe nano wire surface.

  20. Characterization of thick and thin film SiCN for pressure sensing at high temperatures.

    Science.gov (United States)

    Leo, Alfin; Andronenko, Sergey; Stiharu, Ion; Bhat, Rama B

    2010-01-01

    Pressure measurement in high temperature environments is important in many applications to provide valuable information for performance studies. Information on pressure patterns is highly desirable for improving performance, condition monitoring and accurate prediction of the remaining life of systems that operate in extremely high temperature environments, such as gas turbine engines. A number of technologies have been recently investigated, however these technologies target specific applications and they are limited by the maximum operating temperature. Thick and thin films of SiCN can withstand high temperatures. SiCN is a polymer-derived ceramic with liquid phase polymer as its starting material. This provides the advantage that it can be molded to any shape. CERASET™ also yields itself for photolithography, with the addition of photo initiator 2, 2-Dimethoxy-2-phenyl-acetophenone (DMPA), thereby enabling photolithographical patterning of the pre-ceramic polymer using UV lithography. SiCN fabrication includes thermosetting, crosslinking and pyrolysis. The technology is still under investigation for stability and improved performance. This work presents the preparation of SiCN films to be used as the body of a sensor for pressure measurements in high temperature environments. The sensor employs the phenomenon of drag effect. The pressure sensor consists of a slender sensitive element and a thick blocking element. The dimensions and thickness of the films depend on the intended application of the sensors. Fabrication methods of SiCN ceramics both as thin (about 40-60 μm) and thick (about 2-3 mm) films for high temperature applications are discussed. In addition, the influence of thermosetting and annealing processes on mechanical properties is investigated.