WorldWideScience

Sample records for highly specific interconnectivity

  1. Design of a highly parallel board-level-interconnection with 320 Gbps capacity

    Science.gov (United States)

    Lohmann, U.; Jahns, J.; Limmer, S.; Fey, D.; Bauer, H.

    2012-01-01

    A parallel board-level interconnection design is presented consisting of 32 channels, each operating at 10 Gbps. The hardware uses available optoelectronic components (VCSEL, TIA, pin-diodes) and a combination of planarintegrated free-space optics, fiber-bundles and available MEMS-components, like the DMD™ from Texas Instruments. As a specific feature, we present a new modular inter-board interconnect, realized by 3D fiber-matrix connectors. The performance of the interconnect is evaluated with regard to optical properties and power consumption. Finally, we discuss the application of the interconnect for strongly distributed system architectures, as, for example, in high performance embedded computing systems and data centers.

  2. High-speed VCSEL-based optical interconnects

    Science.gov (United States)

    Ishak, Waguih S.

    2001-11-01

    Vertical Cavity Surface Emitting Lasers (VCSEL) have made significant inroads into commercial realization especially in the area of data communications. Single VCSEL devices are key components in Gb Ethernet Transceivers. A multi-element VCSEL array is the key enabling technology for high-speed multi Gb/s parallel optical interconnect modules. In 1996, several companies introduced a new generation of fiber optic products based VCSEL technology such as multimode fiber transceivers for the ANSI Fiber Channel and Gigabit Ethernet IEEE 802.3 standards. VCSELs offer unique advantages over its edge-emitting counterparts in several areas. These include low-cost (LED-like) manufacturability, low current operation and array integrability. As data rates continue to increase, VCSELs offer the advantage of being able to provide the highest modulation bandwidth per milliamp of modulation current. Currently, most of the VCSEL-based products use short (780 - 980 nm) wavelength lasers. However, significant research efforts are taking place at universities and industrial research labs around the world to develop reliable, manufacturable and high-power long (1300 - 1550 nm) wavelength VCSELs. These lasers will allow longer (several km) transmission distances and will help alleviate some of the eye-safety issues. Perhaps, the most important advantage of VCSELs is the ability to form two-dimensional arrays much easier than in the case of edge-emitting lasers. These arrays (single and two-dimensional) will allow a whole new family of applications, specifically in very high-speed computer and switch interconnects.

  3. Ultra-Stretchable Interconnects for High-Density Stretchable Electronics

    Directory of Open Access Journals (Sweden)

    Salman Shafqat

    2017-09-01

    Full Text Available The exciting field of stretchable electronics (SE promises numerous novel applications, particularly in-body and medical diagnostics devices. However, future advanced SE miniature devices will require high-density, extremely stretchable interconnects with micron-scale footprints, which calls for proven standardized (complementary metal-oxide semiconductor (CMOS-type process recipes using bulk integrated circuit (IC microfabrication tools and fine-pitch photolithography patterning. Here, we address this combined challenge of microfabrication with extreme stretchability for high-density SE devices by introducing CMOS-enabled, free-standing, miniaturized interconnect structures that fully exploit their 3D kinematic freedom through an interplay of buckling, torsion, and bending to maximize stretchability. Integration with standard CMOS-type batch processing is assured by utilizing the Flex-to-Rigid (F2R post-processing technology to make the back-end-of-line interconnect structures free-standing, thus enabling the routine microfabrication of highly-stretchable interconnects. The performance and reproducibility of these free-standing structures is promising: an elastic stretch beyond 2000% and ultimate (plastic stretch beyond 3000%, with <0.3% resistance change, and >10 million cycles at 1000% stretch with <1% resistance change. This generic technology provides a new route to exciting highly-stretchable miniature devices.

  4. Circuit and interconnect design for high bit-rate applications

    NARCIS (Netherlands)

    Veenstra, H.

    2006-01-01

    This thesis presents circuit and interconnect design techniques and design flows that address the most difficult and ill-defined aspects of the design of ICs for high bit-rate applications. Bottlenecks in interconnect design, circuit design and on-chip signal distribution for high bit-rate

  5. High-density hybrid interconnect methodologies

    International Nuclear Information System (INIS)

    John, J.; Zimmermann, L.; Moor, P.De; Hoof, C.Van

    2003-01-01

    Full text: The presentation gives an overview of the state-of-the-art of hybrid integration and in particular the IMEC technological approaches that will be able to address future hybrid detector needs. The dense hybrid flip-chip integration of an array of detectors and its dedicated readout electronics can be achieved with a variety of solderbump techniques such as pure Indium or Indium alloys, Ph-In, Ni/PbSn, but also conducting polymers... Particularly for cooled applications or ultra-high density applications, Indium solderbump technology (electroplated or evaporated) is the method of choice. The state-of-the-art of solderbump technologies that are to a high degree independent of the underlying detector material will be presented and examples of interconnect densities between 5x1E4cm-2 and 1x1E6 cm-2 will be demonstrated. For several classes of detectors, flip-chip integration is not allowed since the detectors have to be illuminated from the top. This applies to image sensors for EUV applications such as GaN/AlGaN based detectors and to MEMS-based sensors. In such cases, the only viable interconnection method has to be through the (thinned) detector wafer followed by a solderbump-based integration. The approaches for dense and ultra-dense through-the-wafer interconnect 'vias' will be presented and wafer thinning approaches will be shown

  6. Optical interconnection networks for high-performance computing systems

    International Nuclear Information System (INIS)

    Biberman, Aleksandr; Bergman, Keren

    2012-01-01

    Enabled by silicon photonic technology, optical interconnection networks have the potential to be a key disruptive technology in computing and communication industries. The enduring pursuit of performance gains in computing, combined with stringent power constraints, has fostered the ever-growing computational parallelism associated with chip multiprocessors, memory systems, high-performance computing systems and data centers. Sustaining these parallelism growths introduces unique challenges for on- and off-chip communications, shifting the focus toward novel and fundamentally different communication approaches. Chip-scale photonic interconnection networks, enabled by high-performance silicon photonic devices, offer unprecedented bandwidth scalability with reduced power consumption. We demonstrate that the silicon photonic platforms have already produced all the high-performance photonic devices required to realize these types of networks. Through extensive empirical characterization in much of our work, we demonstrate such feasibility of waveguides, modulators, switches and photodetectors. We also demonstrate systems that simultaneously combine many functionalities to achieve more complex building blocks. We propose novel silicon photonic devices, subsystems, network topologies and architectures to enable unprecedented performance of these photonic interconnection networks. Furthermore, the advantages of photonic interconnection networks extend far beyond the chip, offering advanced communication environments for memory systems, high-performance computing systems, and data centers. (review article)

  7. Interconnected V2O5 nanoporous network for high-performance supercapacitors.

    Science.gov (United States)

    Saravanakumar, B; Purushothaman, Kamatchi K; Muralidharan, G

    2012-09-26

    Vanadium pentoxide (V(2)O(5)) has attracted attention for supercapcitor applications because of its extensive multifunctional properties. In the present study, V(2)O(5) nanoporous network was synthesized via simple capping-agent-assisted precipitation technique and it is further annealed at different temperatures. The effect of annealing temperature on the morphology, electrochemical and structural properties, and stability upon oxidation-reduction cycling has been analyzed for supercapacitor application. We achieved highest specific capacitance of 316 F g(-1) for interconnected V(2)O(5) nanoporous network. This interconnected nanoporous network creates facile nanochannels for ion diffusion and facilitates the easy accessibility of ions. Moreover, after six hundred consecutive cycling processes the specific capacitance has changed only by 24%. A simple cost-effective preparation technique of V(2)O(5) nanoporous network with excellent capacitive behavior, energy density, and stability encourages its possible commercial exploitation for the development of high-performance supercapacitors.

  8. High temperature corrosion of metallic interconnects in solid oxide fuel cells

    International Nuclear Information System (INIS)

    Bastidas, D. M.

    2006-01-01

    Research and development has made it possible to use metallic interconnects in solid oxide fuel cells (SOFC) instead of ceramic materials. The use of metallic interconnects was formerly hindered by the high operating temperature, which made the interconnect degrade too much and too fast to be an efficient alternative. When the operating temperature was lowered, the use of metallic interconnects proved to be favourable since they are easier and cheaper to produce than ceramic interconnects. However, metallic interconnects continue to be degraded despite the lowered temperature, and their corrosion products contribute to electrical degradation in the fuel cell. coatings of nickel, chromium, aluminium, zinc, manganese, yttrium or lanthanum between the interconnect and the electrodes reduce this degradation during operation. (Author) 66 refs

  9. Integrated Optical Interconnect Architectures for Embedded Systems

    CERN Document Server

    Nicolescu, Gabriela

    2013-01-01

    This book provides a broad overview of current research in optical interconnect technologies and architectures. Introductory chapters on high-performance computing and the associated issues in conventional interconnect architectures, and on the fundamental building blocks for integrated optical interconnect, provide the foundations for the bulk of the book which brings together leading experts in the field of optical interconnect architectures for data communication. Particular emphasis is given to the ways in which the photonic components are assembled into architectures to address the needs of data-intensive on-chip communication, and to the performance evaluation of such architectures for specific applications.   Provides state-of-the-art research on the use of optical interconnects in Embedded Systems; Begins with coverage of the basics for high-performance computing and optical interconnect; Includes a variety of on-chip optical communication topologies; Features coverage of system integration and opti...

  10. Individual pore and interconnection size analysis of macroporous ceramic scaffolds using high-resolution X-ray tomography

    Energy Technology Data Exchange (ETDEWEB)

    Jerban, Saeed, E-mail: saeed.jerban@usherbrooke.ca; Elkoun, Saïd, E-mail: Said.Elkoun@usherbrooke.ca

    2016-08-15

    The pore interconnection size of β-tricalcium phosphate scaffolds plays an essential role in the bone repair process. Although, the μCT technique is widely used in the biomaterial community, it is rarely used to measure the interconnection size because of the lack of algorithms. In addition, discrete nature of the μCT introduces large systematic errors due to the convex geometry of interconnections. We proposed, verified and validated a novel pore-level algorithm to accurately characterize the individual pores and interconnections. Specifically, pores and interconnections were isolated, labeled, and individually analyzed with high accuracy. The technique was verified thoroughly by visually inspecting and verifying over 3474 properties of randomly selected pores. This extensive verification process has passed a one-percent accuracy criterion. Scanning errors inherent in the discretization, which lead to both dummy and significantly overestimated interconnections, have been examined using computer-based simulations and additional high-resolution scanning. Then accurate correction charts were developed and used to reduce the scanning errors. Only after the corrections, both the μCT and SEM-based results converged, and the novel algorithm was validated. Material scientists with access to all geometrical properties of individual pores and interconnections, using the novel algorithm, will have a more-detailed and accurate description of the substitute architecture and a potentially deeper understanding of the link between the geometric and biological interaction. - Highlights: •An algorithm is developed to analyze individually all pores and interconnections. •After pore isolating, the discretization errors in interconnections were corrected. •Dummy interconnections and overestimated sizes were due to thin material walls. •The isolating algorithm was verified through visual inspection (99% accurate). •After correcting for the systematic errors, algorithm was

  11. Back-end interconnection. A generic concept for high volume manufacturing

    Energy Technology Data Exchange (ETDEWEB)

    Bosman, J.; Budel, T.; De Kok, C.J.G.M.

    2013-10-15

    The general method to realize series connection in thin film PV modules is monolithical interconnection through a sequence of laser scribes (P1, P2 and P3) and layer depositions. This method however implies that the deposition processes are interrupted several times, an undesirable situation in high volume processing. In order to eliminate this drawback we focus our developments on the so called 'back-end interconnection concept' in which series interconnection takes place AFTER the deposition of the functional layers of the thin film PV device. The process of making a back-end interconnection combines laser scribing, curing, sintering and inkjet processes. These different processes interacts with each other and are investigated in order to create processing strategies that are robust to ensure high volume production. The generic approach created a technology base that can be applied to any thin film PV technology.

  12. Three-dimensional interconnected porous graphitic carbon derived from rice straw for high performance supercapacitors

    Science.gov (United States)

    Jin, Hong; Hu, Jingpeng; Wu, Shichao; Wang, Xiaolan; Zhang, Hui; Xu, Hui; Lian, Kun

    2018-04-01

    Three-dimensional interconnected porous graphitic carbon materials are synthesized via a combination of graphitization and activation process with rice straw as the carbon source. The physicochemical properties of the three-dimensional interconnected porous graphitic carbon materials are characterized by Nitrogen adsorption/desorption, Fourier-transform infrared spectroscopy, X-ray diffraction, Raman spectroscopy, Scanning electron microscopy and Transmission electron microscopy. The results demonstrate that the as-prepared carbon is a high surface area carbon material (a specific surface area of 3333 m2 g-1 with abundant mesoporous and microporous structures). And it exhibits superb performance in symmetric double layer capacitors with a high specific capacitance of 400 F g-1 at a current density of 0.1 A g-1, good rate performance with 312 F g-1 under a current density of 5 A g-1 and favorable cycle stability with 6.4% loss after 10000 cycles at a current density of 5 A g-1 in the aqueous electrolyte of 6M KOH. Thus, rice straw is a promising carbon source for fabricating inexpensive, sustainable and high performance supercapacitors' electrode materials.

  13. Advanced Modulation Techniques for High-Performance Computing Optical Interconnects

    DEFF Research Database (Denmark)

    Karinou, Fotini; Borkowski, Robert; Zibar, Darko

    2013-01-01

    We experimentally assess the performance of a 64 × 64 optical switch fabric used for ns-speed optical cell switching in supercomputer optical interconnects. More specifically, we study four alternative modulation formats and detection schemes, namely, 10-Gb/s nonreturn-to-zero differential phase-...

  14. Electrochemical fabrication of interconnected tungsten bronze nanosheets for high performance supercapacitor

    Science.gov (United States)

    Yang, Gan; Liu, Xiao-Xia

    2018-04-01

    Interconnected H0.12WO3ṡH2O nanosheets with high electrochemical performances are fabricated on partial exfoliated graphite substrate (Ex-GF) by potential-limited pulse galvanostatic method (PLPG). The dead volume problem of bulk pesudocapacitive materials is addressed by the novel interconnected nanosheets structure, enabling a large specific capacitance of 5.95 F cm-2 (495.8 F g-1) at 2 mA cm-2. Merited from the fluent electrolyte penetration channels established by the plenty voids among nanosheets, as well as fast electron transportation in the electronic conductive tungsten bronze which is directly grown from graphite substrate, the obtained WO3/Ex-GF demonstrates excellent rate capability. The material can maintain 60.0% of its capacitance when the discharge current density increases from 2 to 100 mA cm-2. Moreover, WO3/Ex-GF doesn't show capacitance decay after 5000 galvanostatic charge-discharge cycles, displaying its super stability. Furthermore, a high performance asymmetric supercapacitor assembled by using WO3/Ex-GF and electrochemical fabricated MnO2/Ex-GF as negative and positive electrodes, respectively displays a high energy density of 2.88 mWh cm-3 at the power density of 11.1 mW cm-3, demonstrating its potential application for energy storage.

  15. Ultra-stretchable Interconnects for high-density stretchable electronics

    NARCIS (Netherlands)

    Shafqat, S.; Hoefnagels, J.P.M.; Savov, A.; Joshi, S.; Dekker, R.; Geers, M.G.D.

    2017-01-01

    The exciting field of stretchable electronics (SE) promises numerous novel applications, particularly in-body and medical diagnostics devices. However, future advanced SE miniature devices will require high-density, extremely stretchable interconnects with micron-scale footprints, which calls for

  16. High Density Interconnect Microstrip Patch Antenna for 5G Base Stations with Integrated Filtering Performance

    Directory of Open Access Journals (Sweden)

    Marco Salucci

    2018-04-01

    Full Text Available The elementary radiator of a planar array for next generation millimeter-wave (mm-wave 5G base stations is described. The antenna is designed for high density interconnect (HDI manufacturing for yielding a compact, densely-interconnected, and highly-integrable stacked structure. The layout of the single element is determined by directly optimizing key radiation features of the whole planar arrangement according to specific application-driven requirements. In addition, thanks to the exploitation of a spline-shaped modelling of the radiator, suitable performance in terms of impedance matching, realized gain, half-power beamwidth (HPBW, polarization purity, and inter-element isolation are achieved within the 28-GHz pass-band. Moreover, integrated out-of-band filtering capabilities are obtained in selected and wide non-contiguous stop-bands without additional circuitry.

  17. A high-speed interconnect network using ternary logic

    DEFF Research Database (Denmark)

    Madsen, Jens Kargaard; Long, S. I.

    1995-01-01

    This paper describes the design and implementation of a high-speed interconnect network (ICN) for a multiprocessor system using ternary logic. By using ternary logic and a fast point-to-point communication technique called STARI (Self-Timed At Receiver's Input), the communication between...

  18. Optical interconnect technologies for high-bandwidth ICT systems

    Science.gov (United States)

    Chujo, Norio; Takai, Toshiaki; Mizushima, Akiko; Arimoto, Hideo; Matsuoka, Yasunobu; Yamashita, Hiroki; Matsushima, Naoki

    2016-03-01

    The bandwidth of information and communication technology (ICT) systems is increasing and is predicted to reach more than 10 Tb/s. However, an electrical interconnect cannot achieve such bandwidth because of its density limits. To solve this problem, we propose two types of high-density optical fiber wiring for backplanes and circuit boards such as interface boards and switch boards. One type uses routed ribbon fiber in a circuit board because it has the ability to be formed into complex shapes to avoid interfering with the LSI and electrical components on the board. The backplane is required to exhibit high density and flexibility, so the second type uses loose fiber. We developed a 9.6-Tb/s optical interconnect demonstration system using embedded optical modules, optical backplane, and optical connector in a network apparatus chassis. We achieved 25-Gb/s transmission between FPGAs via the optical backplane.

  19. Reliability of spring interconnects for high channel-count polyimide electrode arrays

    Science.gov (United States)

    Khan, Sharif; Ordonez, Juan Sebastian; Stieglitz, Thomas

    2018-05-01

    Active neural implants with a high channel-count need robust and reliable operational assembly for the targeted environment in order to be classified as viable fully implantable systems. The discrete functionality of the electrode array and the implant electronics is vital for intact assembly. A critical interface exists at the interconnection sites between the electrode array and the implant electronics, especially in hybrid assemblies (e.g. retinal implants) where electrodes and electronics are not on the same substrate. Since the interconnects in such assemblies cannot be hermetically sealed, reliable protection against the physiological environment is essential for delivering high insulation resistance and low defusibility of salt ions, which are limited in complexity by current assembly techniques. This work reports on a combination of spring-type interconnects on a polyimide array with silicone rubber gasket insulation for chronically active implantable systems. The spring design of the interconnects on the backend of the electrode array compensates for the uniform thickness of the sandwiched gasket during bonding in assembly and relieves the propagation of extrinsic stresses to the bulk polyimide substrate. The contact resistance of the microflex-bonded spring interconnects with the underlying metallized ceramic test vehicles and insulation through the gasket between adjacent contacts was investigated against the MIL883 standard. The contact and insulation resistances remained stable in the exhausting environmental conditions.

  20. Fabrication of interconnected microporous biomaterials with high hydroxyapatite nanoparticle loading

    International Nuclear Information System (INIS)

    Zhang Wei; Yao Donggang; Zhang Qingwei; Lelkes, Peter I; Zhou, Jack G

    2010-01-01

    Hydroxyapatite (HA) is known to promote osteogenicity and enhance the mechanical properties of biopolymers. However, incorporating a large amount of HA into a porous biopolymer still remains a challenge. In the present work, a new method was developed to produce interconnected microporous poly(glycolic-co-lactic acid) (PLGA) with high HA nanoparticle loading. First, a ternary blend comprising PLGA/PS (polystyrene)/HA (40/40/20 wt%) was prepared by melt blending under conditions for formation of a co-continuous phase structure. Next, a dynamic annealing stage under small-strain oscillation was applied to the blend to facilitate nanoparticle redistribution. Finally, the PS phase was sacrificially extracted, leaving a porous matrix. The results from different characterizations suggested that the applied small-strain oscillation substantially accelerated the migration of HA nanoparticles during annealing from the PS phase to the PLGA phase; nearly all HA particles were uniformly presented in the PLGA phase after a short period of annealing. After dissolution of the PS phase, a PLGA material with interconnected microporous structure was successfully produced, with a high HA loading above 30 wt%. The mechanisms beneath the experimental observations, particularly on the enhanced particle migration process, were discussed, and strategies for producing highly particle loaded biopolymers with interconnected microporous structures were proposed.

  1. Communication Requirements and Interconnect Optimization forHigh-End Scientific Applications

    Energy Technology Data Exchange (ETDEWEB)

    Kamil, Shoaib; Oliker, Leonid; Pinar, Ali; Shalf, John

    2007-11-12

    The path towards realizing peta-scale computing isincreasingly dependent on building supercomputers with unprecedentednumbers of processors. To prevent the interconnect from dominating theoverall cost of these ultra-scale systems, there is a critical need forhigh-performance network solutions whose costs scale linearly with systemsize. This work makes several unique contributions towards attaining thatgoal. First, we conduct one of the broadest studies to date of high-endapplication communication requirements, whose computational methodsinclude: finite-difference, lattice-bolzmann, particle in cell, sparselinear algebra, particle mesh ewald, and FFT-based solvers. Toefficiently collect this data, we use the IPM (Integrated PerformanceMonitoring) profiling layer to gather detailed messaging statistics withminimal impact to code performance. Using the derived communicationcharacterizations, we next present fit-trees interconnects, a novelapproach for designing network infrastructure at a fraction of thecomponent cost of traditional fat-tree solutions. Finally, we propose theHybrid Flexibly Assignable Switch Topology (HFAST) infrastructure, whichuses both passive (circuit) and active (packet) commodity switchcomponents to dynamically reconfigure interconnects to suit thetopological requirements of scientific applications. Overall ourexploration leads to a promising directions for practically addressingthe interconnect requirements of future peta-scale systems.

  2. Interconnection blocks: a method for providing reusable, rapid, multiple, aligned and planar microfluidic interconnections

    International Nuclear Information System (INIS)

    Sabourin, D; Snakenborg, D; Dufva, M

    2009-01-01

    In this paper a method is presented for creating 'interconnection blocks' that are re-usable and provide multiple, aligned and planar microfluidic interconnections. Interconnection blocks made from polydimethylsiloxane allow rapid testing of microfluidic chips and unobstructed microfluidic observation. The interconnection block method is scalable, flexible and supports high interconnection density. The average pressure limit of the interconnection block was near 5.5 bar and all individual results were well above the 2 bar threshold considered applicable to most microfluidic applications

  3. High Speed PAM -8 Optical Interconnects with Digital Equalization based on Neural Network

    DEFF Research Database (Denmark)

    Gaiarin, Simone; Pang, Xiaodan; Ozolins, Oskars

    2016-01-01

    We experimentally evaluate a high-speed optical interconnection link with neural network equalization. Enhanced equalization performances are shown comparing to standard linear FFE for an EML-based 32 GBd PAM-8 signal after 4-km SMF transmission.......We experimentally evaluate a high-speed optical interconnection link with neural network equalization. Enhanced equalization performances are shown comparing to standard linear FFE for an EML-based 32 GBd PAM-8 signal after 4-km SMF transmission....

  4. High-performance parallel processors based on star-coupled wavelength division multiplexing optical interconnects

    Science.gov (United States)

    Deri, Robert J.; DeGroot, Anthony J.; Haigh, Ronald E.

    2002-01-01

    As the performance of individual elements within parallel processing systems increases, increased communication capability between distributed processor and memory elements is required. There is great interest in using fiber optics to improve interconnect communication beyond that attainable using electronic technology. Several groups have considered WDM, star-coupled optical interconnects. The invention uses a fiber optic transceiver to provide low latency, high bandwidth channels for such interconnects using a robust multimode fiber technology. Instruction-level simulation is used to quantify the bandwidth, latency, and concurrency required for such interconnects to scale to 256 nodes, each operating at 1 GFLOPS performance. Performance scales have been shown to .apprxeq.100 GFLOPS for scientific application kernels using a small number of wavelengths (8 to 32), only one wavelength received per node, and achievable optoelectronic bandwidth and latency.

  5. A metallic buried interconnect process for through-wafer interconnection

    International Nuclear Information System (INIS)

    Ji, Chang-Hyeon; Herrault, Florian; Allen, Mark G

    2008-01-01

    In this paper, we present the design, fabrication process and experimental results of electroplated metal interconnects buried at the bottom of deep silicon trenches with vertical sidewalls. A manual spray-coating process along with a unique trench-formation process has been developed for the electroplating of a metal interconnection structure at the bottom surface of the deep trenches. The silicon etch process combines the isotropic dry etch process and conventional Bosch process to fabricate a deep trench with angled top-side edges and vertical sidewalls. The resulting trench structure, in contrast to the trenches fabricated by wet anisotropic etching, enables spray-coated photoresist patterning with good sidewall and top-side edge coverage while maintaining the ability to form a high-density array of deep trenches without excessive widening of the trench opening. A photoresist spray-coating process was developed and optimized for the formation of electroplating mold at the bottom of 300 µm deep trenches having vertical sidewalls. A diluted positive tone photoresist with relatively high solid content and multiple coating with baking between coating steps has been experimentally proven to provide high quality sidewall and edge coverage. To validate the buried interconnect approach, a three-dimensional daisy chain structure having a buried interconnect as the bottom connector and traces on the wafer surface as the top conductor has been designed and fabricated

  6. High temperature corrosion of metallic interconnects in solid oxide fuel cells

    Directory of Open Access Journals (Sweden)

    Bastidas, D. M.

    2006-12-01

    Full Text Available Research and development has made it possible to use metallic interconnects in solid oxide fuel cells (SOFC instead of ceramic materials. The use of metallic interconnects was formerly hindered by the high operating temperature, which made the interconnect degrade too much and too fast to be an efficient alternative. When the operating temperature was lowered, the use of metallic interconnects proved to be favourable since they are easier and cheaper to produce than ceramic interconnects. However, metallic interconnects continue to be degraded despite the lowered temperature, and their corrosion products contribute to electrical degradation in the fuel cell. Coatings of nickel, chromium, aluminium, zinc, manganese, yttrium or lanthanum between the interconnect and the electrodes reduce this degradation during operation

    El uso de interconectores metálicos en pilas de combustible de óxido sólido (SOFC en sustitución de materiales cerámicos ha sido posible gracias a la investigación y desarrollo de nuevos materiales metálicos. Inicialmente, el uso de interconectores metálicos fue limitado, debido a la elevada temperatura de trabajo, ocasionando de forma rápida la degradación del material, lo que impedía que fuesen una alternativa. A medida que la temperatura de trabajo de las SOFC descendió, el uso de interconectores metálicos demostró ser una buena alternativa, dado que son más fáciles de fabricar y más baratos que los interconectores cerámicos. Sin embargo, los interconectores metálicos continúan degradándose a pesar de descender la temperatura a la que operan las SOFC y, asimismo, los productos de corrosión favorecen las pérdidas eléctricas de la pila de combustible. Recubrimientos de níquel, cromo, aluminio, zinc, manganeso, itrio y lantano entre el interconector y los electrodos reduce dichas pérdidas eléctricas.

  7. Study on application of a high-speed trigger-type SFCL (TSFCL) for interconnection of power systems with different reliabilities

    International Nuclear Information System (INIS)

    Kim, Hye Ji; Yoon, Yong Tae

    2016-01-01

    Highlights: • Application of TSFCL to interconnect systems with different reliabilities is proposed. • TSFCL protects a grid by preventing detrimental effects from being delivered through the interconnection line. • A high-speed TSFCL with high impedance for transmission systems is required to be developed. - Abstract: Interconnection of power systems is one effective way to improve power supply reliability. However, differences in the reliability of each power system create a greater obstacle for the stable interconnection of power systems, as after interconnection a high-reliability system is affected by frequent faults in low reliability side systems. Several power system interconnection methods, such as the back-to-back method and the installation of either transformers or series reactors, have been investigated to counteract the damage caused by faults in the other neighboring systems. However, these methods are uneconomical and require complex operational management plans. In this work, a high-speed trigger-type superconducting fault current limiter (TSFCL) with large-impedance is proposed as a solution to maintain reliability and power quality when a high reliability power system is interconnected with a low reliability power system. Through analysis of the reliability index for the numerical examples obtained from a PSCAD/EMTDC simulator, a high-speed TSFCL with a large-impedance is confirmed to be effective for the interconnection between power systems with different reliabilities.

  8. Bacterial-cellulose-derived interconnected meso-microporous carbon nanofiber networks as binder-free electrodes for high-performance supercapacitors

    Science.gov (United States)

    Hao, Xiaodong; Wang, Jie; Ding, Bing; Wang, Ya; Chang, Zhi; Dou, Hui; Zhang, Xiaogang

    2017-06-01

    Bacterial cellulose (BC), a typical biomass prepared from the microbial fermentation process, has been proved that it can be an ideal platform for design of three-dimensional (3D) multifunctional nanomaterials in energy storage and conversion field. Here we developed a simple and general silica-assisted strategy for fabrication of interconnected 3D meso-microporous carbon nanofiber networks by confine nanospace pyrolysis of sustainable BC, which can be used as binder-free electrodes for high-performance supercapacitors. The synthesized carbon nanofibers exhibited the features of interconnected 3D networks architecture, large surface area (624 m2 g-1), mesopores-dominated hierarchical porosity, and high graphitization degree. The as-prepared electrode (CN-BC) displayed a maximum specific capacitance of 302 F g-1 at a current density of 0.5 A g-1, high-rate capability and good cyclicity in 6 M KOH electrolyte. This work, together with cost-effective preparation strategy to make high-value utilization of cheap biomass, should have significant implications in the green and mass-producible energy storage.

  9. Variation Tolerant On-Chip Interconnects

    CERN Document Server

    Nigussie, Ethiopia Enideg

    2012-01-01

    This book presents design techniques, analysis and implementation of high performance and power efficient, variation tolerant on-chip interconnects.  Given the design paradigm shift to multi-core, interconnect-centric designs and the increase in sources of variability and their impact in sub-100nm technologies, this book will be an invaluable reference for anyone concerned with the design of next generation, high-performance electronics systems. Provides comprehensive, circuit-level explanation of high-performance, energy-efficient, variation-tolerant on-chip interconnect; Describes design techniques to mitigate problems caused by variation; Includes techniques for design and implementation of self-timed on-chip interconnect, delay variation insensitive communication protocols, high speed signaling techniques and circuits, bit-width independent completion detection and process, voltage and temperature variation tolerance.                          

  10. Next Generation Space Interconnect Standard (NGSIS): a modular open standards approach for high performance interconnects for space

    Science.gov (United States)

    Collier, Charles Patrick

    2017-04-01

    The Next Generation Space Interconnect Standard (NGSIS) effort is a Government-Industry collaboration effort to define a set of standards for interconnects between space system components with the goal of cost effectively removing bandwidth as a constraint for future space systems. The NGSIS team has selected the ANSI/VITA 65 OpenVPXTM standard family for the physical baseline. The RapidIO protocol has been selected as the basis for the digital data transport. The NGSIS standards are developed to provide sufficient flexibility to enable users to implement a variety of system configurations, while meeting goals for interoperability and robustness for space. The NGSIS approach and effort represents a radical departure from past approaches to achieve a Modular Open System Architecture (MOSA) for space systems and serves as an exemplar for the civil, commercial, and military Space communities as well as a broader high reliability terrestrial market.

  11. Spine-like Nanostructured Carbon Interconnected by Graphene for High-performance Supercapacitors

    Science.gov (United States)

    Park, Sang-Hoon; Yoon, Seung-Beom; Kim, Hyun-Kyung; Han, Joong Tark; Park, Hae-Woong; Han, Joah; Yun, Seok-Min; Jeong, Han Gi; Roh, Kwang Chul; Kim, Kwang-Bum

    2014-08-01

    Recent studies on supercapacitors have focused on the development of hierarchical nanostructured carbons by combining two-dimensional graphene and other conductive sp2 carbons, which differ in dimensionality, to improve their electrochemical performance. Herein, we report a strategy for synthesizing a hierarchical graphene-based carbon material, which we shall refer to as spine-like nanostructured carbon, from a one-dimensional graphitic carbon nanofiber by controlling the local graphene/graphitic structure via an expanding process and a co-solvent exfoliation method. Spine-like nanostructured carbon has a unique hierarchical structure of partially exfoliated graphitic blocks interconnected by thin graphene sheets in the same manner as in the case of ligaments. Owing to the exposed graphene layers and interconnected sp2 carbon structure, this hierarchical nanostructured carbon possesses a large, electrochemically accessible surface area with high electrical conductivity and exhibits high electrochemical performance.

  12. A Distributed Robust Dispatch Approach for Interconnected Systems with a High Proportion of Wind Power Penetration

    Directory of Open Access Journals (Sweden)

    Jianwen Ren

    2018-04-01

    Full Text Available This paper proposes a distributed robust dispatch approach to solve the economic dispatch problem of the interconnected systems with a high proportion of wind power penetration. First of all, the basic principle of synchronous alternating direction method of multipliers (SADMM is introduced to solve the economic dispatch problem of the two interconnected regions. Next, the polyhedron set of the robust optimization method is utilized to describe the wind power output. To adjust the conservativeness of the polyhedron set, an adjustment factor of robust conservativeness is introduced. Subsequently, considering the operation characteristics of the DC tie line between the interconnected regions, an economic dispatch model with a high proportion of wind power penetration is established and parallel iteration based on SADMM is used to solve the model. In each iteration, the optimized power of DC tie lines is exchanged between the regions without requiring the participation of the superior dispatch center. Finally, the validity of the proposed model is verified by the examples of the 2-area 6-node interconnected system and the interconnection of several modified New England 39-node systems. The results show that the proposed model can meet the needs of the independent dispatch of regional power grids, effectively deal with the uncertainty of wind power output, and maximize the wind power consumption under the condition of ensuring the safe operation of the interconnected systems.

  13. Wireless Interconnect in Multilayer Chip-Area-Networks for Future Multimaterial High-Speed Systems Design

    Directory of Open Access Journals (Sweden)

    Oluwole John Famoriji

    2017-01-01

    Full Text Available Wireless chip area network which enables wireless communication among chips fosters development in wireless communication and it is envisioned that future hardware system and developmental functionality will require multimaterial. However, the traditional system architecture is limited by channel bandwidth-limited interfaces, throughput, delay, and power consumption and as a result limits the efficiency and system performance. Wireless interconnect has been proposed to overcome scalability and performance limitations of multihop wired architectures. Characterization and modeling of channel become more important for specification of choice of modulation or demodulation techniques, channel bandwidths, and other mitigation techniques for channel distortion and interference such as equalization. This paper presents an analytical channel model for characterization, modeling, and analysis of wireless chip-to-chip or interchip interconnects in wireless chip area network with a particular focus on large-scale analysis. The proposed model accounts for both static and dynamic channel losses/attenuation in high-speed systems. Simulation and evaluation of the model with experimental data conducted in a computer desktop casing depict that proposed model matched measurement data very closely. The transmission of EM waves via a medium introduces molecular absorption due to various molecules within the material substance. This model is a representative of channel loss profile in wireless chip-area-network communication and good for future electronic circuits and high-speed systems design.

  14. Interconnected 3 D Network of Graphene-Oxide Nanosheets Decorated with Carbon Dots for High-Performance Supercapacitors.

    Science.gov (United States)

    Zhao, Xiao; Li, Ming; Dong, Hanwu; Liu, Yingliang; Hu, Hang; Cai, Yijin; Liang, Yeru; Xiao, Yong; Zheng, Mingtao

    2017-06-22

    Interconnected 3 D nanosheet networks of reduced graphene oxide decorated with carbon dots (rGO/CDs) are successfully fabricated through a simple one-pot hydrothermal process. The as-prepared rGO/CDs present appropriate 3 D interconnectivity and abundant stable oxygen-containing functional groups, to which we can attribute the excellent electrochemical performance such as high specific capacitance, good rate capability, and great cycling stability. Employed as binder-free electrodes for supercapacitors, the resulting rGO/CDs exhibit excellent long-term cycling stability (ca. 92 % capacitance retention after 20 000 charge/discharge cycles at current density of 10 A g -1 ) as well as a maximum specific capacitance of about 308 F g -1 at current density of 0.5 A g -1 , which is much higher than that of rGO (200 F g -1 ) and CDs (2.2 F g -1 ). This work provides a promising strategy to fabricate graphene-based nanomaterials with greatly boosted electrochemical performances by decoration of with CDs. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. 3D interconnected honeycomb-like and high rate performance porous carbons from petroleum asphalt for supercapacitors

    Science.gov (United States)

    Pan, Lei; Li, Xinxin; Wang, Yixian; Liu, Jialiang; Tian, Wei; Ning, Hui; Wu, Mingbo

    2018-06-01

    In this paper, 3D interconnected honeycomb-like hierarchical porous carbons (HPCs) are prepared from petroleum asphalt via in-situ KOH activation in a molten salt medium. As symmetry two electrodes for supercapacitors, HPCs with high specific surface area of 2227 m2 g-1 show high rate performance, i.e. 265 F g-1 at 0.05 A g-1, 221 F g-1 at 20 A g-1, and superior cycle stability with 91.1% capacitance retention at 5 A g-1 after 10,000 cycles in 6 M KOH electrolyte. This facile strategy to prepare massive HPCs from cheap petroleum asphalt can provide high performance electrode materials for energy storage devices.

  16. Highly graphitized laterally interconnected SWCNT network synthesis via a sandwich-grown method

    International Nuclear Information System (INIS)

    Teng, I-Ju; Chen, Kai-Ling; Wang, Li-Chun; Kuo, Cheng-Tzu; Hsu, Hui-Lin; Jian, Sheng-Rui; Chen, Jung-Hsuan; Wang, Wei-Hsiang

    2011-01-01

    We present a sandwich-grown method for growing laterally interconnected single-walled carbon nanotube (SWCNT) networks with a high degree of graphitization by microwave plasma chemical vapour deposition (MPCVD). An Al 2 O 3 -supported Fe catalyst precursor layer deposited on an oxidized Si substrate with an upper Si cover is first pretreated in pure hydrogen, and then exposed to a gas mixture of methane/hydrogen for growth process at a lower growth temperature and a faster rate. The effects of various parameters, such as catalyst film thickness, gas flow rate, working pressure, growth time and plasma power, on the morphologies and structural characteristics of the SWCNT networks are investigated, and therefore provide the essential conditions for direct growth of laterally interconnected SWCNT networks. Analytical results demonstrate that the SWCNT-based lateral architecture comprises a mixture of graphene-sheet-wrapped catalyst particles and laterally interconnected nanotubes, isolated or branched or assembled into bundles. The results also show that the formation of the laterally interconnected SWCNT networks is related to the sandwich-like stack approach and the addition of an Al 2 O 3 layer in the MPCVD process. The successful growth of lateral SWCNT networks provides new experimental information for simply and efficiently preparing lateral SWCNTs on unpatterned substrates, and opens a pathway to create network-structured nanotube-based devices.

  17. Nitrogen-doped biomass-based ultra-thin carbon nanosheets with interconnected framework for High-Performance Lithium-Ion Batteries

    Science.gov (United States)

    Guo, Shasha; Chen, Yaxin; Shi, Liluo; Dong, Yue; Ma, Jing; Chen, Xiaohong; Song, Huaihe

    2018-04-01

    In this paper, a low-cost and environmental friendly synthesis strategy is proposed to fabricate nitrogen-doped biomass-based ultra-thin carbon nanosheets (N-CNS) with interconnected framework by using soybean milk as the carbon precursor and sodium chloride as the template. The interconnected porous nanosheet structure is beneficial for lithium ion transportation, and the defects introduced by pyridine nitrogen doping are favorable for lithium storage. When used as the anodes for lithium-ion batteries, the N-CNS electrode shows a high initial reversible specific capacity of 1334 mAh g-1 at 50 mA g-1, excellent rate performance (1212, 555 and 336 mAh g-1 at 0.05, 0.5 and 2 A g-1, respectively) and good cycling stability (355 mAh g-1 at 1 A g-1 after 1000 cycles). Furthermore, this study demonstrates the prospects of biomass and soybean milk, as the potential anode for the application of electrochemical energy storage devices.

  18. The Interconnections of the LHC Cryomagnets

    CERN Document Server

    Jacquemod, A; Skoczen, Blazej; Tock, J P

    2001-01-01

    The main components of the LHC, the next world-class facility in high-energy physics, are the twin-aperture high-field superconducting cryomagnets to be installed in the existing 26.7-km long tunnel. After installation and alignment, the cryomagnets have to be interconnected. The interconnections must ensure the continuity of several functions: vacuum enclosures, beam pipe image currents (RF contacts), cryogenic circuits, electrical power supply, and thermal insulation. In the machine, about 1700 interconnections between cryomagnets are necessary. The interconnections constitute a unique system that is nearly entirely assembled in the tunnel. For each of them, various operations must be done: TIG welding of cryogenic channels (~ 50 000 welds), induction soldering of main superconducting cables (~ 10 000 joints), ultrasonic welding of auxiliary superconducting cables (~ 20 000 welds), mechanical assembly of various elements, and installation of the multi-layer insulation (~ 200 000 m2). Defective junctions cou...

  19. Multimode polymer waveguides for high-speed optical interconnects

    Science.gov (United States)

    Bamiedakis, N.; Ingham, J. D.; Penty, R. V.; White, I. H.; DeGroot, J. V.; Clapp, T. V.

    2017-11-01

    Polymeric multimode waveguides are of particular interest for optical interconnections in short-reach data links. In some applications, for example in space-borne systems, the use of advanced materials with outstanding performance in extreme environments is required (temperature and radiation). In this paper therefore, we present novel siloxane polymers suitable for these applications. The materials are used to form straight, 90° bent and spiral polymer waveguides by low-cost conventional photolithographic techniques on FR4 substrates. The samples have been tested to investigate their propagation characteristics and demonstrate their potential for high-speed data links. Overall, there is strong evidence that these multimode waveguides can be successfully employed as high-speed short-reach data links. Their excellent thermal properties, their low cost and the simple fabrication process indicate their suitability for a wide range of space applications.

  20. The high speed interconnect system architecture and operation

    Science.gov (United States)

    Anderson, Steven C.

    The design and operation of a fiber-optic high-speed interconnect system (HSIS) being developed to meet the requirements of future avionics and flight-control hardware with distributed-system architectures are discussed. The HSIS is intended for 100-Mb/s operation of a local-area network with up to 256 stations. It comprises a bus transmission system (passive star couplers and linear media linked by active elements) and network interface units (NIUs). Each NIU is designed to perform the physical, data link, network, and transport functions defined by the ISO OSI Basic Reference Model (1982 and 1983) and incorporates a fiber-optic transceiver, a high-speed protocol based on the SAE AE-9B linear token-passing data bus (1986), and a specialized application interface unit. The operating modes and capabilities of HSIS are described in detail and illustrated with diagrams.

  1. Origin of high photoconductive gain in fully transparent heterojunction nanocrystalline oxide image sensors and interconnects.

    Science.gov (United States)

    Jeon, Sanghun; Song, Ihun; Lee, Sungsik; Ryu, Byungki; Ahn, Seung-Eon; Lee, Eunha; Kim, Young; Nathan, Arokia; Robertson, John; Chung, U-In

    2014-11-05

    A technique for invisible image capture using a photosensor array based on transparent conducting oxide semiconductor thin-film transistors and transparent interconnection technologies is presented. A transparent conducting layer is employed for the sensor electrodes as well as interconnection in the array, providing about 80% transmittance at visible-light wavelengths. The phototransistor is a Hf-In-Zn-O/In-Zn-O heterostructure yielding a high quantum-efficiency in the visible range. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Recent Development of SOFC Metallic Interconnect

    Energy Technology Data Exchange (ETDEWEB)

    Wu JW, Liu XB

    2010-04-01

    Interest in solid oxide fuel cells (SOFC) stems from their higher e±ciencies and lower levels of emitted pollu- tants, compared to traditional power production methods. Interconnects are a critical part in SOFC stacks, which connect cells in series electrically, and also separate air or oxygen at the cathode side from fuel at the anode side. Therefore, the requirements of interconnects are the most demanding, i:e:, to maintain high elec- trical conductivity, good stability in both reducing and oxidizing atmospheres, and close coe±cient of thermal expansion (CTE) match and good compatibility with other SOFC ceramic components. The paper reviewed the interconnect materials, and coatings for metallic interconnect materials.

  3. Fabrication of high aspect ratio through-wafer copper interconnects by reverse pulse electroplating

    International Nuclear Information System (INIS)

    Gu, Changdong; Zhang, Tong-Yi; Xu, Hui

    2009-01-01

    This study aims to fabricate high aspect ratio through-wafer copper interconnects by a simple reverse pulse electroplating technique. High aspect-ratio (∼18) through-wafer holes obtained by a two-step deep reactive ion etching (DRIE) process exhibit a taper profile, which might automatically optimize the local current density distribution during the electroplating process, thereby achieving void-free high aspect-ratio copper vias

  4. Thermoelectric Coolers with Sintered Silver Interconnects

    Science.gov (United States)

    Kähler, Julian; Stranz, Andrej; Waag, Andreas; Peiner, Erwin

    2014-06-01

    The fabrication and performance of a sintered Peltier cooler (SPC) based on bismuth telluride with sintered silver interconnects are described. Miniature SPC modules with a footprint of 20 mm2 were assembled using pick-and-place pressure-assisted silver sintering at low pressure (5.5 N/mm2) and moderate temperature (250°C to 270°C). A modified flip-chip bonder combined with screen/stencil printing for paste transfer was used for the pick-and-place process, enabling high positioning accuracy, easy handling of the tiny bismuth telluride pellets, and immediate visual process control. A specific contact resistance of (1.4 ± 0.1) × 10-5 Ω cm2 was found, which is in the range of values reported for high-temperature solder interconnects of bismuth telluride pellets. The realized SPCs were evaluated from room temperature to 300°C, considerably outperforming the operating temperature range of standard commercial Peltier coolers. Temperature cycling capability was investigated from 100°C to 235°C over more than 200 h, i.e., 850 cycles, during which no degradation of module resistance or cooling performance occurred.

  5. Superconducting Multilayer High-Density Flexible Printed Circuit Board for Very High Thermal Resistance Interconnections

    Science.gov (United States)

    de la Broïse, Xavier; Le Coguie, Alain; Sauvageot, Jean-Luc; Pigot, Claude; Coppolani, Xavier; Moreau, Vincent; d'Hollosy, Samuel; Knarosovski, Timur; Engel, Andreas

    2018-05-01

    We have successively developed two superconducting flexible PCBs for cryogenic applications. The first one is monolayer, includes 552 tracks (10 µm wide, 20 µm spacing), and receives 24 wire-bonded integrated circuits. The second one is multilayer, with one track layer between two shielding layers interconnected by microvias, includes 37 tracks, and can be interconnected at both ends by wire bonding or by connectors. The first cold measurements have been performed and show good performances. The novelty of these products is, for the first one, the association of superconducting materials with very narrow pitch and bonded integrated circuits and, for the second one, the introduction of a superconducting multilayer structure interconnected by vias which is, to our knowledge, a world-first.

  6. Mechanical response of spiral interconnect arrays for highly stretchable electronics

    KAUST Repository

    Qaiser, Nadeem

    2017-11-21

    A spiral interconnect array is a commonly used architecture for stretchable electronics, which accommodates large deformations during stretching. Here, we show the effect of different geometrical morphologies on the deformation behavior of the spiral island network. We use numerical modeling to calculate the stresses and strains in the spiral interconnects under the prescribed displacement of 1000 μm. Our result shows that spiral arm elongation depends on the angular position of that particular spiral in the array. We also introduce the concept of a unit-cell, which fairly replicates the deformation mechanism for full complex hexagon, diamond, and square shaped arrays. The spiral interconnects which are axially connected between displaced and fixed islands attain higher stretchability and thus experience the maximum deformations. We perform tensile testing of 3D printed replica and find that experimental observations corroborate with theoretical study.

  7. Mechanical response of spiral interconnect arrays for highly stretchable electronics

    KAUST Repository

    Qaiser, Nadeem; Khan, S. M.; Nour, Maha A.; Rehman, M. U.; Rojas, J. P.; Hussain, Muhammad Mustafa

    2017-01-01

    A spiral interconnect array is a commonly used architecture for stretchable electronics, which accommodates large deformations during stretching. Here, we show the effect of different geometrical morphologies on the deformation behavior of the spiral island network. We use numerical modeling to calculate the stresses and strains in the spiral interconnects under the prescribed displacement of 1000 μm. Our result shows that spiral arm elongation depends on the angular position of that particular spiral in the array. We also introduce the concept of a unit-cell, which fairly replicates the deformation mechanism for full complex hexagon, diamond, and square shaped arrays. The spiral interconnects which are axially connected between displaced and fixed islands attain higher stretchability and thus experience the maximum deformations. We perform tensile testing of 3D printed replica and find that experimental observations corroborate with theoretical study.

  8. Performance evaluation of two highly interconnected Data Center networks

    DEFF Research Database (Denmark)

    Andrus, Bogdan-Mihai; Mihai Poncea, Ovidiu; Vegas Olmos, Juan José

    2015-01-01

    In this paper we present the analysis of highly interconnected topologies like hypercube and torus and how they can be implemented in data centers in order to cope with the rapid increase and demands for performance of the internal traffic. By replicating the topologies and subjecting them...... to uniformly distributed traffic routed by shortest path algorithms, we are able to extract relevant statistics related to average throughput, latency and loss rate. A decrease in throughput per connection of only about 5% for the hypercube compared to 16% for the 3D torus was measured when the size...

  9. Interconnection Guidelines

    Science.gov (United States)

    The Interconnection Guidelines provide general guidance on the steps involved with connecting biogas recovery systems to the utility electrical power grid. Interconnection best practices including time and cost estimates are discussed.

  10. A first-principles analysis of ballistic conductance, grain boundary scattering and vertical resistance in aluminum interconnects

    Science.gov (United States)

    Zhou, Tianji; Lanzillo, Nicholas A.; Bhosale, Prasad; Gall, Daniel; Quon, Roger

    2018-05-01

    We present an ab initio evaluation of electron scattering mechanisms in Al interconnects from a back-end-of-line (BEOL) perspective. We consider the ballistic conductance as a function of nanowire size, as well as the impact of surface oxidation on electron transport. We also consider several representative twin grain boundaries and calculate the specific resistivity and reflection coefficients for each case. Lastly, we calculate the vertical resistance across the Al/Ta(N)/Al and Cu/Ta(N)/Cu interfaces, which are representative of typical vertical interconnect structures with diffusion barriers. Despite a high ballistic conductance, the calculated specific resistivities at grain boundaries are 70-100% higher in Al than in Cu, and the vertical resistance across Ta(N) diffusion barriers are 60-100% larger for Al than for Cu. These results suggest that in addition to the well-known electromigration limitations in Al interconnects, electron scattering represents a major problem in achieving low interconnect line resistance at fine dimensions.

  11. Brookhaven segment interconnect

    International Nuclear Information System (INIS)

    Morse, W.M.; Benenson, G.; Leipuner, L.B.

    1983-01-01

    We have performed a high energy physics experiment using a multisegment Brookhaven FASTBUS system. The system was composed of three crate segments and two cable segments. We discuss the segment interconnect module which permits communication between the various segments

  12. Transurban interconnectivities

    DEFF Research Database (Denmark)

    Jørgensen, Claus Møller

    2012-01-01

    This essay discusses the interpretation of the revolutionary situations of 1848 in light of recent debates on interconnectivity in history. The concept of transurban interconnectivities is proposed as the most precise concept to capture the nature of interconnectivity in 1848. It is argued....... It is argued that circulating political communication accounts for similarities with respect to political agenda, organisational form and political repertoire evident in urban settings across Europe. This argument is supported by a series of examples of local organisation and local appropriations of liberalism...

  13. Interconnected carbon nanosheets derived from hemp for ultrafast supercapacitors with high energy.

    Science.gov (United States)

    Wang, Huanlei; Xu, Zhanwei; Kohandehghan, Alireza; Li, Zhi; Cui, Kai; Tan, Xuehai; Stephenson, Tyler James; King'ondu, Cecil K; Holt, Chris M B; Olsen, Brian C; Tak, Jin Kwon; Harfield, Don; Anyia, Anthony O; Mitlin, David

    2013-06-25

    We created unique interconnected partially graphitic carbon nanosheets (10-30 nm in thickness) with high specific surface area (up to 2287 m(2) g(-1)), significant volume fraction of mesoporosity (up to 58%), and good electrical conductivity (211-226 S m(-1)) from hemp bast fiber. The nanosheets are ideally suited for low (down to 0 °C) through high (100 °C) temperature ionic-liquid-based supercapacitor applications: At 0 °C and a current density of 10 A g(-1), the electrode maintains a remarkable capacitance of 106 F g(-1). At 20, 60, and 100 °C and an extreme current density of 100 A g(-1), there is excellent capacitance retention (72-92%) with the specific capacitances being 113, 144, and 142 F g(-1), respectively. These characteristics favorably place the materials on a Ragone chart providing among the best power-energy characteristics (on an active mass normalized basis) ever reported for an electrochemical capacitor: At a very high power density of 20 kW kg(-1) and 20, 60, and 100 °C, the energy densities are 19, 34, and 40 Wh kg(-1), respectively. Moreover the assembled supercapacitor device yields a maximum energy density of 12 Wh kg(-1), which is higher than that of commercially available supercapacitors. By taking advantage of the complex multilayered structure of a hemp bast fiber precursor, such exquisite carbons were able to be achieved by simple hydrothermal carbonization combined with activation. This novel precursor-synthesis route presents a great potential for facile large-scale production of high-performance carbons for a variety of diverse applications including energy storage.

  14. Seismic qualification of multiple interconnected safety-related cabinets in a high seismic zone

    International Nuclear Information System (INIS)

    Khan, M.R.; Chen, W.H.W.; Wang, T.Y.

    1993-01-01

    Certain safety-related multiple, interconnected electrical cabinets and the devices contained therein are required to perform their intended safety functions during and after a design basis seismic event. In general, seismic testing is performed to ensure the structural integrity of the cabinets and the functionality of their associated devices. Constrained by the shake table capacity, seismic testing is usually performed only for a limited number of interconnected cabinets. Also, original shake table tests performed usually did not provide detailed response information at various locations inside the cabinets. For operational and maintenance purposes, doors and panels of some cabinets may need to be opened while the adjacent cabinets are required to remain functional. In addition, in-cabinet response spectra need to be generated for the seismic qualification of new devices and the replacement parts. Consequently, seismic analysis of safety-related multiple, interconnected cabinets is frequently required for configurations which are different from the original tested conditions. This paper presents results of seismic tests of three interconnected safety-related cabinets and finite element analyses performed to compare the analytical results with those obtained from the cabinet seismic tests. Parametric analyses are performed to determine how many panels and doors can be opened while the adjacent cabinets still remain functional. The study indicates that for cabinets located in a high seismic zone, the critical damping of the cabinet is significantly higher than 5% to 7% typically used in qualifying electrical equipment. For devices mounted on the cabinet doors to performed their intended safety function, it requires stiffening of doors and that these doors be properly bolted to the cabinet frame. It also shows that even though doors and panels bolted to the cabinet frame are the primary seismic resistant element of the cabinet, opening of a limited number of them

  15. Optical interconnects

    CERN Document Server

    Chen, Ray T

    2006-01-01

    This book describes fully embedded board level optical interconnect in detail including the fabrication of the thin-film VCSEL array, its characterization, thermal management, the fabrication of optical interconnection layer, and the integration of devices on a flexible waveguide film. All the optical components are buried within electrical PCB layers in a fully embedded board level optical interconnect. Therefore, we can save foot prints on the top real estate of the PCB and relieve packaging difficulty reduced by separating fabrication processes. To realize fully embedded board level optical

  16. Optical Characteristics of a Multichannel Hybrid Integrated Light Source for Ultra-High-Bandwidth Optical Interconnections

    Directory of Open Access Journals (Sweden)

    Takanori Shimizu

    2015-11-01

    Full Text Available The optical characteristics of a multi-channel hybrid integrated light source were described for an optical interconnection with a bandwidth of over 10 Tbit/s. The power uniformity of the relative intensity of a 1000-channel light source was shown, and the minimum standard deviation s of the optical power of the 200 output ports at each 25-channel laser diode (LD array was estimated to be 0.49 dB. This hybrid integrated light source is expected to be easily adaptable to a photonics-electronics convergence system for ultra-high-bandwidth interchip interconnections.

  17. Adapting Memory Hierarchies for Emerging Datacenter Interconnects

    Institute of Scientific and Technical Information of China (English)

    江涛; 董建波; 侯锐; 柴琳; 张立新; 孙凝晖; 田斌

    2015-01-01

    Efficient resource utilization requires that emerging datacenter interconnects support both high performance communication and efficient remote resource sharing. These goals require that the network be more tightly coupled with the CPU chips. Designing a new interconnection technology thus requires considering not only the interconnection itself, but also the design of the processors that will rely on it. In this paper, we study memory hierarchy implications for the design of high-speed datacenter interconnects—particularly as they affect remote memory access—and we use PCIe as the vehicle for our investigations. To that end, we build three complementary platforms: a PCIe-interconnected prototype server with which we measure and analyze current bottlenecks; a software simulator that lets us model microarchitectural and cache hierarchy changes;and an FPGA prototype system with a streamlined switchless customized protocol Thunder with which we study hardware optimizations outside the processor. We highlight several architectural modifications to better support remote memory access and communication, and quantify their impact and limitations.

  18. Regulatory Issues Surrounding Merchant Interconnection

    International Nuclear Information System (INIS)

    Kuijlaars, Kees-Jan; Zwart, Gijsbert

    2003-11-01

    We discussed various issues concerning the regulatory perspective on private investment in interconnectors. One might claim that leaving investment in transmission infrastructure to competing market parties is more efficient than relying on regulated investment only (especially in the case of long (DC) lines connecting previously unconnected parts of the grids, so that externalities from e.g. loop flows do not play a significant role). We considered that some aspects of interconnection might reduce these market benefits. In particular, the large fixed costs of interconnection construction may lead to significant under investment (due to both first mover monopoly power and the fact that part of generation cost efficiencies realised by interconnection are not captured by the investor itself, and remain external to the investment decision). Second, merchant ownership restricts future opportunities for adaptation of regulation, as would be required e.g. for introduction of potentially more sophisticated methods of congestion management or market splitting. Some of the disadvantages of merchant investment may be mitigated however by a suitable regulatory framework, and we discussed some views in this direction. The issues we discussed are not intended to give a complete framework, and detailed regulation will certainly involve many more specific requirements. Areas we did not touch upon include e.g. the treatment of deep connection costs, rules for operation and maintenance of the line, and impact on availability of capacity on other interconnections

  19. Regulatory Issues Surrounding Merchant Interconnection

    Energy Technology Data Exchange (ETDEWEB)

    Kuijlaars, Kees-Jan; Zwart, Gijsbert [Office for Energy Regulation (DTe), The Hague (Netherlands)

    2003-11-01

    We discussed various issues concerning the regulatory perspective on private investment in interconnectors. One might claim that leaving investment in transmission infrastructure to competing market parties is more efficient than relying on regulated investment only (especially in the case of long (DC) lines connecting previously unconnected parts of the grids, so that externalities from e.g. loop flows do not play a significant role). We considered that some aspects of interconnection might reduce these market benefits. In particular, the large fixed costs of interconnection construction may lead to significant under investment (due to both first mover monopoly power and the fact that part of generation cost efficiencies realised by interconnection are not captured by the investor itself, and remain external to the investment decision). Second, merchant ownership restricts future opportunities for adaptation of regulation, as would be required e.g. for introduction of potentially more sophisticated methods of congestion management or market splitting. Some of the disadvantages of merchant investment may be mitigated however by a suitable regulatory framework, and we discussed some views in this direction. The issues we discussed are not intended to give a complete framework, and detailed regulation will certainly involve many more specific requirements. Areas we did not touch upon include e.g. the treatment of deep connection costs, rules for operation and maintenance of the line, and impact on availability of capacity on other interconnections.

  20. Preparation of interconnected highly porous polymeric structures by a replication and freeze-drying process

    NARCIS (Netherlands)

    Hou, Q.; Grijpma, Dirk W.; Feijen, Jan

    2003-01-01

    Three-dimensional degradable porous polymeric structures with high porosities (93-98%) and well-interconnected pore networks have been prepared by freeze-drying polymer solutions in the presence of a leachable template followed by leaching of the template. Templates of the pore network were prepared

  1. Implementation of interconnect simulation tools in spice

    Science.gov (United States)

    Satsangi, H.; Schutt-Aine, J. E.

    1993-01-01

    Accurate computer simulation of high speed digital computer circuits and communication circuits requires a multimode approach to simulate both the devices and the interconnects between devices. Classical circuit analysis algorithms (lumped parameter) are needed for circuit devices and the network formed by the interconnected devices. The interconnects, however, have to be modeled as transmission lines which incorporate electromagnetic field analysis. An approach to writing a multimode simulator is to take an existing software package which performs either lumped parameter analysis or field analysis and add the missing type of analysis routines to the package. In this work a traditionally lumped parameter simulator, SPICE, is modified so that it will perform lossy transmission line analysis using a different model approach. Modifying SPICE3E2 or any other large software package is not a trivial task. An understanding of the programming conventions used, simulation software, and simulation algorithms is required. This thesis was written to clarify the procedure for installing a device into SPICE3E2. The installation of three devices is documented and the installations of the first two provide a foundation for installation of the lossy line which is the third device. The details of discussions are specific to SPICE, but the concepts will be helpful when performing installations into other circuit analysis packages.

  2. Optimal interconnection and renewable targets for north-west Europe

    International Nuclear Information System (INIS)

    Lynch, Muireann Á.; Tol, Richard S.J.; O'Malley, Mark J.

    2012-01-01

    We present a mixed-integer, linear programming model for determining optimal interconnection for a given level of renewable generation using a cost minimisation approach. Optimal interconnection and capacity investment decisions are determined under various targets for renewable penetration. The model is applied to a test system for eight regions in Northern Europe. It is found that considerations on the supply side dominate demand side considerations when determining optimal interconnection investment: interconnection is found to decrease generation capacity investment and total costs only when there is a target for renewable generation. Higher wind integration costs see a concentration of wind in high-wind regions with interconnection to other regions. - Highlights: ► We use mixed-integer linear programming to determine optimal interconnection locations for given renewable targets. ► The model is applied to a test system for eight regions in Northern Europe. ► Interconnection reduces costs only when there is a renewable target. ► Wind integration costs affect the interconnection portfolio.

  3. Epidemics in interconnected small-world networks.

    Science.gov (United States)

    Liu, Meng; Li, Daqing; Qin, Pengju; Liu, Chaoran; Wang, Huijuan; Wang, Feilong

    2015-01-01

    Networks can be used to describe the interconnections among individuals, which play an important role in the spread of disease. Although the small-world effect has been found to have a significant impact on epidemics in single networks, the small-world effect on epidemics in interconnected networks has rarely been considered. Here, we study the susceptible-infected-susceptible (SIS) model of epidemic spreading in a system comprising two interconnected small-world networks. We find that the epidemic threshold in such networks decreases when the rewiring probability of the component small-world networks increases. When the infection rate is low, the rewiring probability affects the global steady-state infection density, whereas when the infection rate is high, the infection density is insensitive to the rewiring probability. Moreover, epidemics in interconnected small-world networks are found to spread at different velocities that depend on the rewiring probability.

  4. Epidemics in interconnected small-world networks.

    Directory of Open Access Journals (Sweden)

    Meng Liu

    Full Text Available Networks can be used to describe the interconnections among individuals, which play an important role in the spread of disease. Although the small-world effect has been found to have a significant impact on epidemics in single networks, the small-world effect on epidemics in interconnected networks has rarely been considered. Here, we study the susceptible-infected-susceptible (SIS model of epidemic spreading in a system comprising two interconnected small-world networks. We find that the epidemic threshold in such networks decreases when the rewiring probability of the component small-world networks increases. When the infection rate is low, the rewiring probability affects the global steady-state infection density, whereas when the infection rate is high, the infection density is insensitive to the rewiring probability. Moreover, epidemics in interconnected small-world networks are found to spread at different velocities that depend on the rewiring probability.

  5. Optical Interconnects for Future Data Center Networks

    CERN Document Server

    Bergman, Keren; Tomkos, Ioannis

    2013-01-01

    Optical Interconnects for Future Data Center Networks covers optical networks and how they can provide high bandwidth, energy efficient interconnects with increased communication bandwidth. This volume, with contributions from leading researchers in the field, presents an integrated view of the expected future requirements of data centers and serves as a reference for some of the most advanced and promising solutions proposed by researchers from leading universities, research labs, and companies. The work also includes several novel architectures, each demonstrating different technologies such as optical circuits, optical switching, MIMO optical OFDM, and others. Additionally, Optical Interconnects for Future Data Center Networks provides invaluable insights into the benefits and advantages of optical interconnects and how they can be a promising alternative for future data center networks.

  6. Low-cost and high-capacity short-range optical interconnects using graded-index plastic optical fiber

    NARCIS (Netherlands)

    Tangdiongga, E.; Yang, H.; Lee, S.C.J.; Okonkwo, C.M.; Boom, van den H.P.A.; Randel, S.; Koonen, A.M.J.

    2010-01-01

    We demonstrate a transmission rate of 51.8 Gb/s over 100-meters of perfluorinated multimode graded-index plastic optical fiber using discrete multitone modulation. The results prove suitability of plastic fibers for low-cost high-capacity optical interconnects.

  7. Photovoltaic sub-cell interconnects

    Energy Technology Data Exchange (ETDEWEB)

    van Hest, Marinus Franciscus Antonius Maria; Swinger Platt, Heather Anne

    2017-05-09

    Photovoltaic sub-cell interconnect systems and methods are provided. In one embodiment, a photovoltaic device comprises a thin film stack of layers deposited upon a substrate, wherein the thin film stack layers are subdivided into a plurality of sub-cells interconnected in series by a plurality of electrical interconnection structures; and wherein the plurality of electrical interconnection structures each comprise no more than two scribes that penetrate into the thin film stack layers.

  8. New Architecture of Optical Interconnect for High-Speed Optical Computerized Data Networks (Nonlinear Response

    Directory of Open Access Journals (Sweden)

    El-Sayed A. El-Badawy

    2008-02-01

    Full Text Available Although research into the use of optics in computers has increased in the last and current decades, the fact remains that electronics is still superior to optics in almost every way. Research into the use of optics at this stage mirrors the research into electronics after the 2nd World War. The advantages of using fiber optics over wiring are the same as the argument for using optics over electronics in computers. Even through totally optical computers are now a reality, computers that combine both electronics and optics, electro-optic hybrids, have been in use for some time. In the present paper, architecture of optical interconnect is built up on the bases of four Vertical-Cavity Surface- Emitting Laser Diodes (VCSELD and two optical links where thermal effects of both the diodes and the links are included. Nonlinear relations are correlated to investigate the power-current and the voltage-current dependences of the four devices. The good performance (high speed of the interconnect is deeply and parametrically investigated under wide ranges of the affecting parameters. The high speed performance is processed through three different effects, namely the device 3-dB bandwidth, the link dispersion characteristics, and the transmitted bit rate (soliton. Eight combinations are investigated; each possesses its own characteristics. The best architecture is the one composed of VCSELD that operates at 850 nm and the silica fiber whatever the operating set of causes. This combination possesses the largest device 3-dB bandwidth, the largest link bandwidth and the largest soliton transmitted bit rate. The increase of the ambient temperature reduces the high-speed performance of the interconnect

  9. Deposition and characterisation of copper for high density interconnects

    International Nuclear Information System (INIS)

    McCusker, N.

    1999-09-01

    Copper has been deposited by sputtering and investigated for application as high density interconnects, with a view to maximising its performance and reliability. A sputter deposition process using gettering has been developed, which produces consistently pure, low resistivity films. A relationship between film thickness and resistivity has been explained by studying the grain growth process in copper films using atomic force microscopy. The Maydas-Shatzkes model has been used to separate the contributions of grain boundary and surface scattering to thin film resistivity, in copper and gold. Stress and texture in copper film have been studied. Annealing has been used to promote grain growth and texture development. Electromigration has been studied in copper and aluminium interconnects using a multi-line accelerated test set-up. A difference in failure distributions and void morphologies has been explained by an entirely different damage mechanism. The importance of surface/interface migration in electromigration damage of copper lines has been established and explained using a grain boundary-grooving model. A tantalum overlayer was found to extend the lifetime of copper lines. A composite sputtering target has been used to deposit copper/zirconium alloy films. The composition of the alloys was studied by Rutherford backscattering, Auger and secondary neutral mass spectrometry. The alloy films had an improved electromigration lifetime. A surface controlled mechanism is proposed to explain the advantage. A metal oxide semiconductor (MOS) capacitor technique is used to investigate barrier reliability. Tungsten is shown to be an effective diffusion barrier for copper, up to 700 deg. C. (author)

  10. Interconnection blocks with minimal dead volumes permitting planar interconnection to thin microfluidic devices

    DEFF Research Database (Denmark)

    Sabourin, David; Snakenborg, Detlef; Dufva, Martin

    2010-01-01

    We have previously described 'Interconnection Blocks' which are re-usable, non-integrated PDMS blocks which allowing multiple, aligned and planar microfluidic interconnections. Here, we describe Interconnection Block versions with zero dead volumes that allow fluidic interfacing to flat or thin s...

  11. Interconnected Silicon Hollow Nanospheres for Lithium-Ion Battery Anodes with Long Cycle Life

    KAUST Repository

    Yao, Yan

    2011-07-13

    Silicon is a promising candidate for the anode material in lithium-ion batteries due to its high theoretical specific capacity. However, volume changes during cycling cause pulverization and capacity fade, and improving cycle life is a major research challenge. Here, we report a novel interconnected Si hollow nanosphere electrode that is capable of accommodating large volume changes without pulverization during cycling. We achieved the high initial discharge capacity of 2725 mAh g-1 with less than 8% capacity degradation every hundred cycles for 700 total cycles. Si hollow sphere electrodes also show a Coulombic efficiency of 99.5% in later cycles. Superior rate capability is demonstrated and attributed to fast lithium diffusion in the interconnected Si hollow structure. © 2011 American Chemical Society.

  12. Misalignment corrections in optical interconnects

    Science.gov (United States)

    Song, Deqiang

    Optical interconnects are considered a promising solution for long distance and high bitrate data transmissions, outperforming electrical interconnects in terms of loss and dispersion. Due to the bandwidth and distance advantage of optical interconnects, longer links have been implemented with optics. Recent studies show that optical interconnects have clear advantages even at very short distances---intra system interconnects. The biggest challenge for such optical interconnects is the alignment tolerance. Many free space optical components require very precise assembly and installation, and therefore the overall cost could be increased. This thesis studied the misalignment tolerance and possible alignment correction solutions for optical interconnects at backplane or board level. First the alignment tolerance for free space couplers was simulated and the result indicated the most critical alignments occur between the VCSEL, waveguide and microlens arrays. An in-situ microlens array fabrication method was designed and experimentally demonstrated, with no observable misalignment with the waveguide array. At the receiver side, conical lens arrays were proposed to replace simple microlens arrays for a larger angular alignment tolerance. Multilayer simulation models in CodeV were built to optimized the refractive index and shape profiles of the conical lens arrays. Conical lenses fabricated with micro injection molding machine and fiber etching were characterized. Active component VCSOA was used to correct misalignment in optical connectors between the board and backplane. The alignment correction capability were characterized for both DC and AC (1GHz) optical signal. The speed and bandwidth of the VCSOA was measured and compared with a same structure VCSEL. Based on the optical inverter being studied in our lab, an all-optical flip-flop was demonstrated using a pair of VCSOAs. This memory cell with random access ability can store one bit optical signal with set or

  13. Efficient dual layer interconnect coating for high temperature electrochemical devices

    DEFF Research Database (Denmark)

    Palcut, Marián; Mikkelsen, Lars; Neufeld, Kai

    2012-01-01

    Effects of novel dual layer coatings Co3O4/La0.85Sr0.15MnO3−δ on high temperature oxidation behaviour of candidate steels for interconnects are studied at 1123 K in flowing simulated ambient air (air + 1% H2O) and oxygen. Four alloys are investigated: Crofer 22 APU, Crofer 22 H, E-Brite and AL 29...... that the oxidation reaction is limited by outward Cr3+ diffusion in the chromia scale. The coating effectively reduces the oxidation rate. Reactions and cation inter-diffusion between the coating and the oxide scale are observed. Long term effects of these interactions are discussed and practical implications...

  14. Electromagnetism and interconnections

    CERN Document Server

    Charruau, S

    2009-01-01

    This book covers the theoretical problems of modeling electrical behavior of the interconnections encountered in everyday electronic products. The coverage shows the theoretical tools of waveform prediction at work in the design of a complex and high-speed digital electronic system. Scientists, research engineers, and postgraduate students interested in electromagnetism, microwave theory, electrical engineering, or the development of simulation tools software for high speed electronic system design automation will find this book an illuminating resource.

  15. Fusion-bonded fluidic interconnects

    International Nuclear Information System (INIS)

    Fazal, I; Elwenspoek, M C

    2008-01-01

    A new approach to realize fluidic interconnects based on the fusion bonding of glass tubes with silicon is presented. Fusion bond strength analyses have been carried out. Experiments with plain silicon wafers and coated with silicon oxide and silicon nitride are performed. The obtained results are discussed in terms of the homogeneity and strength of fusion bond. High pressure testing shows that the bond strength is large enough for most applications of fluidic interconnects. The bond strength for 525 µm thick silicon, with glass tubes having an outer diameter of 6 mm and with a wall thickness of 2 mm, is more than 60 bars after annealing at a temperature of 800 °C

  16. A one-semester course in modeling of VSLI interconnections

    CERN Document Server

    Goel, Ashok

    2015-01-01

    Quantitative understanding of the parasitic capacitances and inductances, and the resultant propagation delays and crosstalk phenomena associated with the metallic interconnections on the very large scale integrated (VLSI) circuits has become extremely important for the optimum design of the state-of-the-art integrated circuits. More than 65 percent of the delays on the integrated circuit chip occur in the interconnections and not in the transistors on the chip. Mathematical techniques to model the parasitic capacitances, inductances, propagation delays, crosstalk noise, and electromigration-induced failure associated with the interconnections in the realistic high-density environment on a chip will be discussed. A One-Semester Course in Modeling of VLSI Interconnections also includes an overview of the future interconnection technologies for the nanotechnology circuits.

  17. Interconnection policy: a theoretical survey

    Directory of Open Access Journals (Sweden)

    César Mattos

    2003-01-01

    Full Text Available This article surveys the theoretical foundations of interconnection policy. The requirement of an interconnection policy should not be taken for granted in all circumstances, even considering the issue of network externalities. On the other hand, when it is required, an encompassing interconnection policy is usually justified. We provide an overview of the theory on interconnection pricing that results in several different prescriptions depending on which problem the regulator aims to address. We also present a survey on the literature on two-way interconnection.

  18. Economic and environmental benefits of interconnected systems. The Spanish example

    International Nuclear Information System (INIS)

    Chicharro, A.S.; Dios Alija, R. de

    1996-01-01

    The interconnected systems provide large technical and economic benefits which, evaluated and contrasted with the associated network investment cost, usually produce important net savings. There are continental electrical systems formed by many interconnected subsystems. The optimal size of an interconnection should be defined within an economic background. It is necessary to take into account the global environmental effects. The approach and results of studies carried out by Red Electrica is presented, in order to analyse both economic and environmental benefits resulting from an increase in the present Spanish interconnection capacities. From both economic and environmental points of view, the development of the interconnected systems is highly positive. (author)

  19. Interconnection blocks: a method for providing reusable, rapid, multiple, aligned and planar microfluidic interconnections

    DEFF Research Database (Denmark)

    Sabourin, David; Snakenborg, Detlef; Dufva, Hans Martin

    2009-01-01

    In this paper a method is presented for creating 'interconnection blocks' that are re-usable and provide multiple, aligned and planar microfluidic interconnections. Interconnection blocks made from polydimethylsiloxane allow rapid testing of microfluidic chips and unobstructed microfluidic observ...

  20. Synthesis of lanthanum tungstate interconnecting nanoparticles by high voltage electrospinning

    Energy Technology Data Exchange (ETDEWEB)

    Keereeta, Yanee, E-mail: ynkeereeta@gmail.com [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thongtem, Titipun, E-mail: ttpthongtem@yahoo.com [Department of Chemistry, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Materials Science Research Center, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Thongtem, Somchai [Department of Physics and Materials Science, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand); Materials Science Research Center, Faculty of Science, Chiang Mai University, Chiang Mai 50200 (Thailand)

    2015-10-01

    Graphical abstract: - Highlights: • La{sub 2}(WO{sub 4}){sub 3} as one of semiconducting materials. • H.V. electrospinning was used to synthesize La{sub 2}(WO{sub 4}){sub 3} interconnecting nanoparticles. • A promising material for photoemission. - Abstract: Lanthanum tungstate (La{sub 2}(WO{sub 4}){sub 3}) interconnecting nanoparticles in the shape of fibers were successfully synthesized by electrospinning in combination with high temperature calcination. In this research, calcination temperature for the synthesis of the fibers evidently influenced the diameter, morphology and crystalline degree. The crystalline monoclinic La{sub 2}(WO{sub 4}){sub 3} fibers with 200–700 nm in diameter, two main Raman peaks at 945 and 927 cm{sup −1}, FTIR stretching modes at 936 and 847 cm{sup −1}, 2.02 eV energy gap and 415–430 nm blue emission were synthesized by calcination of inorganic/organic hybrid fibers at 750 °C for 5 h, characterized by X-ray diffraction, scanning electron microscopy (SEM), transmission electron microscopy (TEM), selected area electron diffraction (SAED), thermogravimetric analysis (TGA), Fourier transform infrared spectroscopy (FTIR), Raman spectroscopy, UV–visible spectroscopy and photoluminescence (PL) spectroscopy. The surface of the composite fibers before calcination was very smooth. Upon calcination the composite fibers at 750 °C for 5 h, they were transformed into nanoparticles join together in the shape of fibers with rough surface.

  1. Ultra-precision fabrication of high density micro-optical backbone interconnections for data center and mobile application

    Science.gov (United States)

    Lohmann, U.; Jahns, J.; Wagner, T.; Werner, C.

    2012-10-01

    A microoptical 3D interconnection scheme and fabricated samples of this fiberoptical multi-channel interconnec- tion with an actual capacity of 144 channels were shown. Additionally the aspects of micrometer-fabrication of such microoptical interconnection modules in the view of alignment-tolerances were considered. For the realiza- tion of the interconnection schemes, the approach of planar-integrated free space optics (PIFSO) is used with its well known advantages. This approach offers the potential for complex interconnectivity, and yet compact size.

  2. Carbon nanotube and graphene nanoribbon interconnects

    CERN Document Server

    Das, Debaprasad

    2014-01-01

    "The book, Caron Nanotube and Graphene Nanoribbon Interconnects, authored by Drs. Debapraad Das and Hafizur Rahaman serves as a good source of material on CNT and GNR interconnects for readers who wish to get into this area and also for practicing engineers who would like to be updated in advances of this field."-Prof. Ashok Srivastava, Louisiana State University, Baton Rouge, USA"Mathematical analysis included in each and every chapter is the main strength of the materials. ... The book is very precise and useful for those who are working in this area. ... highly focused, very compact, and easy to apply. ... This book depicts a detailed analysis and modelling of carbon nanotube and graphene nanoribbon interconnects. The book also covers the electrical circuit modelling of carbon nanotubes and graphene nanoribbons."-Prof. Chandan Kumar Sarkar, Jadavpur University, Kolkata, India.

  3. Nanostructured CuS networks composed of interconnected nanoparticles for asymmetric supercapacitors.

    Science.gov (United States)

    Fu, Wenbin; Han, Weihua; Zha, Heming; Mei, Junfeng; Li, Yunxia; Zhang, Zemin; Xie, Erqing

    2016-09-21

    Nanostructured metal sulfides with excellent electrochemical activity and electrical conductivity are particularly promising for applications in high-performance energy storage devices. Here, we report on the facile synthesis of nanostructured CuS networks composed of interconnected nanoparticles as novel battery-type materials for asymmetric supercapacitors. We find that the CuS networks exhibit a high specific capacity of 49.8 mA g(-1) at a current density of 1 A g(-1), good rate capability and cycle stability. The superior performance could be attributed to the interconnected nanoparticles of CuS networks, which can facilitate electrolyte diffusion and provide fast electron pathways. Furthermore, an aqueous asymmetric supercapacitor has been assembled by using the CuS networks as the positive electrode and activated carbon as the negative electrode. The assembled device can work at a high operating voltage of 1.6 V and show a maximum energy density of 17.7 W h kg(-1) at a power density of 504 W kg(-1). This study indicates that the CuS networks have great potential for supercapacitor applications.

  4. Interconnected networks

    CERN Document Server

    2016-01-01

    This volume provides an introduction to and overview of the emerging field of interconnected networks which include multi layer or multiplex networks, as well as networks of networks. Such networks present structural and dynamical features quite different from those observed in isolated networks. The presence of links between different networks or layers of a network typically alters the way such interconnected networks behave – understanding the role of interconnecting links is therefore a crucial step towards a more accurate description of real-world systems. While examples of such dissimilar properties are becoming more abundant – for example regarding diffusion, robustness and competition – the root of such differences remains to be elucidated. Each chapter in this topical collection is self-contained and can be read on its own, thus making it also suitable as reference for experienced researchers wishing to focus on a particular topic.

  5. Interconnection of Distributed Energy Resources

    Energy Technology Data Exchange (ETDEWEB)

    Reiter, Emerson [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2017-04-19

    This is a presentation on interconnection of distributed energy resources, including the relationships between different aspects of interconnection, best practices and lessons learned from different areas of the U.S., and an update on technical advances and standards for interconnection.

  6. Driving Interconnected Networks to Supercriticality

    Directory of Open Access Journals (Sweden)

    Filippo Radicchi

    2014-04-01

    Full Text Available Networks in the real world do not exist as isolated entities, but they are often part of more complicated structures composed of many interconnected network layers. Recent studies have shown that such mutual dependence makes real networked systems potentially exposed to atypical structural and dynamical behaviors, and thus there is an urgent necessity to better understand the mechanisms at the basis of these anomalies. Previous research has mainly focused on the emergence of atypical properties in relation to the moments of the intra- and interlayer degree distributions. In this paper, we show that an additional ingredient plays a fundamental role for the possible scenario that an interconnected network can face: the correlation between intra- and interlayer degrees. For sufficiently high amounts of correlation, an interconnected network can be tuned, by varying the moments of the intra- and interlayer degree distributions, in distinct topological and dynamical regimes. When instead the correlation between intra- and interlayer degrees is lower than a critical value, the system enters in a supercritical regime where dynamical and topological phases are no longer distinguishable.

  7. Optical interconnects for in-plane high-speed signal distribution at 10 Gb/s: Analysis and demonstration

    Science.gov (United States)

    Chang, Yin-Jung

    With decreasing transistor size, increasing chip speed, and larger numbers of processors in a system, the performance of a module/system is being limited by the off-chip and off-module bandwidth-distance products. Optical links have moved from fiber-based long distance communications to the cabinet level of 1m--100m, and recently to the backplane-level (10cm--1m). Board-level inter-chip parallel optical interconnects have been demonstrated recently by researchers from Intel, IBM, Fujitsu, NTT and a few research groups in universities. However, the board-level signal/clock distribution function using optical interconnects, the lightwave circuits, the system design, a practically convenient integration scheme committed to the implementation of a system prototype have not been explored or carefully investigated. In this dissertation, the development of a board-level 1 x 4 optical-to-electrical signal distribution at 10Gb/s is presented. In contrast to other prototypes demonstrating board-level parallel optical interconnects that have been drawing much attention for the past decade, the optical link design for the high-speed signal broadcasting is even more complicated and the pitch between receivers could be varying as opposed to fixed-pitch design that has been widely-used in the parallel optical interconnects. New challenges for the board-level high-speed signal broadcasting include, but are not limited to, a new optical link design, a lightwave circuit as a distribution network, and a novel integration scheme that can be a complete radical departure from the traditional assembly method. One of the key building blocks in the lightwave circuit is the distribution network in which a 1 x 4 multimode interference (MMI) splitter is employed. MMI devices operating at high data rates are important in board-level optical interconnects and need to be characterized in the application of board-level signal broadcasting. To determine the speed limitations of MMI devices, the

  8. Benefits of transmission interconnections

    International Nuclear Information System (INIS)

    Lyons, D.

    2006-01-01

    The benefits of new power transmission interconnections from Alberta were discussed with reference to the challenges and measures needed to move forward. Alberta's electricity system has had a long period of sustained growth in generation and demand and this trend is expected to continue. However, no new interconnections have been built since 1985 because the transmission network has not expanded in consequence with the growth in demand. As such, Alberta remains weakly interconnected with the rest of the western region. The benefits of stronger transmission interconnections include improved reliability, long-term generation capability, hydrothermal synergies, a more competitive market, system efficiencies and fuel diversity. It was noted that the more difficult challenges are not technical. Rather, the difficult challenges lie in finding an appropriate business model that recognizes different market structures. It was emphasized that additional interconnections are worthwhile and will require significant collaboration among market participants and governments. It was concluded that interties enable resource optimization between systems and their benefits far exceed their costs. tabs., figs

  9. Fluidic interconnections for microfluidic systems: A new integrated fluidic interconnection allowing plug 'n' play functionality

    DEFF Research Database (Denmark)

    Perozziello, Gerardo; Bundgaard, Frederik; Geschke, Oliver

    2008-01-01

    A crucial challenge in packaging of microsystems is microfluidic interconnections. These have to seal the ports of the system, and have to provide the appropriate interface to other devices or the external environment. Integrated fluidic interconnections appear to be a good solution for interconn...... external metal ferrules and the system. Theoretical calculations are made to dimension and model the integrated fluidic interconnection. Leakage tests are performed on the interconnections, in order to experimentally confirm the model, and detect its limits....

  10. Cascade-robustness optimization of coupling preference in interconnected networks

    International Nuclear Information System (INIS)

    Zhang, Xue-Jun; Xu, Guo-Qiang; Zhu, Yan-Bo; Xia, Yong-Xiang

    2016-01-01

    Highlights: • A specific memetic algorithm was proposed to optimize coupling links. • A small toy model was investigated to examine the underlying mechanism. • The MA optimized strategy exhibits a moderate assortative pattern. • A novel coupling coefficient index was proposed to quantify coupling preference. - Abstract: Recently, the robustness of interconnected networks has attracted extensive attentions, one of which is to investigate the influence of coupling preference. In this paper, the memetic algorithm (MA) is employed to optimize the coupling links of interconnected networks. Afterwards, a comparison is made between MA optimized coupling strategy and traditional assortative, disassortative and random coupling preferences. It is found that the MA optimized coupling strategy with a moderate assortative value shows an outstanding performance against cascading failures on both synthetic scale-free interconnected networks and real-world networks. We then provide an explanation for this phenomenon from a micro-scope point of view and propose a coupling coefficient index to quantify the coupling preference. Our work is helpful for the design of robust interconnected networks.

  11. In-memory interconnect protocol configuration registers

    Energy Technology Data Exchange (ETDEWEB)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  12. In-memory interconnect protocol configuration registers

    Science.gov (United States)

    Cheng, Kevin Y.; Roberts, David A.

    2017-09-19

    Systems, apparatuses, and methods for moving the interconnect protocol configuration registers into the main memory space of a node. The region of memory used for storing the interconnect protocol configuration registers may also be made cacheable to reduce the latency of accesses to the interconnect protocol configuration registers. Interconnect protocol configuration registers which are used during a startup routine may be prefetched into the host's cache to make the startup routine more efficient. The interconnect protocol configuration registers for various interconnect protocols may include one or more of device capability tables, memory-side statistics (e.g., to support two-level memory data mapping decisions), advanced memory and interconnect features such as repair resources and routing tables, prefetching hints, error correcting code (ECC) bits, lists of device capabilities, set and store base address, capability, device ID, status, configuration, capabilities, and other settings.

  13. Interconnected α-Fe2O3 nanosheet arrays as high-performance anode materials for lithium-ion batteries

    International Nuclear Information System (INIS)

    Cai, Dandan; Li, Dongdong; Ding, Liang-Xin; Wang, Suqing; Wang, Haihui

    2016-01-01

    The electrode materials with structure stability and binder-free are urgently required for improving the electrochemical performance of lithium-ion batteries. In this work, interconnected α-Fe 2 O 3 nanosheet arrays directly grown on Ti foil were fabricated via a facile galvanostatic electrodeposition method followed by thermal treatment. The as-prepared α-Fe 2 O 3 has an open network structure constituted of interconnected nanosheets and can be directly used as integrated electrodes for lithium-ion batteries. The α-Fe 2 O 3 nanosheet arrays exhibit a high reversible capacity of 986.3 mAh g −1 at a current density of 100 mA g −1 . Moreover, a reversible capacity of ca. 425.9 mAh g −1 is achieved even at a superhigh current density of 10 A g −1 , which is higher than the theoretical capacity of commercially used graphite. The excellent performance could be attributed to the efficient electron transport, the large electrode/electrolyte interfaces and the good accommodations for volume expansion from the interconnected nanosheet arrays structure.

  14. Three-dimensionally interconnected Si frameworks derived from natural halloysite clay: a high-capacity anode material for lithium-ion batteries.

    Science.gov (United States)

    Wan, Hao; Xiong, Hao; Liu, Xiaohe; Chen, Gen; Zhang, Ning; Wang, Haidong; Ma, Renzhi; Qiu, Guanzhou

    2018-05-23

    On account of its high theoretical capacity, silicon (Si) has been regarded as a promising anode material for Li-ion batteries. Extracting Si content from earth-abundant and low-cost aluminosilicate minerals, rather than from artificial silica (SiO2) precursors, is a more favorable and practical method for the large-scale application of Si anodes. In this work, three-dimensionally interconnected (3D-interconnected) Si frameworks with a branch diameter of ∼15 nm are prepared by the reduction of amorphous SiO2 nanotubes derived from natural halloysite clay. Benefiting from their nanostructure, the as-prepared 3D-interconnected Si frameworks yield high reversible capacities of 2.54 A h g-1 at 0.1 A g-1 after 50 cycles, 1.87 A h g-1 at 0.5 A g-1 after 200 cycles, and 0.97 A h g-1 at 2 A g-1 after a long-term charge-discharge process of 500 cycles, remarkably outperforming the commercial Si material. Further, when the as-prepared Si frameworks and commercial LiCoO2 cathodes are paired in full cells, a high anode capacity of 0.98 A h g-1 is achieved after 100 cycles of rapid charge/discharge at 2 A g-1. This work provides a new strategy for the synthesis of high-capacity Si anodes derived from natural aluminosilicate clay.

  15. Next generation space interconnect research and development in space communications

    Science.gov (United States)

    Collier, Charles Patrick

    2017-11-01

    Interconnect or "bus" is one of the critical technologies in design of spacecraft avionics systems that dictates its architecture and complexity. MIL-STD-1553B has long been used as the avionics backbone technology. As avionics systems become more and more capable and complex, however, limitations of MIL-STD-1553B such as insufficient 1 Mbps bandwidth and separability have forced current avionics architects and designers to use combination of different interconnect technologies in order to meet various requirements: CompactPCI is used for backplane interconnect; LVDS or RS422 is used for low and high-speed direct point-to-point interconnect; and some proprietary interconnect standards are designed for custom interfaces. This results in a very complicated system that consumes significant spacecraft mass and power and requires extensive resources in design, integration and testing of spacecraft systems.

  16. MoS2/Ni3S4 composite nanosheets on interconnected carbon shells as an excellent supercapacitor electrode architecture for long term cycling at high current densities

    Science.gov (United States)

    Qin, Shengchun; Yao, Tinghui; Guo, Xin; Chen, Qiang; Liu, Dequan; Liu, Qiming; Li, Yali; Li, Junshuai; He, Deyan

    2018-05-01

    In this paper, we report an electrode architecture of molybdenum disulfide (MoS2)/nickel sulfide (Ni3S4) composite nanosheets anchored on interconnected carbon (C) shells (C@MoS2/Ni3S4). Electrochemical measurements indicate that the C@MoS2/Ni3S4 structure possesses excellent supercapacitive properties especially for long term cycling at high current densities. A specific capacitance as high as ∼640.7 F g-1 can still be delivered even after 10,000 cycles at a high current density of 20 A g-1. From comparison of microstructures and electrochemical properties of the related materials/structures, the improved performance of C@MoS2/Ni3S4 can be attributed to the relatively dispersedly distributed nanosheet-shaped MoS2/Ni3S4 that provides efficient contact with electrolyte and effectively buffers the volume change during charge/discharge processes, enhanced cycling stability by MoS2, and reduced equivalent series resistance by the interconnected C shells.

  17. Analysis of the trade-offs between conventional and superconducting interconnections

    International Nuclear Information System (INIS)

    Frye, R.

    1989-01-01

    Superconductivity can now be achieved at temperatures compatible with semiconductor device operation. This raises the interesting possibility of using the new, high-temperature superconducting ceramics for interconnections in electronic systems. This paper examines some of the consequences of a resistance-free interconnection medium. A problem with conventional conductors in electronic systems is that the resistance of wires increases quadratically as the wire dimensions are scaled down. Below some minimum cross-sectional area, determined by the metal resistivity and wire length, the resistance in these lines begins to severely limit their bandwidth. Superconductors, on the other hand, are not constrained by the same scaling rules. They provide a high bandwidth interconnection at all sizes and lengths. The limitations for superconductors are set by their critical current densities. If line dimensions become too small, a superconductor will no longer support an adequate flow of current. An analysis is presented examining the performance trade-offs for conventional and superconducting interconnections in applications ranging from printed wiring boards to chips. For most semiconductor device-based applications, the potential gains in wiring density offered by superconductors are probably more important than the bandwidth improvements. An important result of the analysis is that it determines the values of critical current density above which superconductors outperform conventional wires in systems of various physical sizes. This identifies particular interconnection technologies for which high-temperature superconductors show the most promise

  18. Microcoil Spring Interconnects for Ceramic Grid Array Integrated Circuits

    Science.gov (United States)

    Strickland, S. M.; Hester, J. D.; Gowan, A. K.; Montgomery, R. K.; Geist, D. L.; Blanche, J. F.; McGuire, G. D.; Nash, T. S.

    2011-01-01

    As integrated circuit miniaturization trends continue, they drive the need for smaller higher input/output (I/O) packages. Hermetically sealed ceramic area array parts are the package of choice by the space community for high reliability space flight electronic hardware. Unfortunately, the coefficient of thermal expansion mismatch between the ceramic area array package and the epoxy glass printed wiring board limits the life of the interconnecting solder joint. This work presents the results of an investigation by Marshall Space Flight Center into a method to increase the life of this second level interconnection by the use of compliant microcoil springs. The design of the spring and its attachment process are presented along with thermal cycling results of microcoil springs (MCS) compared with state-of-the-art ball and column interconnections. Vibration testing has been conducted on MCS and high lead column parts. Radio frequency simulation and measurements have been made and the MCS has been modeled and a stress analysis performed. Thermal cycling and vibration testing have shown MCS interconnects to be significantly more reliable than solder columns. Also, MCS interconnects are less prone to handling damage than solder columns. Future work that includes shock testing, incorporation into a digital signal processor board, and process evaluation of expansion from a 400 I/O device to a device with over 1,100 I/O is identified.

  19. Policy issues in interconnecting networks

    Science.gov (United States)

    Leiner, Barry M.

    1989-01-01

    To support the activities of the Federal Research Coordinating Committee (FRICC) in creating an interconnected set of networks to serve the research community, two workshops were held to address the technical support of policy issues that arise when interconnecting such networks. The workshops addressed the required and feasible technologies and architectures that could be used to satisfy the desired policies for interconnection. The results of the workshop are documented.

  20. Investigation on the possible interconnection between Kanata sinkhole, on the high plateau of Tripolis, and springs of Argos area

    Energy Technology Data Exchange (ETDEWEB)

    Leontiadis, I.; Dimitroulas, C.; Zouridakis, N.; Dounas, A.; Morfis, A.; Paraskevopoulou, P.

    1984-07-01

    /sup 51/Cr-EDTA has been used as tracer for the investigation of possible interconnection between the sinkhole Kanata, on the high Plateau of Tripolis, and springs of the areas of Argos, Achladokambos and Kinouria. By this experiment, the interconnection between the sinkhole and the submarine spring of Kiveri, as well as the springs of Xovrios Achladokambos river, is proved. Furthermore, the percentage of connection between the sinkhole and the springs, the mean transit time of the water from the sinkhole to the springs, the volume of the underground reservoir feeding the springs, etc., have been calculated.

  1. Multi-net optimization of VLSI interconnect

    CERN Document Server

    Moiseev, Konstantin; Wimer, Shmuel

    2015-01-01

    This book covers layout design and layout migration methodologies for optimizing multi-net wire structures in advanced VLSI interconnects. Scaling-dependent models for interconnect power, interconnect delay and crosstalk noise are covered in depth, and several design optimization problems are addressed, such as minimization of interconnect power under delay constraints, or design for minimal delay in wire bundles within a given routing area. A handy reference or a guide for design methodologies and layout automation techniques, this book provides a foundation for physical design challenges of interconnect in advanced integrated circuits.  • Describes the evolution of interconnect scaling and provides new techniques for layout migration and optimization, focusing on multi-net optimization; • Presents research results that provide a level of design optimization which does not exist in commercially-available design automation software tools; • Includes mathematical properties and conditions for optimal...

  2. Construction of programmable interconnected 3D microfluidic networks

    International Nuclear Information System (INIS)

    Hunziker, Patrick R; Wolf, Marc P; Wang, Xueya; Zhang, Bei; Marsch, Stephan; Salieb-Beugelaar, Georgette B

    2015-01-01

    Microfluidic systems represent a key-enabling platform for novel diagnostic tools for use at the point-of-care in clinical contexts as well as for evolving single cell diagnostics. The design of 3D microfluidic systems is an active field of development, but construction of true interconnected 3D microfluidic networks is still a challenge, in particular when the goal is rapid prototyping, accurate design and flexibility. We report a novel approach for the construction of programmable 3D microfluidic systems consisting of modular 3D template casting of interconnected threads to allow user-programmable flow paths and examine its structural characteristics and its modular function. To overcome problems with thread template casting reported in the literature, low-surface-energy polymer threads were used, that allow solvent-free production. Connected circular channels with excellent roundness and low diameter variability were created. Variable channel termination allowed programming a flow path on-the-fly, thus rendering the resulting 3D microfluidic systems highly customizable even after production. Thus, construction of programmable/reprogrammable fully 3D microfluidic systems by template casting of a network of interconnecting threads is feasible, leads to high-quality and highly reproducible, complex 3D geometries. (paper)

  3. Cross-border versus cross-sector interconnectivity in renewable energy systems

    International Nuclear Information System (INIS)

    Thellufsen, Jakob Zinck; Lund, Henrik

    2017-01-01

    In the transition to renewable energy systems, fluctuating renewable energy, such as wind and solar power, plays a large and important role. This creates a challenge in terms of meeting demands, as the energy production fluctuates based on weather patterns. To utilise high amounts of fluctuating renewable energy, the energy system has to be more flexible in terms of decoupling demand and production. This paper investigates two potential ways to increase flexibility. The first is the interconnection between energy systems, for instance between two countries, labelled as cross-border interconnection, and the second is cross-sector interconnection, i.e., the integration between different parts of an energy system, for instance heat and electricity. This paper seeks to compare the types of interconnectivity and discuss to which extent they are mutually beneficial. To do this, the study investigates two energy systems that represent Northern and Southern Europe. Both systems go through three developmental steps that increase the cross-sector interconnectivity. At each developmental step an increasing level of transmission capacities is examined to identify the benefits of cross-border interconnectivity. The results show that while both measures increase the system utilisation of renewable energy and the system efficiency, the cross-sector interconnection gives the best system performance. To analyse the possible interaction between cross-sector and cross-border interconnectivity, two main aspects have to be clarified. The first part defines the approach and the second is the construction of the two archetypes. - Highlights: • A method to investigate system integration and system interconnection is suggested. • The implementation is investigated across a Northern and Southern energy system. • The study identifies benefits of system integration and system interconnection. • The performance of the energy system benefits most from system integration.

  4. 18 CFR 292.306 - Interconnection costs.

    Science.gov (United States)

    2010-04-01

    ... 18 Conservation of Power and Water Resources 1 2010-04-01 2010-04-01 false Interconnection costs... § 292.306 Interconnection costs. (a) Obligation to pay. Each qualifying facility shall be obligated to pay any interconnection costs which the State regulatory authority (with respect to any electric...

  5. Synthesis of three-dimensionally interconnected sulfur-rich polymers for cathode materials of high-rate lithium-sulfur batteries

    Science.gov (United States)

    Kim, Hoon; Lee, Joungphil; Ahn, Hyungmin; Kim, Onnuri; Park, Moon Jeong

    2015-06-01

    Elemental sulfur is one of the most attractive cathode active materials in lithium batteries because of its high theoretical specific capacity. Despite the positive aspect, lithium-sulfur batteries have suffered from severe capacity fading and limited rate capability. Here we report facile large-scale synthesis of a class of organosulfur compounds that could open a new chapter in designing cathode materials to advance lithium-sulfur battery technologies. Porous trithiocyanuric acid crystals are synthesized for use as a soft template, where the ring-opening polymerization of elemental sulfur takes place along the thiol surfaces to create three-dimensionally interconnected sulfur-rich phases. Our lithium-sulfur cells display discharge capacity of 945 mAh g-1 after 100 cycles at 0.2 C with high-capacity retention of 92%, as well as lifetimes of 450 cycles. Particularly, the organized amine groups in the crystals increase Li+-ion transfer rate, affording a rate performance of 1210, mAh g-1 at 0.1 C and 730 mAh g-1 at 5 C.

  6. Synthesis of three-dimensionally interconnected sulfur-rich polymers for cathode materials of high-rate lithium–sulfur batteries

    Science.gov (United States)

    Kim, Hoon; Lee, Joungphil; Ahn, Hyungmin; Kim, Onnuri; Park, Moon Jeong

    2015-01-01

    Elemental sulfur is one of the most attractive cathode active materials in lithium batteries because of its high theoretical specific capacity. Despite the positive aspect, lithium–sulfur batteries have suffered from severe capacity fading and limited rate capability. Here we report facile large-scale synthesis of a class of organosulfur compounds that could open a new chapter in designing cathode materials to advance lithium–sulfur battery technologies. Porous trithiocyanuric acid crystals are synthesized for use as a soft template, where the ring-opening polymerization of elemental sulfur takes place along the thiol surfaces to create three-dimensionally interconnected sulfur-rich phases. Our lithium–sulfur cells display discharge capacity of 945 mAh g−1 after 100 cycles at 0.2 C with high-capacity retention of 92%, as well as lifetimes of 450 cycles. Particularly, the organized amine groups in the crystals increase Li+-ion transfer rate, affording a rate performance of 1210, mAh g−1 at 0.1 C and 730 mAh g−1 at 5 C. PMID:26065407

  7. Decentralised output feedback control of Markovian jump interconnected systems with unknown interconnections

    Science.gov (United States)

    Li, Li-Wei; Yang, Guang-Hong

    2017-07-01

    The problem of decentralised output feedback control is addressed for Markovian jump interconnected systems with unknown interconnections and general transition rates (TRs) allowed to be unknown or known with uncertainties. A class of decentralised dynamic output feedback controllers are constructed, and a cyclic-small-gain condition is exploited to dispose the unknown interconnections so that the resultant closed-loop system is stochastically stable and satisfies an H∞ performance. With slack matrices to cope with the nonlinearities incurred by unknown and uncertain TRs in control synthesis, a novel controller design condition is developed in linear matrix inequality formalism. Compared with the existing works, the proposed approach leads to less conservatism. Finally, two examples are used to illustrate the effectiveness of the new results.

  8. Phosphotyrosine signaling proteins that drive oncogenesis tend to be highly interconnected.

    Science.gov (United States)

    Koytiger, Grigoriy; Kaushansky, Alexis; Gordus, Andrew; Rush, John; Sorger, Peter K; MacBeath, Gavin

    2013-05-01

    Mutation and overexpression of receptor tyrosine kinases or the proteins they regulate serve as oncogenic drivers in diverse cancers. To better understand receptor tyrosine kinase signaling and its link to oncogenesis, we used protein microarrays to systematically and quantitatively measure interactions between virtually every SH2 or PTB domain encoded in the human genome and all known sites of tyrosine phosphorylation on 40 receptor tyrosine kinases and on most of the SH2 and PTB domain-containing adaptor proteins. We found that adaptor proteins, like RTKs, have many high affinity bindings sites for other adaptor proteins. In addition, proteins that drive cancer, including both receptors and adaptor proteins, tend to be much more highly interconnected via networks of SH2 and PTB domain-mediated interactions than nononcogenic proteins. Our results suggest that network topological properties such as connectivity can be used to prioritize new drug targets in this well-studied family of signaling proteins.

  9. Integrated Circuit Interconnect Lines on Lossy Silicon Substrate with Finite Element Method

    OpenAIRE

    Sarhan M. Musa,; Matthew N. O. Sadiku

    2014-01-01

    The silicon substrate has a significant effect on the inductance parameter of a lossy interconnect line on integrated circuit. It is essential to take this into account in determining the transmission line electrical parameters. In this paper, a new quasi-TEM capacitance and inductance analysis of multiconductor multilayer interconnects is successfully demonstrated using finite element method (FEM). We specifically illustrate the electrostatic modeling of single and coupled in...

  10. Nominate an Organization | Distributed Generation Interconnection

    Science.gov (United States)

    Collaborative | NREL Nominate an Organization Nominate an Organization Do you know of an organization doing high-quality, innovative work on the interconnection of distributed generation? Want to practices by nominating an organization to be profiled in an online case study! Please include your

  11. A Methodology for Physical Interconnection Decisions of Next Generation Transport Networks

    DEFF Research Database (Denmark)

    Gutierrez Lopez, Jose Manuel; Riaz, M. Tahir; Madsen, Ole Brun

    2011-01-01

    of possibilities when designing the physical network interconnection. This paper develops and presents a methodology in order to deal with aspects related to the interconnection problem of optical transport networks. This methodology is presented as independent puzzle pieces, covering diverse topics going from......The physical interconnection for optical transport networks has critical relevance in the overall network performance and deployment costs. As telecommunication services and technologies evolve, the provisioning of higher capacity and reliability levels is becoming essential for the proper...... development of Next Generation Networks. Currently, there is a lack of specific procedures that describe the basic guidelines to design such networks better than "best possible performance for the lowest investment". Therefore, the research from different points of view will allow a broader space...

  12. Integrated optoelectronic materials and circuits for optical interconnects

    International Nuclear Information System (INIS)

    Hutcheson, L.D.

    1988-01-01

    Conventional interconnect and switching technology is rapidly becoming a critical issue in the realization of systems using high speed silicon and GaAs based technologies. In recent years clock speeds and on-chip density for VLSI/VHSIC technology has made packaging these high speed chips extremely difficult. A strong case can be made for using optical interconnects for on-chip/on-wafer, chip-to-chip and board-to-board high speed communications. GaAs integrated optoelectronic circuits (IOC's) are being developed in a number of laboratories for performing Input/Output functions at all levels. In this paper integrated optoelectronic materials, electronics and optoelectronic devices are presented. IOC's are examined from the standpoint of what it takes to fabricate the devices and what performance can be expected

  13. Asymmetric ZnO panel-like hierarchical architectures with highly interconnected pathways for free-electron transport and photovoltaic improvements.

    Science.gov (United States)

    Shi, Yantao; Zhu, Chao; Wang, Lin; Li, Wei; Fung, Kwok Kwong; Wang, Ning

    2013-01-02

    Through a rapid and template-free precipitation approach, we synthesized an asymmetric panel-like ZnO hierarchical architecture (PHA) for photoanodes of dye-sensitized solar cells (DSCs). The two sides of the PHA are constructed differently using densely interconnected, mono-crystalline and ultrathin ZnO nanosheets. By mixing these PHAs with ZnO nanoparticles (NPs), we developed an effective and feasible strategy to improve the electrical transport and photovoltaic performance of the composite photoanodes of DSCs. The highly crystallized and interconnected ZnO nanosheets largely minimized the total grain boundaries within the composite photoanodes and thus served as direct pathways for the transport and effective collection of free electrons. Through low-temperature (200 °C) annealing, these novel composite photoanodes achieved high conversion efficiencies of up to 5.59% for ZnO-based quasi-solid DSCs. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Cross-border versus cross-sector interconnectivity in renewable energy systems

    DEFF Research Database (Denmark)

    Thellufsen, Jakob Zinck; Lund, Henrik

    2017-01-01

    . The results show that while both measures increase the system utilisation of renewable energy and the system efficiency, the cross-sector interconnection gives the best system performance. To analyse the possible interaction between cross-sector and cross-border interconnectivity, two main aspects have......In the transition to renewable energy systems, fluctuating renewable energy, such as wind and solar power, plays a large and important role. This creates a challenge in terms of meeting demands, as the energy production fluctuates based on weather patterns. To utilise high amounts of fluctuating...... renewable energy, the energy system has to be more flexible in terms of decoupling demand and production. This paper investigates two potential ways to increase flexibility. The first is the interconnection between energy systems, for instance between two countries, labelled as cross-border interconnection...

  15. Accurate Modeling Method for Cu Interconnect

    Science.gov (United States)

    Yamada, Kenta; Kitahara, Hiroshi; Asai, Yoshihiko; Sakamoto, Hideo; Okada, Norio; Yasuda, Makoto; Oda, Noriaki; Sakurai, Michio; Hiroi, Masayuki; Takewaki, Toshiyuki; Ohnishi, Sadayuki; Iguchi, Manabu; Minda, Hiroyasu; Suzuki, Mieko

    This paper proposes an accurate modeling method of the copper interconnect cross-section in which the width and thickness dependence on layout patterns and density caused by processes (CMP, etching, sputtering, lithography, and so on) are fully, incorporated and universally expressed. In addition, we have developed specific test patterns for the model parameters extraction, and an efficient extraction flow. We have extracted the model parameters for 0.15μm CMOS using this method and confirmed that 10%τpd error normally observed with conventional LPE (Layout Parameters Extraction) was completely dissolved. Moreover, it is verified that the model can be applied to more advanced technologies (90nm, 65nm and 55nm CMOS). Since the interconnect delay variations due to the processes constitute a significant part of what have conventionally been treated as random variations, use of the proposed model could enable one to greatly narrow the guardbands required to guarantee a desired yield, thereby facilitating design closure.

  16. Multilevel Dual Damascene copper interconnections

    Science.gov (United States)

    Lakshminarayanan, S.

    C, 500oC and 600oC for Ti, TiN and Ta barriers respectively. Via resistivity on the order of 10-9/ /Omegacm2 was measured for Cu/Ta/Cu interfaces and no degradation in the via resistance was observed upto 600oC on the 2 μm and 3 μm wide contact windows. Characterization of diode leakage and subthreshold currents of CMOS transistors fabricated with Ta adhesion layers, showed the failure of the Ta barrier at 450oC. Despite the good barrier performance of the CVD TiN films, obtaining low contact resistivity may be a concern. The potential use of Cu-Mg alloy as the backend metallization has also been studied. Fully encapsulated wiring has been fabricated by causing the Mg to out- diffuse towards the Cu/SiO2 interfaces and the free copper surface. The inter-connects exhibited good stability and oxidation resistance, but via resistances were extremely high, probably due to the presence of insulating films like MgO or MgF2 at the interface between the two metal levels. It may be possible to decrease the via resistance to values comparable to Cu/Ta/Cu by altering the process flow and using a suitable via clean. When used at the contact level, undesirable interaction with the CoSi2 film was observed at temperatures as low as 350oC. Another problem was the high contact resistance at the Cu-Mg/CoSi2 interface. Hence the use of this alloy as a contact fill material is not feasible at this time. An additional barrier layer may be required between the Cu-Mg and CoSi2 films to protect the integrity of the silicide and provide low contact resistance.

  17. Fuel cell system with interconnect

    Science.gov (United States)

    Goettler, Richard; Liu, Zhien

    2017-12-12

    The present invention includes a fuel cell system having a plurality of adjacent electrochemical cells formed of an anode layer, a cathode layer spaced apart from the anode layer, and an electrolyte layer disposed between the anode layer and the cathode layer. The fuel cell system also includes at least one interconnect, the interconnect being structured to conduct free electrons between adjacent electrochemical cells. Each interconnect includes a primary conductor embedded within the electrolyte layer and structured to conduct the free electrons.

  18. Design and Training of Limited-Interconnect Architectures

    Science.gov (United States)

    1991-07-16

    and signal processing. Neuromorphic (brain like) models, allow an alternative for achieving real-time operation tor such tasks, while having a...compact and robust architecture. Neuromorphic models consist of interconnections of simple computational nodes. In this approach, each node computes a...operational performance. I1. Research Objectives The research objectives were: 1. Development of on- chip local training rules specifically designed for

  19. Investigation of performance degradation of SOFC using chromium-containing alloy interconnects

    DEFF Research Database (Denmark)

    Beeaff, D.R.; Dinesen, A.; Hendriksen, Peter Vang

    2007-01-01

    The long-term aging of a stack element (fuel cell, current collectors, and interconnect materials) was studied. A pair of tests were made in which one sample contained an interconnect, a high-temperature stainless steel (Crofer 22 APU), treated with an LSMC coating applied to the cathode-side int...

  20. Welfare and competition effects of electricity interconnection between Ireland and Great Britain

    International Nuclear Information System (INIS)

    Malaguzzi Valeri, Laura

    2009-01-01

    This study analyzes the effects of additional interconnection on welfare and competition in the Irish electricity market. I simulate the wholesale electricity markets of the island of Ireland and Great Britain for 2005. I find that in order for the two markets to be integrated in 2005, additional interconnection would have to be large. The amount of interconnection decreases for high costs of carbon, since this causes the markets to become more similar. This suggests that in the absence of strategic behavior of firms, most of the gains from trade derive not from differences in size between countries, but from technology differences and are strongly influenced by fuel and carbon costs. Social welfare increases with interconnection, although at a decreasing rate. As the amount of interconnection increases, there are also positive effects on competition in Ireland, the less competitive of the two markets. Finally, it is unlikely that private investors will pay for the optimal amount of interconnection since their returns are significantly smaller than the total social benefit of interconnection. (author)

  1. RapidIO as a multi-purpose interconnect

    Science.gov (United States)

    Baymani, Simaolhoda; Alexopoulos, Konstantinos; Valat, Sébastien

    2017-10-01

    RapidIO (http://rapidio.org/) technology is a packet-switched high-performance fabric, which has been under active development since 1997. Originally meant to be a front side bus, it developed into a system level interconnect which is today used in all 4G/LTE base stations world wide. RapidIO is often used in embedded systems that require high reliability, low latency and scalability in a heterogeneous environment - features that are highly interesting for several use cases, such as data analytics and data acquisition (DAQ) networks. We will present the results of evaluating RapidIO in a data analytics environment, from setup to benchmark. Specifically, we will share the experience of running ROOT and Hadoop on top of RapidIO. To demonstrate the multi-purpose characteristics of RapidIO, we will also present the results of investigating RapidIO as a technology for high-speed DAQ networks using a generic multi-protocol event-building emulation tool. In addition we will present lessons learned from implementing native ports of CERN applications to RapidIO.

  2. Vertically aligned multiwalled carbon nanotubes as electronic interconnects

    Science.gov (United States)

    Gopee, Vimal Chandra

    The drive for miniaturisation of electronic circuits provides new materials challenges for the electronics industry. Indeed, the continued downscaling of transistor dimensions, described by Moore’s Law, has led to a race to find suitable replacements for current interconnect materials to replace copper. Carbon nanotubes have been studied as a suitable replacement for copper due to its superior electrical, thermal and mechanical properties. One of the advantages of using carbon nanotubes is their high current carrying capacity which has been demonstrated to be three orders of magnitude greater than that of copper. Most approaches in the implementation of carbon nanotubes have so far focused on the growth in vias which limits their application. In this work, a process is described for the transfer of carbon nanotubes to substrates allowing their use for more varied applications. Arrays of vertically aligned multiwalled carbon nanotubes were synthesised by photo-thermal chemical vapour deposition with high growth rates. Raman spectroscopy was used to show that the synthesised carbon nanotubes were of high quality. The carbon nanotubes were exposed to an oxygen plasma and the nature of the functional groups present was determined using X-ray photoelectron spectroscopy. Functional groups, such as carboxyl, carbonyl and hydroxyl groups, were found to be present on the surface of the multiwalled carbon nanotubes after the functionalisation process. The multiwalled carbon nanotubes were metallised after the functionalisation process using magnetron sputtering. Two materials, solder and sintered silver, were chosen to bind carbon nanotubes to substrates so as to enable their transfer and also to make electrical contact. The wettability of solder to carbon nanotubes was investigated and it was demonstrated that both functionalisation and metallisation were required in order for solder to bond with the carbon nanotubes. Similarly, functionalisation followed by metallisation

  3. Impact of Bundle Structure on Performance of on-Chip CNT Interconnects

    International Nuclear Information System (INIS)

    Kuruvilla, N.; Raina, J.P

    2014-01-01

    CNTs are proposed as a promising candidate against copper in deep submicron IC interconnects. Still this technology is in its infancy. Most available literatures on performance predictions of CNT interconnects, have focused only on interconnect geometries using segregated CNTs. Yet during the manufacturing phase, CNTs are obtained usually as a mixture of single-walled and multi-walled CNTs (SWCNTs and MWCNTs). Especially in case of SWCNTs; it is usually available as a mixture of both Semi conducting CNTs and metallic CNTs. This paper attempts to answer whether segregation is inevitable before using them to construct interconnects. This paper attempt to compare the performance variations of bundled CNT interconnects, where bundles are made of segregated CNTs versus mixed CNTs, for future technology nodes using electrical model based analysis. Also a proportionate mixing of different CNTs has been introduced so as to yield a set of criteria to aid the industry in selection of an appropriate bundle structure for use in a specific application with optimum performance. It was found that even the worst case performance of geometries using a mixture of SWCNTs and MWCNTs was better than copper. These results also reveal that, for extracting optimum performance vide cost matrix, the focus should be more on diameter controlled synthesis than on segregation.

  4. Universal Interconnection Technology Workshop Proceedings

    Energy Technology Data Exchange (ETDEWEB)

    Sheaffer, P.; Lemar, P.; Honton, E. J.; Kime, E.; Friedman, N. R.; Kroposki, B.; Galdo, J.

    2002-10-01

    The Universal Interconnection Technology (UIT) Workshop - sponsored by the U.S. Department of Energy, Distributed Energy and Electric Reliability (DEER) Program, and Distribution and Interconnection R&D - was held July 25-26, 2002, in Chicago, Ill., to: (1) Examine the need for a modular universal interconnection technology; (2) Identify UIT functional and technical requirements; (3) Assess the feasibility of and potential roadblocks to UIT; (4) Create an action plan for UIT development. These proceedings begin with an overview of the workshop. The body of the proceedings provides a series of industry representative-prepared papers on UIT functions and features, present interconnection technology, approaches to modularization and expandability, and technical issues in UIT development as well as detailed summaries of group discussions. Presentations, a list of participants, a copy of the agenda, and contact information are provided in the appendices of this document.

  5. Installation and Quality Assurance of the Interconnections between Cryo-assemblies of the LHC Long Straight Sections

    CERN Document Server

    Garion, C; Tock, J P

    2006-01-01

    The interconnections between the cryomagnets and cryogenic utilities in the LHC long Straight Sections constitute the last machine installation activity. They are ensuring continuity of the beam and insulation vacuum systems, cryogenic fluid and electrical circuits and thermal insulation. The assembly is carried out in a constraining tunnel environment with restricted space. Therefore, the assembly sequence has to be well defined and specific tests have to be performed during the interconnection work to secure the reliability of the system and thus to ensure the global accelerator availability. The LHC has 8 long straight insertion zones composed of special cryomagnets involving specific interconnection procedures and QA plans. The aim of this paper is to present the installation and quality assurance procedures implemented for the LHC LSS interconnections. Technologies such as manual and automatic welding and resistive soldering will be described as well as the different quality controls, such as visual and ...

  6. Synthesis of highly interconnected 3D scaffold from Arothron stellatus skin collagen for tissue engineering application.

    Science.gov (United States)

    Ramanathan, Giriprasath; Singaravelu, Sivakumar; Raja, M D; Sivagnanam, Uma Tiruchirapalli

    2015-11-01

    The substrate which is avidly used for tissue engineering applications should have good mechanical and biocompatible properties, and all these parameters are often considered as essential for dermal reformation. Highly interconnected three dimensional (3D) wound dressing material with enhanced structural integrity was synthesized from Arothron stellatus fish skin (AsFS) collagen for tissue engineering applications. The synthesized 3D collagen sponge (COL-SPG) was further characterized by different physicochemical methods. The scanning electron microscopy analysis of the material demonstrated that well interconnected pores with homogeneous microstructure on the surface aids higher swelling index and that the material also possessed good mechanical properties with a Young's modulus of 0.89±0.2 MPa. Biocompatibility of the 3D COL-SPG showed 92% growth for both NIH 3T3 fibroblasts and keratinocytes. Overall, the study revealed that synthesized 3D COL-SPG from fish skin will act as a promising wound dressing in skin tissue engineering. Copyright © 2015 Elsevier Ltd. All rights reserved.

  7. LaCrO{sub 3}-dispersed Cr for metallic interconnect of planar SOFC

    Energy Technology Data Exchange (ETDEWEB)

    Song, Rak-Hyun; Shin, Dong Ryul [Korea Institute of Energy Research, Taejon (Korea, Republic of); Dokiya, Masayuki [National Institute of Materials and Chemical Research, Ibaraki (Japan)

    1996-12-31

    In the planar SOFC, the interconnect materials plays two roles as an electrical connection and as a gas separation plate in a cell stack. The interconnect materials must be chemically stable in reducing and oxidizing environments, and have high electronic conductivity, high thermal conductivity, matching thermal expansion with an electrolyte, high mechanical strength, good fabricability, and gas tightness. Lanthanum chromite so far has been mainly used as interconnect materials in planar SOFC. However, the ceramic materials are very weak in mechanical strength and have poor machining property as compared with metal. Also the metallic materials have high electronic conductivity and high thermal conductivity. Recently some researchers have studied metallic interconnects such as Al{sub 2}O{sub 3}/Inconel 600 cermet, Ni-20Cr coated with (LaSr)CoO{sub 3}, and Y{sub 2}O{sub 3-} or La{sub 2}O{sub 3}-dispersed Cr alloy. These alloys have still some problems because Ni-based alloys have high thermal expansion, the added Al{sub 2}O{sub 3}, Y{sub 2}O{sub 3} and La{sub 2}O{sub 3} to metals have no electronic conductivity, and the oxide formed on the surface of Cr alloy has high volatility. To solve these problems, in this study, LaCrO{sub 3}-dispersed Cr for metallic interconnect of planar SOFC was investigated. The LaCrO{sub 3}-dispersed Cr can be one candidate of metallic interconnect because LaCrO{sub 3} possesses electronic conductivity and Cr metal has relatively low thermal expansion. The content of 25 vol.% LaCrO{sub 3} Was selected on the basis of a theoretically calculated thermal expansion. The thermal expansion, electrical and oxidation properties were examined and the results were discussed as related to SOFC requirements.

  8. Performance of WCN diffusion barrier for Cu multilevel interconnects

    Science.gov (United States)

    Lee, Seung Yeon; Ju, Byeong-Kwon; Kim, Yong Tae

    2018-04-01

    The electrical and thermal properties of a WCN diffusion barrier have been studied for Cu multilevel interconnects. The WCN has been prepared using an atomic layer deposition system with WF6-CH4-NH3-H2 gases and has a very low resistivity of 100 µΩ cm and 96.9% step coverage on the high-aspect-ratio vias. The thermally stable WCN maintains an amorphous state at 800 °C and Cu/WCN contact resistance remains within a 10% deviation from the initial value after 700 °C. The mean time to failure suggests that the Cu/WCN interconnects have a longer lifetime than Cu/TaN and Cu/WN interconnects because WCN prevents Cu migration owing to the stress evolution from tensile to compressive.

  9. Low power interconnect design

    CERN Document Server

    Saini, Sandeep

    2015-01-01

    This book provides practical solutions for delay and power reduction for on-chip interconnects and buses.  It provides an in depth description of the problem of signal delay and extra power consumption, possible solutions for delay and glitch removal, while considering the power reduction of the total system.  Coverage focuses on use of the Schmitt Trigger as an alternative approach to buffer insertion for delay and power reduction in VLSI interconnects. In the last section of the book, various bus coding techniques are discussed to minimize delay and power in address and data buses.   ·         Provides practical solutions for delay and power reduction for on-chip interconnects and buses; ·         Focuses on Deep Sub micron technology devices and interconnects; ·         Offers in depth analysis of delay, including details regarding crosstalk and parasitics;  ·         Describes use of the Schmitt Trigger as a versatile alternative approach to buffer insertion for del...

  10. Epidemics spreading in interconnected complex networks

    International Nuclear Information System (INIS)

    Wang, Y.; Xiao, G.

    2012-01-01

    We study epidemic spreading in two interconnected complex networks. It is found that in our model the epidemic threshold of the interconnected network is always lower than that in any of the two component networks. Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. Theoretical analysis and simulation results show that, generally speaking, the epidemic size is not significantly affected by the inter-network correlation. In interdependent networks which can be viewed as a special case of interconnected networks, however, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant. -- Highlights: ► We study epidemic spreading in two interconnected complex networks. ► The epidemic threshold is lower than that in any of the two networks. And Interconnection correlation has impacts on threshold and average outbreak size. ► Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. ► We demonstrated and proved that Interconnection correlation does not affect epidemic size significantly. ► In interdependent networks, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant.

  11. Epidemics spreading in interconnected complex networks

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Y. [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore); Institute of High Performance Computing, Agency for Science, Technology and Research (A-STAR), Singapore 138632 (Singapore); Xiao, G., E-mail: egxxiao@ntu.edu.sg [School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (Singapore)

    2012-09-03

    We study epidemic spreading in two interconnected complex networks. It is found that in our model the epidemic threshold of the interconnected network is always lower than that in any of the two component networks. Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. Theoretical analysis and simulation results show that, generally speaking, the epidemic size is not significantly affected by the inter-network correlation. In interdependent networks which can be viewed as a special case of interconnected networks, however, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant. -- Highlights: ► We study epidemic spreading in two interconnected complex networks. ► The epidemic threshold is lower than that in any of the two networks. And Interconnection correlation has impacts on threshold and average outbreak size. ► Detailed theoretical analysis is proposed which allows quick and accurate calculations of epidemic threshold and average outbreak/epidemic size. ► We demonstrated and proved that Interconnection correlation does not affect epidemic size significantly. ► In interdependent networks, impacts of inter-network correlation on the epidemic threshold and outbreak size are more significant.

  12. Comprehensive evaluation of global energy interconnection development index

    Science.gov (United States)

    Liu, Lin; Zhang, Yi

    2018-04-01

    Under the background of building global energy interconnection and realizing green and low-carbon development, this article constructed the global energy interconnection development index system which based on the current situation of global energy interconnection development. Through using the entropy method for the weight analysis of global energy interconnection development index, and then using gray correlation method to analyze the selected countries, this article got the global energy interconnection development index ranking and level classification.

  13. SDN Data Center Performance Evaluation of Torus and Hypercube Interconnecting Schemes

    DEFF Research Database (Denmark)

    Andrus, Bogdan-Mihai; Vegas Olmos, Juan José; Mehmeri, Victor

    2015-01-01

    — By measuring throughput, delay, loss-rate and jitter, we present how SDN framework yields a 45% performance increase in highly interconnected topologies like torus and hypercube compared to current Layer2 switching technologies, applied to data center architectures......— By measuring throughput, delay, loss-rate and jitter, we present how SDN framework yields a 45% performance increase in highly interconnected topologies like torus and hypercube compared to current Layer2 switching technologies, applied to data center architectures...

  14. Interconnect fatigue design for terrestrial photovoltaic modules

    Science.gov (United States)

    Mon, G. R.; Moore, D. M.; Ross, R. G., Jr.

    1982-03-01

    The results of comprehensive investigation of interconnect fatigue that has led to the definition of useful reliability-design and life-prediction algorithms are presented. Experimental data indicate that the classical strain-cycle (fatigue) curve for the interconnect material is a good model of mean interconnect fatigue performance, but it fails to account for the broad statistical scatter, which is critical to reliability prediction. To fill this shortcoming the classical fatigue curve is combined with experimental cumulative interconnect failure rate data to yield statistical fatigue curves (having failure probability as a parameter) which enable (1) the prediction of cumulative interconnect failures during the design life of an array field, and (2) the unambiguous--ie., quantitative--interpretation of data from field-service qualification (accelerated thermal cycling) tests. Optimal interconnect cost-reliability design algorithms are derived based on minimizing the cost of energy over the design life of the array field.

  15. Three-dimensional hierarchical and interconnected honeycomb-like porous carbon derived from pomelo peel for high performance supercapacitors

    Science.gov (United States)

    Liu, Jingyuan; Li, Hongpeng; Zhang, Hongsen; Liu, Qi; Li, Rumin; Li, Bin; Wang, Jun

    2018-01-01

    The urgent need for sustainable development of human society has forced material scientists to explore novel materials starting from cheap natural precursors for next-generation energy storage devices by using environmentally friendly strategies. In this work, heteroatom-functionalized porous carbonaceous materials with 3D hierarchical and interconnected honeycomb-like structure have been successfully synthesized by using waste biomass pomelo peel as raw material through the combination of hydrothermal carbonization and followed KOH activation procedure. Benefiting from the unique honeycomb-like structure and high specific surface area, the as-obtained carbon material exhibits satisfactory capacitive behavior: 374 F/g at 0.1 A/g; excellent cycling stability of 92.5% capacitance retention over continuous 5000 cycles. More importantly, the as-assembled symmetric supercapacitors based on as-prepared electrode material can deliver high gravimetric and volumetric energy density of 20 W h/kg and 18.7 W h/L in 6 M KOH, respectively, as well as outstanding cycling stability. The obtained results demonstrate the possibility for taking full advantage of sustainable and large scale advanced carbon materials by choosing waste biomass, particularly the pomelo peel as a raw material.

  16. Development and operation of interconnections in a restructuring context

    International Nuclear Information System (INIS)

    2003-01-01

    In many countries the electrical network is not fully interconnected and the best technical solution to achieve interconnection has to be found. At the same time the electricity industry is being restructured and interconnecting independent energy markets presents technical challenges. It is therefore timely to consider interconnection development and operation options: examine the benefits of interconnecting electrical networks and the development strategies, review the interconnection design options and the technologies available, identify the operational issues, the security problems of large interconnected systems, the protection issues, consider the impact of the restructuring of the electrical supply industry, assess the political, environmental and social implications of interconnections. reorganized in slovenia from 5-7 april 2004. (author)

  17. Synthesis and Characterization of Three Dimensional Nanostructures Based on Interconnected Carbon Nanomaterials

    Science.gov (United States)

    Koizumi, Ryota

    This thesis addresses various types of synthetic methods for novel three dimensional nanomaterials and nanostructures based on interconnected carbon nanomaterials using solution chemistry and chemical vapor deposition (CVD) methods. Carbon nanotube (CNT) spheres with porous and scaffold structures consisting of interconnected CNTs were synthesized by solution chemistry followed by freeze-drying, which have high elasticity under nano-indentation tests. This allows the CNT spheres to be potentially applied to mechanical dampers. CNTs were also grown on two dimensional materials--such as reduced graphene oxide (rGO) and hexagonal boron nitride (h-BN)--by CVD methods, which are chemically interconnected. CNTs on rGO and h-BN interconnected structures performed well as electrodes for supercapacitors. Furthermore, unique interconnected flake structures of alpha-phase molybdenum carbide were developed by a CVD method. The molybdenum carbide can be used for a catalyst of hydrogen evolution reaction activity as well as an electrode for supercapacitors.

  18. Reconfigurable Optical Interconnections Via Dynamic Computer-Generated Holograms

    Science.gov (United States)

    Liu, Hua-Kuang (Inventor); Zhou, Shao-Min (Inventor)

    1996-01-01

    A system is presented for optically providing one-to-many irregular interconnections, and strength-adjustable many-to-many irregular interconnections which may be provided with strengths (weights) w(sub ij) using multiple laser beams which address multiple holograms and means for combining the beams modified by the holograms to form multiple interconnections, such as a cross-bar switching network. The optical means for interconnection is based on entering a series of complex computer-generated holograms on an electrically addressed spatial light modulator for real-time reconfigurations, thus providing flexibility for interconnection networks for large-scale practical use. By employing multiple sources and holograms, the number of interconnection patterns achieved is increased greatly.

  19. On the Distribution of Lightning Current among Interconnected Grounding Systems in Medium Voltage Grids

    Directory of Open Access Journals (Sweden)

    Guido Ala

    2018-03-01

    Full Text Available This paper presents the results of a first investigation on the effects of lightning stroke on medium voltage installations’ grounding systems, interconnected with the metal shields of the Medium Voltage (MV distribution grid cables or with bare buried copper ropes. The study enables us to evaluate the distribution of the lightning current among interconnected ground electrodes in order to estimate if the interconnection, usually created to reduce ground potential rise during a single-line-to-ground fault, can give place to dangerous situations far from the installation hit by the lightning stroke. Four different case studies of direct lightning stroke are presented and discussed: (1 two secondary substations interconnected by the cables’ shields; (2 two secondary substations interconnected by a bare buried conductor; (3 a high voltage/medium voltage station connected with a secondary substation by the medium voltage cables’ shields; (4 a high voltage/medium voltage station connected with a secondary substation by a bare buried conductor. The results of the simulations show that a higher peak-lowering action on the lighting-stroke current occurs due to the use of bare conductors as interconnection elements in comparison to the cables’ shields.

  20. Evaluation of hybrid polymers for high-precision manufacturing of 3D optical interconnects by two-photon absorption lithography

    Science.gov (United States)

    Schleunitz, A.; Klein, J. J.; Krupp, A.; Stender, B.; Houbertz, R.; Gruetzner, G.

    2017-02-01

    The fabrication of optical interconnects has been widely investigated for the generation of optical circuit boards. Twophoton absorption (TPA) lithography (or high-precision 3D printing) as an innovative production method for direct manufacture of individual 3D photonic structures gains more and more attention when optical polymers are employed. In this regard, we have evaluated novel ORMOCER-based hybrid polymers tailored for the manufacture of optical waveguides by means of high-precision 3D printing. In order to facilitate future industrial implementation, the processability was evaluated and the optical performance of embedded waveguides was assessed. The results illustrate that hybrid polymers are not only viable consumables for industrial manufacture of polymeric micro-optics using generic processes such as UV molding. They also are potential candidates to fabricate optical waveguide systems down to the chip level where TPA-based emerging manufacturing techniques are engaged. Hence, it is shown that hybrid polymers continue to meet the increasing expectations of dynamically growing markets of micro-optics and optical interconnects due to the flexibility of the employed polymer material concept.

  1. 47 CFR 90.477 - Interconnected systems.

    Science.gov (United States)

    2010-10-01

    ... part and medical emergency systems in the 450-470 MHz band, interconnection will be permitted only... operating on frequencies in the bands below 800 MHz are not subject to the interconnection provisions of...

  2. Carbon nanotubes for interconnects process, design and applications

    CERN Document Server

    Dijon, Jean; Maffucci, Antonio

    2017-01-01

    This book provides a single-source reference on the use of carbon nanotubes (CNTs) as interconnect material for horizontal, on-chip and 3D interconnects. The authors demonstrate the uses of bundles of CNTs, as innovative conducting material to fabricate interconnect through-silicon vias (TSVs), in order to improve the performance, reliability and integration of 3D integrated circuits (ICs). This book will be first to provide a coherent overview of exploiting carbon nanotubes for 3D interconnects covering aspects from processing, modeling, simulation, characterization and applications. Coverage also includes a thorough presentation of the application of CNTs as horizontal on-chip interconnects which can potentially revolutionize the nanoelectronics industry. This book is a must-read for anyone interested in the state-of-the-art on exploiting carbon nanotubes for interconnects for both 2D and 3D integrated circuits. Provides a single-source reference on carbon nanotubes for interconnect applications; Includes c...

  3. The Special LHC Interconnections Technologies, Organization and Quality Control

    CERN Document Server

    Tock, J P; Bozzini, D; Cruikshank, P; Desebe, O; Felip, M; Garion, C; Hajduk, L; Jacquemod, A; Kos, N; Laurent, F; Poncet, A; Russenschuck, Stephan; Slits, I; Vaudaux, L; Williams, L

    2008-01-01

    In addition to the standard interconnections (IC) of the continuous cryostat of the Large Hadron Collider (LHC), there exists a variety of special ones related to specific components and assemblies, such as cryomagnets of the insertion regions, electrical feedboxes and superconducting links. Though they are less numerous, their specificities created many additional IC types, requiring a larger variety of assembly operations and quality control techniques, keeping very high standards of quality. Considerable flexibility and adaptability from all the teams involved (CERN staff, collaborating institutes, contractors) were the key points to ensure the success of this task. This paper first describes the special IC and presents the employed technologies which are generally adapted from the standard work. Then, the organization adopted for this non-repetitive work is described. Examples of non-conformities that were resolved are also discussed. Figures of merit in terms of quality and productivity are given and com...

  4. High Cycling Performance Cathode Material: Interconnected LiFePO4/Carbon Nanoparticles Fabricated by Sol-Gel Method

    Directory of Open Access Journals (Sweden)

    Zhigao Yang

    2014-01-01

    Full Text Available Interconnected LiFePO4/carbon nanoparticles for Li-ion battery cathode have been fabricated by sol-gel method followed by a carbon coating process involving redox reactions. The carbon layers coated on the LiFePO4 nanoparticles not only served as a protection layer but also supplied fast electrons by building a 3D conductive network. As a cooperation, LiFePO4 nanoparticles encapsulated in interconnected conductive carbon layers provided the electrode reactions with fast lithium ions by offering the lithium ions shortening and unobstructed pathways. Field emission scanning electron microscopy (FESEM and X-ray diffraction (XRD tests showed optimized morphology. Electrochemical characterizations including galvanostatic charge/discharge, cyclic voltammetry (CV, and electrochemical impedance spectroscopy (EIS tests, together with impedance parameters calculated, all indicated better electrochemical performance and excellent cycling performance at high rate (with less than 9.5% discharge capacity loss over 2000 cycles, the coulombic efficiency maintained about 100%.

  5. In-situ growth of LiFePO4 nanocrystals on interconnected carbon nanotubes/mesoporous carbon nanosheets for high-performance lithium ion batteries

    International Nuclear Information System (INIS)

    Wu, Ruofei; Xia, Guofeng; Shen, Shuiyun; Zhu, Fengjuan; Jiang, Fengjing; Zhang, Junliang

    2015-01-01

    Graphical abstract: In-situ soft-templated LFP nanocrystals on interconnected carbon nanotubes/mesoporous carbon nanosheets (designated as LFP@CNTs/CNSs), exhibited superior electrochemical performance due to the synergetic effect between CNTs and CNSs, which form interconnected conductive network for fast transport of both electrons and lithium ions. - Highlights: • LFP nanocrystals were in-situ synthesized on interconnected CNTs/CNSs framework with an in-situ soft-templated method. • LFP@CNTs/CNSs exhibited superior rate capability and cycling stability, due to interconnected conductive network for fast transport of both electrons and lithium ions. • The synergetic effect between CNTs and CNSs on the electrochemical performance of LFP electrode was demonstrated by a systematically electrochemical study compared with LFP/CNSs and LFP/CNTs. - Abstract: Lithium ion phosphate (LiFePO 4 ) nanocrystals are successfully in-situ grown on interconnected carbon nanotubes/mesoporous carbon nanosheets (designated as LFP@CNTs/CNSs) with a soft-templated method, which involves the multi-constituent co-assembly of a triblock copolymer, CNTs, resol and precursors of LFP followed by thermal treatment. X-ray diffraction, scanning electron microscopy, high resolution transmission electron microscopy and N 2 adsorption-desorption techniques are used to characterize the structure and morphology of the as-synthesized materials. When used as the cathode of lithium ion batteries, the LFP@CNTs/CNSs composite exhibits superior rate capability and cycling stability, compared with the samples modified only with CNSs (designated as LFP/CNSs) or with CNTs (designated as LFP/CNTs). This is mainly attributed to the synergetic effect between CNTs and CNSs caused by their unique structure, which forms interconnected conductive network for fast transport of both electrons and lithium ions, and thus remarkably improves the electrode kinetics. Firstly, nano-sized LFP are in-situ grown on the

  6. Interconnecting heterogeneous database management systems

    Science.gov (United States)

    Gligor, V. D.; Luckenbaugh, G. L.

    1984-01-01

    It is pointed out that there is still a great need for the development of improved communication between remote, heterogeneous database management systems (DBMS). Problems regarding the effective communication between distributed DBMSs are primarily related to significant differences between local data managers, local data models and representations, and local transaction managers. A system of interconnected DBMSs which exhibit such differences is called a network of distributed, heterogeneous DBMSs. In order to achieve effective interconnection of remote, heterogeneous DBMSs, the users must have uniform, integrated access to the different DBMs. The present investigation is mainly concerned with an analysis of the existing approaches to interconnecting heterogeneous DBMSs, taking into account four experimental DBMS projects.

  7. Optoelectronic interconnects for 3D wafer stacks

    Science.gov (United States)

    Ludwig, David; Carson, John C.; Lome, Louis S.

    1996-01-01

    Wafer and chip stacking are envisioned as means of providing increased processing power within the small confines of a three-dimensional structure. Optoelectronic devices can play an important role in these dense 3-D processing electronic packages in two ways. In pure electronic processing, optoelectronics can provide a method for increasing the number of input/output communication channels within the layers of the 3-D chip stack. Non-free space communication links allow the density of highly parallel input/output ports to increase dramatically over typical edge bus connections. In hybrid processors, where electronics and optics play a role in defining the computational algorithm, free space communication links are typically utilized for, among other reasons, the increased network link complexity which can be achieved. Free space optical interconnections provide bandwidths and interconnection complexity unobtainable in pure electrical interconnections. Stacked 3-D architectures can provide the electronics real estate and structure to deal with the increased bandwidth and global information provided by free space optical communications. This paper will provide definitions and examples of 3-D stacked architectures in optoelectronics processors. The benefits and issues of these technologies will be discussed.

  8. National Offshore Wind Energy Grid Interconnection Study

    Energy Technology Data Exchange (ETDEWEB)

    Daniel, John P. [ABB Inc; Liu, Shu [ABB Inc; Ibanez, Eduardo [National Renewable Energy Laboratory; Pennock, Ken [AWS Truepower; Reed, Greg [University of Pittsburgh; Hanes, Spencer [Duke Energy

    2014-07-30

    The National Offshore Wind Energy Grid Interconnection Study (NOWEGIS) considers the availability and potential impacts of interconnecting large amounts of offshore wind energy into the transmission system of the lower 48 contiguous United States. A total of 54GW of offshore wind was assumed to be the target for the analyses conducted. A variety of issues are considered including: the anticipated staging of offshore wind; the offshore wind resource availability; offshore wind energy power production profiles; offshore wind variability; present and potential technologies for collection and delivery of offshore wind energy to the onshore grid; potential impacts to existing utility systems most likely to receive large amounts of offshore wind; and regulatory influences on offshore wind development. The technologies considered the reliability of various high-voltage ac (HVAC) and high-voltage dc (HVDC) technology options and configurations. The utility system impacts of GW-scale integration of offshore wind are considered from an operational steady-state perspective and from a regional and national production cost perspective.

  9. An interconnecting bus power optimization method combining interconnect wire spacing with wire ordering

    International Nuclear Information System (INIS)

    Zhu Zhang-Ming; Hao Bao-Tian; En Yun-Fei; Yang Yin-Tang; Li Yue-Jin

    2011-01-01

    On-chip interconnect buses consume tens of percents of dynamic power in a nanometer scale integrated circuit and they will consume more power with the rapid scaling down of technology size and continuously rising clock frequency, therefore it is meaningful to lower the interconnecting bus power in design. In this paper, a simple yet accurate interconnect parasitic capacitance model is presented first and then, based on this model, a novel interconnecting bus optimization method is proposed. Wire spacing is a process for spacing wires for minimum dynamic power, while wire ordering is a process that searches for wire orders that maximally enhance it. The method, i.e., combining wire spacing with wire ordering, focuses on bus dynamic power optimization with a consideration of bus performance requirements. The optimization method is verified based on various nanometer technology parameters, showing that with 50% slack of routing space, 25.71% and 32.65% of power can be saved on average by the proposed optimization method for a global bus and an intermediate bus, respectively, under a 65-nm technology node, compared with 21.78% and 27.68% of power saved on average by uniform spacing technology. The proposed method is especially suitable for computer-aided design of nanometer scale on-chip buses. (interdisciplinary physics and related areas of science and technology)

  10. Testing of Ni-plated ferritic steel interconnect in SOFC stacks

    DEFF Research Database (Denmark)

    Nielsen, K.A.; Dinesen, A.R.; Korcakova, L.

    2006-01-01

    heating to 1,030 °C. During this time, 20–70 μm thick surface layers of austenitic steel were formed, which were covered by a 1–4 μm chromia layer on the anode side and by a layer of mixed Cr-Fe-Ni-spinels over a 1–4 μm chromia layer on the cathode side. The microstructure and composition...... of the protective scale on the cathode side was susceptible to pitting-type corrosion patterns, which may limit the life expectancy to less than 2,000 hours for the 200 μm thick interconnect tested. The initial area-specific resistances (ASR) at the interconnect/cathode current collector interface...

  11. Manufacturing of planar ceramic interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Armstrong, B.L.; Coffey, G.W.; Meinhardt, K.D.; Armstrong, T.R. [Pacific Northwest National Lab., Richland, WA (United States)

    1996-12-31

    The fabrication of ceramic interconnects for solid oxide fuel cells (SOFC) and separator plates for electrochemical separation devices has been a perennial challenge facing developers. Electrochemical vapor deposition (EVD), plasma spraying, pressing, tape casting and tape calendering are processes that are typically utilized to fabricate separator plates or interconnects for the various SOFC designs and electrochemical separation devices. For sake of brevity and the selection of a planar fuel cell or gas separation device design, pressing will be the only fabrication technique discussed here. This paper reports on the effect of the characteristics of two doped lanthanum manganite powders used in the initial studies as a planar porous separator for a fuel cell cathode and as a dense interconnect for an oxygen generator.

  12. Characterization of a Cobalt-Tungsten Interconnect

    DEFF Research Database (Denmark)

    Harthøj, Anders; Holt, Tobias; Caspersen, Michael

    2012-01-01

    is to act both as a diffusion barrier for chromium and provide better protection against high temperature oxidation than a pure cobalt coating. This work presents a characterization of a cobalt-tungsten alloy coating electrodeposited on the ferritic steel Crofer 22 H which subsequently was oxidized in air......A ferritic steel interconnect for a solid oxide fuel cell must be coated in order to prevent chromium evaporation from the steel substrate. The Technical University of Denmark and Topsoe Fuel Cell have developed an interconnect coating based on a cobalt-tungsten alloy. The purpose of the coating...... for 300 h at 800 °C. The coating was characterized with Glow Discharge Optical Spectroscopy (GDOES), Scanning Electron Microscopy (SEM) and X-Ray Diffraction (XRD). The oxidation properties were evaluated by measuring weight change of coated samples of Crofer 22 H and Crofer 22 APU as a function...

  13. Hot Chips and Hot Interconnects for High End Computing Systems

    Science.gov (United States)

    Saini, Subhash

    2005-01-01

    I will discuss several processors: 1. The Cray proprietary processor used in the Cray X1; 2. The IBM Power 3 and Power 4 used in an IBM SP 3 and IBM SP 4 systems; 3. The Intel Itanium and Xeon, used in the SGI Altix systems and clusters respectively; 4. IBM System-on-a-Chip used in IBM BlueGene/L; 5. HP Alpha EV68 processor used in DOE ASCI Q cluster; 6. SPARC64 V processor, which is used in the Fujitsu PRIMEPOWER HPC2500; 7. An NEC proprietary processor, which is used in NEC SX-6/7; 8. Power 4+ processor, which is used in Hitachi SR11000; 9. NEC proprietary processor, which is used in Earth Simulator. The IBM POWER5 and Red Storm Computing Systems will also be discussed. The architectures of these processors will first be presented, followed by interconnection networks and a description of high-end computer systems based on these processors and networks. The performance of various hardware/programming model combinations will then be compared, based on latest NAS Parallel Benchmark results (MPI, OpenMP/HPF and hybrid (MPI + OpenMP). The tutorial will conclude with a discussion of general trends in the field of high performance computing, (quantum computing, DNA computing, cellular engineering, and neural networks).

  14. Solar-cell interconnect design for terrestrial photovoltaic modules

    Science.gov (United States)

    Mon, G. R.; Moore, D. M.; Ross, R. G., Jr.

    1984-01-01

    Useful solar cell interconnect reliability design and life prediction algorithms are presented, together with experimental data indicating that the classical strain cycle (fatigue) curve for the interconnect material does not account for the statistical scatter that is required in reliability predictions. This shortcoming is presently addressed by fitting a functional form to experimental cumulative interconnect failure rate data, which thereby yields statistical fatigue curves enabling not only the prediction of cumulative interconnect failures during the design life of an array field, but also the quantitative interpretation of data from accelerated thermal cycling tests. Optimal interconnect cost reliability design algorithms are also derived which may allow the minimization of energy cost over the design life of the array field.

  15. Comparative Analysis and Considerations for PV Interconnection Standards in the United States and China

    Energy Technology Data Exchange (ETDEWEB)

    None

    2017-01-01

    The main objectives of this report are to evaluate China's photovoltaic (PV) interconnection standards and the U.S. counterparts and to propose recommendations for future revisions to these standards. This report references the 2013 report Comparative Study of Standards for Grid-Connected PV System in China, the U.S. and European Countries, which compares U.S., European, and China's PV grid interconnection standards; reviews various metrics for the characterization of distribution network with PV; and suggests modifications to China's PV interconnection standards and requirements. The recommendations are accompanied by assessments of four high-penetration PV grid interconnection cases in the United States to illustrate solutions implemented to resolve issues encountered at different sites. PV penetration in China and in the United States has significantly increased during the past several years, presenting comparable challenges depending on the conditions of the grid at the point of interconnection; solutions are generally unique to each interconnected PV installation or PV plant.

  16. Self-Rerouting and Curative Interconnect Technology (SERCUIT)

    Science.gov (United States)

    2017-12-01

    SPECIAL REPORT RDMR-CS-17-01 SELF-REROUTING AND CURATIVE INTERCONNECT TECHNOLOGY (SERCUIT) Shiv Joshi Concepts to Systems, Inc...Final 4. TITLE AND SUBTITLE Self-Rerouting and Curative Interconnect Technology (SERCUIT) 5. FUNDING NUMBERS 6. AUTHOR(S) Shiv Joshi...concepts2systems.com (p) 434-207-5189 x (f) Click to view full size Title Contract Number SELF-REROUTING AND CURATIVE INTERCONNECT TECHNOLOGY (SERCUIT) W911W6-17-C-0029

  17. Broad Frequency LTCC Vertical Interconnect Transition for Multichip Modules and System on Package Applications

    Science.gov (United States)

    Decrossas, Emmanuel; Glover, Michael D.; Porter, Kaoru; Cannon, Tom; Mantooth, H. Alan; Hamilton, M. C.

    2013-01-01

    Various stripline structures and flip chip interconnect designs for high-speed digital communication systems implemented in low temperature co-fired ceramic (LTCC) substrates are studied in this paper. Specifically, two different transition designs from edge launch 2.4 millimeter connectors to stripline transmission lines embedded in LTCC are discussed. After characterizing the DuPont (sup trademark) 9K7 green tape, different designs are proposed to improve signal integrity for high-speed digital data. The full-wave simulations and experimental data validate the presented designs over a broad frequency band from Direct Current to 50 gigahertz and beyond.

  18. New High Voltage Interconnections with Islands in the Mediterranean Sea: Malta and Sicily. Analysis of the Effects on Renewable Energy Sources Integration and Benefits for the Electricity Market

    Directory of Open Access Journals (Sweden)

    Mariano Giuseppe Ippolito

    2018-04-01

    Full Text Available The present paper shows the benefits coming from the operation of the recent electrical high voltage (HV interconnections between Sicily, Malta and mainland Italy. These new interconnections allow zonal prices of electricity considerably lower than in the past, ensuring greater flexibility to the system and a better integration of Renewable Energy Sources (RES. After briefly illustrating the two high-voltage electrical systems (Sicily and Malta, and having provided a description of the interconnection cable with Malta and its protection devices, the authors hypothesized two modes of operation (Sicily-Malta system islanded or interconnected to the rest of Europe. For the first case (islanded some simulations are performed through the use of an electric network model realized in the Neplan® environment, and for the second case an analysis of one year real data was made. The results of the simulation, thanks to the use of a power flow tracing method, show that this new interconnection between the two islands (Sicily and Malta allows an important improvement in the integration and dispatching of the power generated by RES of the Sicilian territory, better operation of traditional Sicilian generation units, lower electricity zonal prices and a significant reduction in emissions from obsolete fuel oil thermal units located in Malta.

  19. Network interconnections: an architectural reference model

    NARCIS (Netherlands)

    Butscher, B.; Lenzini, L.; Morling, R.; Vissers, C.A.; Popescu-Zeletin, R.; van Sinderen, Marten J.; Heger, D.; Krueger, G.; Spaniol, O.; Zorn, W.

    1985-01-01

    One of the major problems in understanding the different approaches in interconnecting networks of different technologies is the lack of reference to a general model. The paper develops the rationales for a reference model of network interconnection and focuses on the architectural implications for

  20. High-speed highly temperature stable 980 nm VCSELs operating at 25 Gb/s at up to 85 °C for short reach optical interconnects

    Science.gov (United States)

    Mutig, Alex; Lott, James A.; Blokhin, Sergey A.; Moser, Philip; Wolf, Philip; Hofmann, Werner; Nadtochiy, Alexey M.; Bimberg, Dieter

    2011-03-01

    The progressive penetration of optical communication links into traditional copper interconnect markets greatly expands the applications of vertical cavity surface emitting lasers (VCSELs) for the next-generation of board-to-board, moduleto- module, chip-to-chip, and on-chip optical interconnects. Stability of the VCSEL parameters at high temperatures is indispensable for such applications, since these lasers typically reside directly on or near integrated circuit chips. Here we present 980 nm oxide-confined VCSELs operating error-free at bit rates up to 25 Gbit/s at temperatures as high as 85 °C without adjustment of the drive current and peak-to-peak modulation voltage. The driver design is therefore simplified and the power consumption of the driver electronics is lowered, reducing the production and operational costs. Small and large signal modulation experiments at various temperatures from 20 up to 85 °C for lasers with different oxide aperture diameters are presented in order to analyze the physical processes controlling the performance of the VCSELs. Temperature insensitive maximum -3 dB bandwidths of around 13-15 GHz for VCSELs with aperture diameters of 10 μm and corresponding parasitic cut-off frequencies exceeding 22 GHz are observed. Presented results demonstrate the suitability of our VCSELs for practical high speed and high temperature stable short-reach optical links.

  1. Opto-VLSI-based reconfigurable free-space optical interconnects architecture

    DEFF Research Database (Denmark)

    Aljada, Muhsen; Alameh, Kamal; Chung, Il-Sug

    2007-01-01

    is the Opto-VLSI processor which can be driven by digital phase steering and multicasting holograms that reconfigure the optical interconnects between the input and output ports. The optical interconnects architecture is experimentally demonstrated at 2.5 Gbps using high-speed 1×3 VCSEL array and 1......×3 photoreceiver array in conjunction with two 1×4096 pixel Opto-VLSI processors. The minimisation of the crosstalk between the output ports is achieved by appropriately aligning the VCSEL and PD elements with respect to the Opto-VLSI processors and driving the latter with optimal steering phase holograms....

  2. Epidemics in interconnected small-world networks

    NARCIS (Netherlands)

    Liu, M.; Li, D.; Qin, P.; Liu, C.; Wang, H.; Wang, F.

    2015-01-01

    Networks can be used to describe the interconnections among individuals, which play an important role in the spread of disease. Although the small-world effect has been found to have a significant impact on epidemics in single networks, the small-world effect on epidemics in interconnected networks

  3. Chip-package nano-structured copper and nickel interconnections with metallic and polymeric bonding interfaces

    Science.gov (United States)

    Aggarwal, Ankur

    With the semiconductor industry racing toward a historic transition, nano chips with less than 45 nm features demand I/Os in excess of 20,000 that support computing speed in terabits per second, with multi-core processors aggregately providing highest bandwidth at lowest power. On the other hand, emerging mixed signal systems are driving the need for 3D packaging with embedded active components and ultra-short interconnections. Decreasing I/O pitch together with low cost, high electrical performance and high reliability are the key technological challenges identified by the 2005 International Technology Roadmap for Semiconductors (ITRS). Being able to provide several fold increase in the chip-to-package vertical interconnect density is essential for garnering the true benefits of nanotechnology that will utilize nano-scale devices. Electrical interconnections are multi-functional materials that must also be able to withstand complex, sustained and cyclic thermo-mechanical loads. In addition, the materials must be environmentally-friendly, corrosion resistant, thermally stable over a long time, and resistant to electro-migration. A major challenge is also to develop economic processes that can be integrated into back end of the wafer foundry, i.e. with wafer level packaging. Device-to-system board interconnections are typically accomplished today with either wire bonding or solders. Both of these are incremental and run into either electrical or mechanical barriers as they are extended to higher density of interconnections. Downscaling traditional solder bump interconnect will not satisfy the thermo-mechanical reliability requirements at very fine pitches of the order of 30 microns and less. Alternate interconnection approaches such as compliant interconnects typically require lengthy connections and are therefore limited in terms of electrical properties, although expected to meet the mechanical requirements. A novel chip-package interconnection technology is

  4. Metal-interconnection-free integration of InGaN/GaN light emitting diodes with AlGaN/GaN high electron mobility transistors

    Energy Technology Data Exchange (ETDEWEB)

    Liu, Chao; Cai, Yuefei; Liu, Zhaojun; Ma, Jun; Lau, Kei May, E-mail: eekmlau@ust.hk [Photonics Technology Center, Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, Clear Water Bay, Kowloon (Hong Kong)

    2015-05-04

    We report a metal-interconnection-free integration scheme for InGaN/GaN light emitting diodes (LEDs) and AlGaN/GaN high electron mobility transistors (HEMTs) by combining selective epi removal (SER) and selective epitaxial growth (SEG) techniques. SER of HEMT epi was carried out first to expose the bottom unintentionally doped GaN buffer and the sidewall GaN channel. A LED structure was regrown in the SER region with the bottom n-type GaN layer (n-electrode of the LED) connected to the HEMTs laterally, enabling monolithic integration of the HEMTs and LEDs (HEMT-LED) without metal-interconnection. In addition to saving substrate real estate, minimal interface resistance between the regrown n-type GaN and the HEMT channel is a significant improvement over metal-interconnection. Furthermore, excellent off-state leakage characteristics of the driving transistor can also be guaranteed in such an integration scheme.

  5. Metal-interconnection-free integration of InGaN/GaN light emitting diodes with AlGaN/GaN high electron mobility transistors

    International Nuclear Information System (INIS)

    Liu, Chao; Cai, Yuefei; Liu, Zhaojun; Ma, Jun; Lau, Kei May

    2015-01-01

    We report a metal-interconnection-free integration scheme for InGaN/GaN light emitting diodes (LEDs) and AlGaN/GaN high electron mobility transistors (HEMTs) by combining selective epi removal (SER) and selective epitaxial growth (SEG) techniques. SER of HEMT epi was carried out first to expose the bottom unintentionally doped GaN buffer and the sidewall GaN channel. A LED structure was regrown in the SER region with the bottom n-type GaN layer (n-electrode of the LED) connected to the HEMTs laterally, enabling monolithic integration of the HEMTs and LEDs (HEMT-LED) without metal-interconnection. In addition to saving substrate real estate, minimal interface resistance between the regrown n-type GaN and the HEMT channel is a significant improvement over metal-interconnection. Furthermore, excellent off-state leakage characteristics of the driving transistor can also be guaranteed in such an integration scheme

  6. Interconnect rise time in superconducting integrating circuits

    International Nuclear Information System (INIS)

    Preis, D.; Shlager, K.

    1988-01-01

    The influence of resistive losses on the voltage rise time of an integrated-circuit interconnection is reported. A distribution-circuit model is used to present the interconnect. Numerous parametric curves are presented based on numerical evaluation of the exact analytical expression for the model's transient response. For the superconducting case in which the series resistance of the interconnect approaches zero, the step-response rise time is longer but signal strength increases significantly

  7. 76 FR 39870 - PJM Interconnection, LLC; PJM Power Providers Group v. PJM Interconnection, LLC; Notice of Date...

    Science.gov (United States)

    2011-07-07

    .... EL11-20-001] PJM Interconnection, LLC; PJM Power Providers Group v. PJM Interconnection, LLC; Notice of... Sell Offers for Planned Generation Capacity Resources submitted into PJM's Reliability Pricing Model... presents an opportunity to exercise buyer market power; (2) whether the Fixed Resource Requirement (FRR...

  8. Chip-Level Electromigration Reliability for Cu Interconnects

    International Nuclear Information System (INIS)

    Gall, M.; Oh, C.; Grinshpon, A.; Zolotov, V.; Panda, R.; Demircan, E.; Mueller, J.; Justison, P.; Ramakrishna, K.; Thrasher, S.; Hernandez, R.; Herrick, M.; Fox, R.; Boeck, B.; Kawasaki, H.; Haznedar, H.; Ku, P.

    2004-01-01

    Even after the successful introduction of Cu-based metallization, the electromigration (EM) failure risk has remained one of the most important reliability concerns for most advanced process technologies. Ever increasing operating current densities and the introduction of low-k materials in the backend process scheme are some of the issues that threaten reliable, long-term operation at elevated temperatures. The traditional method of verifying EM reliability only through current density limit checks is proving to be inadequate in general, or quite expensive at the best. A Statistical EM Budgeting (SEB) methodology has been proposed to assess more realistic chip-level EM reliability from the complex statistical distribution of currents in a chip. To be valuable, this approach requires accurate estimation of currents for all interconnect segments in a chip. However, no efficient technique to manage the complexity of such a task for very large chip designs is known. We present an efficient method to estimate currents exhaustively for all interconnects in a chip. The proposed method uses pre-characterization of cells and macros, and steps to identify and filter out symmetrically bi-directional interconnects. We illustrate the strength of the proposed approach using a high-performance microprocessor design for embedded applications as a case study

  9. Crosstalk in modern on-chip interconnects a FDTD approach

    CERN Document Server

    Kaushik, B K; Patnaik, Amalendu

    2016-01-01

    The book provides accurate FDTD models for on-chip interconnects, covering most recent advancements in materials and design. Furthermore, depending on the geometry and physical configurations, different electrical equivalent models for CNT and GNR based interconnects are presented. Based on the electrical equivalent models the performance comparison among the Cu, CNT and GNR-based interconnects are also discussed in the book. The proposed models are validated with the HSPICE simulations. The book introduces the current research scenario in the modeling of on-chip interconnects. It presents the structure, properties, and characteristics of graphene based on-chip interconnects and the FDTD modeling of Cu based on-chip interconnects. The model considers the non-linear effects of CMOS driver as well as the transmission line effects of interconnect line that includes coupling capacitance and mutual inductance effects. In a more realistic manner, the proposed model includes the effect of width-dependent MFP of the ...

  10. Two-dimensional optoelectronic interconnect-processor and its operational bit error rate

    Science.gov (United States)

    Liu, J. Jiang; Gollsneider, Brian; Chang, Wayne H.; Carhart, Gary W.; Vorontsov, Mikhail A.; Simonis, George J.; Shoop, Barry L.

    2004-10-01

    Two-dimensional (2-D) multi-channel 8x8 optical interconnect and processor system were designed and developed using complementary metal-oxide-semiconductor (CMOS) driven 850-nm vertical-cavity surface-emitting laser (VCSEL) arrays and the photodetector (PD) arrays with corresponding wavelengths. We performed operation and bit-error-rate (BER) analysis on this free-space integrated 8x8 VCSEL optical interconnects driven by silicon-on-sapphire (SOS) circuits. Pseudo-random bit stream (PRBS) data sequence was used in operation of the interconnects. Eye diagrams were measured from individual channels and analyzed using a digital oscilloscope at data rates from 155 Mb/s to 1.5 Gb/s. Using a statistical model of Gaussian distribution for the random noise in the transmission, we developed a method to compute the BER instantaneously with the digital eye-diagrams. Direct measurements on this interconnects were also taken on a standard BER tester for verification. We found that the results of two methods were in the same order and within 50% accuracy. The integrated interconnects were investigated in an optoelectronic processing architecture of digital halftoning image processor. Error diffusion networks implemented by the inherently parallel nature of photonics promise to provide high quality digital halftoned images.

  11. Industrial tooling and methods for the junctions of the superconducting busbars in the interconnections between the LHC cryomagnets

    International Nuclear Information System (INIS)

    Balaguer, J M; Colombet, T; Jacquemod, A; Laurent, F; Nambride, C; Taffard, Y; Tock, J Ph

    2006-01-01

    The Large Hadron Collider (LHC) is the next world-facility for the high energy physics community, presently under installation at CERN, Geneva. The main components of the LHC are the twin-aperture high-field superconducting cryomagnets that are powered in series by superconducting Nb-Ti busbars. Along the machine, about 60 000 splices between the superconducting busbars have to be performed in-situ during the interconnection activities. They are carrying a nominal current varying from 600 A to 13 kA depending upon the magnets, at an operating temperature of 1.9 K. Three specific techniques have been developed and optimised for the splicing of the three main types of cables: inductive and resistive soldering, ultrasonic welding. After a brief presentation of the constraints and requirements applying to these junctions, the tooling is described, highlighting the industrialisation aspects. Before their use to interconnect actual cryomagnets in the LHC tunnel, the equipments and procedures follow rigorous qualification to ensure that all the characteristics of the junctions (electrical, mechanical, reliability, ...) are within the specifications. The assessment of the tooling performance is obtained via sample testing of superconducting busbars. Initial results are presented

  12. Comparative study on stained InGaAs quantum wells for high-speed optical-interconnect VCSELs

    Science.gov (United States)

    Li, Hui; Jia, Xiaowei

    2018-05-01

    The gain-carrier characteristics of InGaAs quantum well for 980 nm high-speed, energy-efficient vertical-cavity surface-emitting lasers are investigated. We specially studied the potentially InGaAs quantum well designs can be used for the active region of energy-efficient, temperature-stable 980-nm VCSEL, which introduced a quantum well gain peak wavelength-to-cavity resonance wavelength offset to improve the dynamic performance at high operation temperature. Several candidate quantum wells are being compared in theory and measurement. We found that ∼5 nm InGaAs QW with ∼6 nm barrier thickness is suitable for the active region of high-speed optical interconnect 980 nm VCSELs, and no significant improvement in the 20% range of In content of InGaAs QWs. The results are useful for next generation green photonic device design.

  13. Review of Interconnection Practices and Costs in the Western States

    Energy Technology Data Exchange (ETDEWEB)

    Bird, Lori A [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Flores-Espino, Francisco [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Volpi, Christina M [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Ardani, Kristen B [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Manning, David [Western Interstate Energy Board (WIEB); McAllister, Richard [Western Interstate Energy Board (WIEB)

    2018-04-27

    The objective of this report is to evaluate the nature of barriers to interconnecting distributed PV, assess costs of interconnection, and compare interconnection practices across various states in the Western Interconnection. The report addresses practices for interconnecting both residential and commercial-scale PV systems to the distribution system. This study is part of a larger, joint project between the Western Interstate Energy Board (WIEB) and the National Renewable Energy Laboratory (NREL), funded by the U.S. Department of Energy, to examine barriers to distributed PV in the 11 states wholly within the Western Interconnection.

  14. Investigation on the possible interconnection of the Kanata sinkhole, on the high plateau of Tripolis, and the springs of Argos areas (Peloponnese, Greece)

    International Nuclear Information System (INIS)

    Leontiadis, Ioannis L.; Dimitroulas, Christos; Zouridakis, N.; Dounas, Athanasios; Morfis, A.; Paraskevopoulou, P.

    1984-07-01

    51 Cr-EDTA has been used as tracer for the investigation of the possible interconnection of the Kanata sinkhole, on the high plateau of Tripolis, and the springs of the Argos areas Achladokampos and Kinouria. By this experiment the interconnection of the sinkhole and the submarine spring of Kiveri, as well as the springs of Xovrios river (Achladokampos) is proved. Furthermore, the percentage of connection between the sinkhole and the springs, the mean transit time of the water from the sinkhole to the springs, the volume of the underground reservoir feeding the springs, etc. have been calculated. (author)

  15. Parallel interconnect for a novel system approach to short distance high information transfer data links

    Science.gov (United States)

    Raskin, Glenn; Lebby, Michael S.; Carney, F.; Kazakia, M.; Schwartz, Daniel B.; Gaw, Craig A.

    1997-04-01

    The OPTOBUSTM family of products provides for high performance parallel interconnection utilizing optical links in a 10-bit wide bi-directional configuration. The link is architected to be 'transparent' in that it is totally asynchronous and dc coupled so that it can be treated as a perfect cable with extremely low skew and no losses. An optical link consists of two identical transceiver modules and a pair of connectorized 62.5 micrometer multi mode fiber ribbon cables. The OPTOBUSTM I link provides bi- directional functionality at 4 Gbps (400 Mbps per channel), while the OPTOBUSTM II link will offer the same capability at 8 Gbps (800 Mbps per channel). The transparent structure of the OPTOBUSTM links allow for an arbitrary data stream regardless of its structure. Both the OPTOBUSTM I and OPTOBUSTM II transceiver modules are packaged as partially populated 14 by 14 pin grid arrays (PGA) with optical receptacles on one side of the module. The modules themselves are composed of several elements; including passives, integrated circuits optoelectronic devices and optical interface units (OIUs) (which consist of polymer waveguides and a specially designed lead frame). The initial offering of the modules electrical interface utilizes differential CML. The CML line driver sinks 5 mA of current into one of two pins. When terminated with 50 ohm pull-up resistors tied to a voltage between VCC and VCC-2, the result is a differential swing of plus or minus 250 mV, capable of driving standard PECL I/Os. Future offerings of the OPTOBUSTM links will incorporate LVDS and PECL interfaces as well as CML. The integrated circuits are silicon based. For OPTOBUSTM I links, a 1.5 micrometer drawn emitter NPN bipolar process is used for the receiver and an enhanced 0.8 micrometer CMOS process for the laser driver. For OPTOBUSTM II links, a 0.8 micrometer drawn emitter NPN bipolar process is used for the receiver and the driver IC utilizes 0.8 micrometer BiCMOS technology. The OPTOBUSTM

  16. Overvoltages related to distributed generation-power system interconnection transformer

    Energy Technology Data Exchange (ETDEWEB)

    Zamanillo, G.R.; Gomez, J.C.; Florena, E.F. [Rio Cuarto National University (IPSEP/UNRC), Cordoba (Argentina). Electric Power Systems Protection Institute], Email: jcgomez@ing.unrc.edu.ar

    2009-07-01

    The energy crisis that experiences the world drives to carry to an extreme, the use of all energy sources which are available. The sources need to be connected to the electric network in their next point, requiring of electric-electronic interfaces. The traditional electric power systems are changing their characteristics, in what concerns to structure, operation and on overvoltage generation. This change is not taking place in coordinated form among the involved sectors. The interconnection of a Distributed Generator (DG) directly with the power system is objectionable and risky. It is required of an interconnection transformer which performs several functions. Rigid specifications do not exist in this respect, for the variety of systems in use in the world, nevertheless there are utilities recommendations. Overvoltages caused by the DG, which arise due to the change of structure of the electric system, are explained. The transformer connection selection, presents positive and negative aspects that impact the utility and the user in a different or many times in an antagonistic way. The phenomenon of balanced and unbalanced ferroresonance overvoltage is studied. This phenomenon can takes place when using DG, either with synchronous or asynchronous generator, and for any type of connection of the transformer. The necessary conditions so that the phenomenon appears are presented. Eight interconnection transformer connection ways were studied. It is concluded that the solutions to reach by means of the employment of the DG, offer technical-economic advantages so much to the utility as to the user. It is also concluded in this work that the more advisable interconnection type is function of the system connection type. (author)

  17. Identifying influential spreaders in interconnected networks

    International Nuclear Information System (INIS)

    Zhao, Dawei; Li, Lixiang; Huo, Yujia; Yang, Yixian; Li, Shudong

    2014-01-01

    Identifying the most influential spreaders in spreading dynamics is of the utmost importance for various purposes for understanding or controlling these processes. The existing relevant works are limited to a single network. Most real networks are actually not isolated, but typically coupled and affected by others. The properties of epidemic spreading have recently been found to have some significant differences in interconnected networks from those in a single network. In this paper, we focus on identifying the influential spreaders in interconnected networks. We find that the well-known k-shell index loses effectiveness; some insignificant spreaders in a single network become the influential spreaders in the whole interconnected networks while some influential spreaders become no longer important. The simulation results show that the spreading capabilities of the nodes not only depend on their influence for the network topology, but also are dramatically influenced by the spreading rate. Based on this perception, a novel index is proposed for measuring the influential spreaders in interconnected networks. We then support the efficiency of this index with numerical simulations. (paper)

  18. A peripheral component interconnect express-based scalable and highly integrated pulsed spectrometer for solution state dynamic nuclear polarization

    Energy Technology Data Exchange (ETDEWEB)

    He, Yugui; Liu, Chaoyang, E-mail: chyliu@wipm.ac.cn [Wuhan National Laboratory for Optoelectronics, School of Optical and Electronic Information, Huazhong University of Science and Technology, Wuhan 430074 (China); State Key Laboratory of Magnet Resonance and Atomic and Molecular Physics, Wuhan Institute of Physics and Mathematics, Chinese Academy of Sciences, Wuhan 430071 (China); Feng, Jiwen; Wang, Dong; Chen, Fang; Liu, Maili [State Key Laboratory of Magnet Resonance and Atomic and Molecular Physics, Wuhan Institute of Physics and Mathematics, Chinese Academy of Sciences, Wuhan 430071 (China); Zhang, Zhi; Wang, Chao [State Key Laboratory of Magnet Resonance and Atomic and Molecular Physics, Wuhan Institute of Physics and Mathematics, Chinese Academy of Sciences, Wuhan 430071 (China); University of Chinese Academy of Sciences, Beijing 100048 (China)

    2015-08-15

    High sensitivity, high data rates, fast pulses, and accurate synchronization all represent challenges for modern nuclear magnetic resonance spectrometers, which make any expansion or adaptation of these devices to new techniques and experiments difficult. Here, we present a Peripheral Component Interconnect Express (PCIe)-based highly integrated distributed digital architecture pulsed spectrometer that is implemented with electron and nucleus double resonances and is scalable specifically for broad dynamic nuclear polarization (DNP) enhancement applications, including DNP-magnetic resonance spectroscopy/imaging (DNP-MRS/MRI). The distributed modularized architecture can implement more transceiver channels flexibly to meet a variety of MRS/MRI instrumentation needs. The proposed PCIe bus with high data rates can significantly improve data transmission efficiency and communication reliability and allow precise control of pulse sequences. An external high speed double data rate memory chip is used to store acquired data and pulse sequence elements, which greatly accelerates the execution of the pulse sequence, reduces the TR (time of repetition) interval, and improves the accuracy of TR in imaging sequences. Using clock phase-shift technology, we can produce digital pulses accurately with high timing resolution of 1 ns and narrow widths of 4 ns to control the microwave pulses required by pulsed DNP and ensure overall system synchronization. The proposed spectrometer is proved to be both feasible and reliable by observation of a maximum signal enhancement factor of approximately −170 for {sup 1}H, and a high quality water image was successfully obtained by DNP-enhanced spin-echo {sup 1}H MRI at 0.35 T.

  19. Bacteria can form interconnected microcolonies when a self-excreted product reduces their surface motility: evidence from individual-based model simulations

    DEFF Research Database (Denmark)

    Mabrouk, Nabil; Deffuant, Guillaume; Tolker-Nielsen, Tim

    2010-01-01

    Recent experimental observations of Pseudomonas aeruginosa, a model bacterium in biofilm research, reveal that, under specific growth conditions, bacterial cells form patterns of interconnected microcolonies. In the present work, we use an individual-based model to assess the involvement of bacte......Recent experimental observations of Pseudomonas aeruginosa, a model bacterium in biofilm research, reveal that, under specific growth conditions, bacterial cells form patterns of interconnected microcolonies. In the present work, we use an individual-based model to assess the involvement...... of bacteria motility and self-produced extracellular substance in the formation of these patterns. In our simulations, the pattern of interconnected microcolonies appears only when bacteria motility is reduced by excreted extracellular macromolecules. Immotile bacteria form isolated microcolonies...... and constantly motile bacteria form flat biofilms. Based on experimental data and computer simulations, we suggest a mechanism that could be responsible for these interconnected microcolonies....

  20. Lyapunov-based Stability of Feedback Interconnections of Negative Imaginary Systems

    KAUST Repository

    Ghallab, Ahmed G.

    2017-10-19

    Feedback control systems using sensors and actuators such as piezoelectric sensors and actuators, micro-electro-mechanical systems (MEMS) sensors and opto-mechanical sensors, are allowing new advances in designing such high precision technologies. The negative imaginary control systems framework allows for robust control design for such high precision systems in the face of uncertainties due to unmodelled dynamics. The stability of the feedback interconnection of negative imaginary systems has been well established in the literature. However, the proofs of stability feedback interconnection which are used in some previous papers have a shortcoming due to a matrix inevitability issue. In this paper, we provide a new and correct Lyapunov-based proof of one such result and show that the result is still true.

  1. Lyapunov-based Stability of Feedback Interconnections of Negative Imaginary Systems

    KAUST Repository

    Ghallab, Ahmed G.; Mabrok, Mohamed; Petersen, Ian R.

    2017-01-01

    Feedback control systems using sensors and actuators such as piezoelectric sensors and actuators, micro-electro-mechanical systems (MEMS) sensors and opto-mechanical sensors, are allowing new advances in designing such high precision technologies. The negative imaginary control systems framework allows for robust control design for such high precision systems in the face of uncertainties due to unmodelled dynamics. The stability of the feedback interconnection of negative imaginary systems has been well established in the literature. However, the proofs of stability feedback interconnection which are used in some previous papers have a shortcoming due to a matrix inevitability issue. In this paper, we provide a new and correct Lyapunov-based proof of one such result and show that the result is still true.

  2. Super-stretchable metallic interconnects on polymer with a linear strain of up to 100%

    Energy Technology Data Exchange (ETDEWEB)

    Arafat, Yeasir; Dutta, Indranath; Panat, Rahul, E-mail: Rahul.panat@wsu.edu [School of Mechanical and Materials Engineering, Washington State University, Pullman, Washington 99163 (United States)

    2015-08-24

    Metal interconnects in flexible and wearable devices are heterogeneous metal-polymer systems that are expected to sustain large deformation without failure. The principal strategy to make strain tolerant interconnect lines on flexible substrates has comprised of creating serpentine structures of metal films with either in-plane or out-of-plane waves, using porous substrates, or using highly ductile materials such as gold. The wavy and helical serpentine patterns preclude high-density packing of interconnect lines on devices, while ductile materials such as Au are cost prohibitive for real world applications. Ductile copper films can be stretched if bonded to the substrate, but show high level of cracking beyond few tens of % strain. In this paper, we demonstrate a material system consisting of Indium metal film over an elastomer (PDMS) with a discontinuous Cr layer such that the metal interconnect can be stretched to extremely high linear strain (up to 100%) without any visible cracks. Such linear strain in metal interconnects exceeds that reported in literature and is obtained without the use of any geometrical manipulations or porous substrates. Systematic experimentation is carried out to explain the mechanisms that allow the Indium film to sustain the high strain level without failure. The islands forming the discontinuous Cr layer are shown to move apart from each other during stretching without delamination, providing strong adhesion to the Indium film while accommodating the large strain in the system. The Indium film is shown to form surface wrinkles upon release from the large strain, confirming its strong adhesion to PDMS. A model is proposed based upon the observations that can explain the high level of stretch-ability of the Indium metal film over the PDMS substrate.

  3. Free-Space Optical Interconnect Employing VCSEL Diodes

    Science.gov (United States)

    Simons, Rainee N.; Savich, Gregory R.; Torres, Heidi

    2009-01-01

    Sensor signal processing is widely used on aircraft and spacecraft. The scheme employs multiple input/output nodes for data acquisition and CPU (central processing unit) nodes for data processing. To connect 110 nodes and CPU nodes, scalable interconnections such as backplanes are desired because the number of nodes depends on requirements of each mission. An optical backplane consisting of vertical-cavity surface-emitting lasers (VCSELs), VCSEL drivers, photodetectors, and transimpedance amplifiers is the preferred approach since it can handle several hundred megabits per second data throughput.The next generation of satellite-borne systems will require transceivers and processors that can handle several Gb/s of data. Optical interconnects have been praised for both their speed and functionality with hopes that light can relieve the electrical bottleneck predicted for the near future. Optoelectronic interconnects provide a factor of ten improvement over electrical interconnects.

  4. Unavailability of critical SCADA communication links interconnecting a power grid and a Telco network

    International Nuclear Information System (INIS)

    Bobbio, A.; Bonanni, G.; Ciancamerla, E.; Clemente, R.; Iacomini, A.; Minichino, M.; Scarlatti, A.; Terruggia, R.; Zendri, E.

    2010-01-01

    The availability of power supply to power grid customers depends upon the availability of services of supervision, control and data acquisition (SCADA) system, which constitutes the nervous system of a power grid. In turn, SCADA services depend on the availability of the interconnected networks supporting such services. We propose a service oriented stochastic modelling methodology to investigate the availability of large interconnected networks, based on the hierarchical application of different modelling formalisms to different parts of the networks. Interconnected networks are decomposed according to the specific services delivered until the failure and repair mechanisms of the decomposed elementary blocks can be identified. We represent each network by a convenient stochastic modelling formalism, able to capture the main technological issues and to cope with realistic assumptions about failure and recovery mechanisms. This procedure confines the application of the more intensive computational techniques to those subsystems that actually require it. The paper concentrates on an actual failure scenario, occurred in Rome in January 2004 that involved the outage of critical SCADA communication links, interconnecting a power grid and a Telco network.

  5. Unavailability of critical SCADA communication links interconnecting a power grid and a Telco network

    Energy Technology Data Exchange (ETDEWEB)

    Bobbio, A. [Dipartimento di Informatica, Universita del Piemonte Orientale, Viale Michel 11, 15121 Alessandria (Italy); Bonanni, G.; Ciancamerla, E. [ENEA - CRE Casaccia, Via Anguillarese 301, 00060 Roma (Italy); Clemente, R. [Telecom Italia Mobile, Via Isonzo112, 10141 Torino (Italy); Iacomini, A. [ACEA, Pl. Ostiense 2, 00154 Roma (Italy); Minichino, M., E-mail: minichino@casaccia.enea.i [ENEA - CRE Casaccia, Via Anguillarese 301, 00060 Roma (Italy); Scarlatti, A. [ACEA, Pl. Ostiense 2, 00154 Roma (Italy); Terruggia, R. [Dipartimento di Informatica, Universita del Piemonte Orientale, Viale Michel 11, 15121 Alessandria (Italy); Zendri, E. [ACEA, Pl. Ostiense 2, 00154 Roma (Italy)

    2010-12-15

    The availability of power supply to power grid customers depends upon the availability of services of supervision, control and data acquisition (SCADA) system, which constitutes the nervous system of a power grid. In turn, SCADA services depend on the availability of the interconnected networks supporting such services. We propose a service oriented stochastic modelling methodology to investigate the availability of large interconnected networks, based on the hierarchical application of different modelling formalisms to different parts of the networks. Interconnected networks are decomposed according to the specific services delivered until the failure and repair mechanisms of the decomposed elementary blocks can be identified. We represent each network by a convenient stochastic modelling formalism, able to capture the main technological issues and to cope with realistic assumptions about failure and recovery mechanisms. This procedure confines the application of the more intensive computational techniques to those subsystems that actually require it. The paper concentrates on an actual failure scenario, occurred in Rome in January 2004 that involved the outage of critical SCADA communication links, interconnecting a power grid and a Telco network.

  6. Optical backplane interconnect switch for data processors and computers

    Science.gov (United States)

    Hendricks, Herbert D.; Benz, Harry F.; Hammer, Jacob M.

    1989-01-01

    An optoelectronic integrated device design is reported which can be used to implement an all-optical backplane interconnect switch. The switch is sized to accommodate an array of processors and memories suitable for direct replacement into the basic avionic multiprocessor backplane. The optical backplane interconnect switch is also suitable for direct replacement of the PI bus traffic switch and at the same time, suitable for supporting pipelining of the processor and memory. The 32 bidirectional switchable interconnects are configured with broadcast capability for controls, reconfiguration, and messages. The approach described here can handle a serial interconnection of data processors or a line-to-link interconnection of data processors. An optical fiber demonstration of this approach is presented.

  7. 76 FR 45248 - PJM Interconnection, L.L.C., PJM Power Providers Group v. PJM Interconnection, L.L.C...

    Science.gov (United States)

    2011-07-28

    ...-002; Docket No. EL11-20-001] PJM Interconnection, L.L.C., PJM Power Providers Group v. PJM Interconnection, L.L.C.; Supplemental Notice of Staff Technical Conference On June 13, 2011, the Commission issued... Resources Services, Inc., Maryland Public Service Commission, Monitoring Analytics, L.L.C., National Rural...

  8. EUROPEAN ENERGY INTERCONNECTION EFFECTS ON THE ROMANIAN ECONOMY

    Directory of Open Access Journals (Sweden)

    Ionescu Mihaela

    2014-07-01

    Full Text Available In this paper the author wants to exemplify the extent to which economic growth in Romania is influenced by the current power system infrastructure investments in Europe. Electricity transmission infrastructure in Romania is at a turning point. The high level of security of supply, delivery efficiency in a competitive internal market are dependent on significant investment, both within the country and across borders. Since the economic crisis makes investment financing is increasingly difficult, it is necessary that they be targeted as well. The European Union has initiated the “Connecting Europe” through which investments are allocated to European energy network interconnection of energy. The action plan for this strategy will put a greater emphasis on investments that require hundreds of billions of euro in new technologies, infrastructure, improve energy intensity, low carbon energy technologies. Romania's energy challenge will depend on the new interconnection modern and smart, both within the country and other European countries, energy saving practices and technologies. This challenge is particularly important as Romania has recovered severe gaps in the level of economic performance compared to developed countries. Such investment will have a significant impact on transmission costs, especially electricity, while network tariffs will rise slightly. Some costs will be higher due to support programs in renewable energy nationwide.Measures are more economically sustainable to maintain or even reinforce the electricity market, which system can be flexible in order to address any issues of adequacy. These measures include investments in border infrastructure (the higher the network, so it is easier to evenly distribute energy from renewable sources, to measure demand response and energy storage solutions.An integrated European infrastructure will ensure economic growth in countries interconnected and thus Romania. Huge energy potential of

  9. Facilitated charge transport in ternary interconnected electrodes for flexible supercapacitors with excellent power characteristics

    Science.gov (United States)

    Chen, Wanjun; He, Yongmin; Li, Xiaodong; Zhou, Jinyuan; Zhang, Zhenxing; Zhao, Changhui; Gong, Chengshi; Li, Shuankui; Pan, Xiaojun; Xie, Erqing

    2013-11-01

    Flexible and high performance supercapacitors are very critical in modern society. In order to develop the flexible supercapacitors with high power density, free-standing and flexible three-dimensional graphene/carbon nanotubes/MnO2 (3DG/CNTs/MnO2) composite electrodes with interconnected ternary 3D structures were fabricated, and the fast electron and ion transport channels were effectively constructed in the rationally designed electrodes. Consequently, the obtained 3DG/CNTs/MnO2 composite electrodes exhibit superior specific capacitance and rate capability compared to 3DG/MnO2 electrodes. Furthermore, the 3DG/CNTs/MnO2 based asymmetric supercapacitor demonstrates the maximum energy and power densities of 33.71 W h kg-1 and up to 22 727.3 W kg-1, respectively. Moreover, the asymmetric supercapacitor exhibits excellent cycling stability with 95.3% of the specific capacitance maintained after 1000 cycle tests. Our proposed synthesis strategy to construct the novel ternary 3D structured electrodes can be efficiently applied to other high performance energy storage/conversion systems.Flexible and high performance supercapacitors are very critical in modern society. In order to develop the flexible supercapacitors with high power density, free-standing and flexible three-dimensional graphene/carbon nanotubes/MnO2 (3DG/CNTs/MnO2) composite electrodes with interconnected ternary 3D structures were fabricated, and the fast electron and ion transport channels were effectively constructed in the rationally designed electrodes. Consequently, the obtained 3DG/CNTs/MnO2 composite electrodes exhibit superior specific capacitance and rate capability compared to 3DG/MnO2 electrodes. Furthermore, the 3DG/CNTs/MnO2 based asymmetric supercapacitor demonstrates the maximum energy and power densities of 33.71 W h kg-1 and up to 22 727.3 W kg-1, respectively. Moreover, the asymmetric supercapacitor exhibits excellent cycling stability with 95.3% of the specific capacitance maintained

  10. SSC [Superconducting Super Collider] magnet mechanical interconnections

    International Nuclear Information System (INIS)

    Bossert, R.C.; Niemann, R.C.; Carson, J.A.; Ramstein, W.L.; Reynolds, M.P.; Engler, N.H.

    1989-03-01

    Installation of superconducting accelerator dipole and quadrupole magnets and spool pieces in the SSC tunnel requires the interconnection of the cryostats. The connections are both of an electrical and mechanical nature. The details of the mechanical connections are presented. The connections include piping, thermal shields and insulation. There are seven piping systems to be connected. These systems must carry cryogenic fluids at various pressures or maintain vacuum and must be consistently leak tight. The interconnection region must be able to expand and contract as magnets change in length while cooling and warming. The heat leak characteristics of the interconnection region must be comparable to that of the body of the magnet. Rapid assembly and disassembly is required. The magnet cryostat development program is discussed. Results of quality control testing are reported. Results of making full scale interconnections under magnet test situations are reviewed. 11 figs., 4 tabs

  11. TEM sample preparation by FIB for carbon nanotube interconnects

    International Nuclear Information System (INIS)

    Ke, Xiaoxing; Bals, Sara; Romo Negreira, Ainhoa; Hantschel, Thomas; Bender, Hugo; Van Tendeloo, Gustaaf

    2009-01-01

    A powerful method to study carbon nanotubes (CNTs) grown in patterned substrates for potential interconnects applications is transmission electron microscopy (TEM). However, high-quality TEM samples are necessary for such a study. Here, TEM specimen preparation by focused ion beam (FIB) has been used to obtain lamellae of patterned samples containing CNTs grown inside contact holes. A dual-cap Pt protection layer and an extensive 5 kV cleaning procedure are applied in order to preserve the CNTs and avoid deterioration during milling. TEM results show that the inner shell structure of the carbon nanotubes has been preserved, which proves that focused ion beam is a useful technique to prepare TEM samples of CNT interconnects.

  12. TEM sample preparation by FIB for carbon nanotube interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Ke, Xiaoxing, E-mail: xiaoxing.ke@ua.ac.be [EMAT, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Bals, Sara [EMAT, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium); Romo Negreira, Ainhoa [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Metallurgy and Materials Engineering Department, KU Leuven, Kasteelpark Arenberg 44, Leuven B-3001 (Belgium); Hantschel, Thomas; Bender, Hugo [IMEC, Kapeldreef 75, B-3001 Leuven (Belgium); Van Tendeloo, Gustaaf [EMAT, University of Antwerp, Groenenborgerlaan 171, B-2020 Antwerp (Belgium)

    2009-10-15

    A powerful method to study carbon nanotubes (CNTs) grown in patterned substrates for potential interconnects applications is transmission electron microscopy (TEM). However, high-quality TEM samples are necessary for such a study. Here, TEM specimen preparation by focused ion beam (FIB) has been used to obtain lamellae of patterned samples containing CNTs grown inside contact holes. A dual-cap Pt protection layer and an extensive 5 kV cleaning procedure are applied in order to preserve the CNTs and avoid deterioration during milling. TEM results show that the inner shell structure of the carbon nanotubes has been preserved, which proves that focused ion beam is a useful technique to prepare TEM samples of CNT interconnects.

  13. Conductive polymer/metal composites for interconnect of flexible devices

    Science.gov (United States)

    Kawakita, Jin; Hashimoto Shinoda, Yasuo; Shuto, Takanori; Chikyow, Toyohiro

    2015-06-01

    An interconnect of flexible and foldable devices based on advanced electronics requires high electrical conductivity, flexibility, adhesiveness on a plastic substrate, and efficient productivity. In this study, we investigated the applicability of a conductive polymer/metal composite to the interconnect of flexible devices. By combining an inkjet process and a photochemical reaction, micropatterns of a polypyrrole/silver composite were formed on flexible plastic substrates with an average linewidth of approximately 70 µm within 10 min. The conductivity of the composite was improved to 6.0 × 102 Ω-1·cm-1. From these results, it is expected that the conducting polymer/metal composite can be applied to the microwiring of flexible electronic devices.

  14. Electro-optic techniques for VLSI interconnect

    Science.gov (United States)

    Neff, J. A.

    1985-03-01

    A major limitation to achieving significant speed increases in very large scale integration (VLSI) lies in the metallic interconnects. They are costly not only from the charge transport standpoint but also from capacitive loading effects. The Defense Advanced Research Projects Agency, in pursuit of the fifth generation supercomputer, is investigating alternatives to the VLSI metallic interconnects, especially the use of optical techniques to transport the information either inter or intrachip. As the on chip performance of VLSI continues to improve via the scale down of the logic elements, the problems associated with transferring data off and onto the chip become more severe. The use of optical carriers to transfer the information within the computer is very appealing from several viewpoints. Besides the potential for gigabit propagation rates, the conversion from electronics to optics conveniently provides a decoupling of the various circuits from one another. Significant gains will also be realized in reducing cross talk between the metallic routings, and the interconnects need no longer be constrained to the plane of a thin film on the VLSI chip. In addition, optics can offer an increased programming flexibility for restructuring the interconnect network.

  15. Status of the segment interconnect, cable segment ancillary logic, and the cable segment hybrid driver projects

    International Nuclear Information System (INIS)

    Swoboda, C.; Barsotti, E.; Chappa, S.; Downing, R.; Goeransson, G.; Lensy, D.; Moore, G.; Rotolo, C.; Urish, J.

    1985-01-01

    The FASTBUS Segment Interconnect (SI) provides a communication path between two otherwise independent, asynchronous bus segments. In particular, the Segment Interconnect links a backplane crate segment to a cable segment. All standard FASTBUS address and data transactions can be passed through the SI or any number of SIs and segments in a path. Thus systems of arbitrary connection complexity can be formed, allowing simultaneous independent processing, yet still permitting devices associated with one segment to be accessed from others. The model S1 Segment Interconnect and the Cable Segment Ancillary Logic covered in this report comply with all the mandatory features stated in the FASTBUS specification document DOE/ER-0189. A block diagram of the SI is shown

  16. Study of complete interconnect reliability for a GaAs MMIC power amplifier

    Science.gov (United States)

    Lin, Qian; Wu, Haifeng; Chen, Shan-ji; Jia, Guoqing; Jiang, Wei; Chen, Chao

    2018-05-01

    By combining the finite element analysis (FEA) and artificial neural network (ANN) technique, the complete prediction of interconnect reliability for a monolithic microwave integrated circuit (MMIC) power amplifier (PA) at the both of direct current (DC) and alternating current (AC) operation conditions is achieved effectively in this article. As a example, a MMIC PA is modelled to study the electromigration failure of interconnect. This is the first time to study the interconnect reliability for an MMIC PA at the conditions of DC and AC operation simultaneously. By training the data from FEA, a high accuracy ANN model for PA reliability is constructed. Then, basing on the reliability database which is obtained from the ANN model, it can give important guidance for improving the reliability design for IC.

  17. Study of a chromia-forming alloy behavior as interconnect material for High Temperature Vapor Electrolysis

    International Nuclear Information System (INIS)

    Guillou, S.

    2011-01-01

    In High Temperature Vapor Electrolysis (HTVE) system, the materials chosen for the inter-connectors should have a good corrosion behaviour in air and in H 2 -H 2 O mixtures at 800 C, and keep a high electronic conductivity over long durations as well. In this context, the first goal of this study was to evaluate a commercial ferritic alloy (the K41X alloy) as interconnect for HTVE application. Oxidation tests in furnace and in microbalance have therefore been carried out in order to determine oxidation kinetics. Meanwhile, the Area Specific Resistance (ASR) was evaluated by Contact Resistance measurements performed at 800 C. The second objective was to improve our comprehension of chromia-forming alloys oxidation mechanism, in particular in H 2 /H 2 O mixtures. For that purpose, some specific tests have been conducted: tracer experiments, coupled with the characterization of the oxide scale by PEC (Photo-Electro-Chemistry). This approach has also been applied to the study of a LaCrO 3 perovskite oxide coating on the K41X alloy. This phase is indeed of high interest for HTVE applications due to its high conductivity properties. This latter study leads to further understanding on the role of lanthanum as reactive element, which effect is still under discussion in literature.In both media at 800 C, the scale is composed of a Cr 2 O 3 /(Mn,Cr) 3 O 4 duplex scale, covered in the case of H 2 -H 2 O mixture by a thin scale made of Mn 2 TiO 4 spinel. In air, the growth mechanism is found to be cationic, in agreement with literature. The LaCrO 3 coating does not modify the direction of scale growth but lowers the growth kinetics during the first hundreds hours. Moreover, with the coating, the scale adherence is favored and the conductivity appears to be slightly higher. In the H 2 -H 2 O mixture, the growth mechanism is found to be anionic. The LaCrO 3 coating diminishes the oxidation kinetics. Although the scale thickness is about the same in both media, the ASR parameter

  18. Colligation, Or the Logical Inference of Interconnection

    DEFF Research Database (Denmark)

    Falster, Peter

    1998-01-01

    laws or assumptions. Yet interconnection as an abstract concept seems to be without scientific underpinning in pure logic. Adopting a historical viewpoint, our aim is to show that the reasoning of interconnection may be identified with a neglected kind of logical inference, called "colligation...

  19. Colligation or, The Logical Inference of Interconnection

    DEFF Research Database (Denmark)

    Franksen, Ole Immanuel; Falster, Peter

    2000-01-01

    laws or assumptions. Yet interconnection as an abstract concept seems to be without scientific underpinning in oure logic. Adopting a historical viewpoint, our aim is to show that the reasoning of interconnection may be identified with a neglected kind of logical inference, called "colligation...

  20. Opportunities and Benefits for Increasing Transmission Capacity between the US Eastern and Western Interconnections

    Science.gov (United States)

    Figueroa-Acevedo, Armando L.

    Historically, the primary justification for building wide-area transmission lines in the US and around the world has been based on reliability and economic criteria. Today, the influence of renewable portfolio standards (RPS), Environmental Protection Agency (EPA) regulations, transmission needs, load diversity, and grid flexibility requirements drives interest in high capacity wide-area transmission. By making use of an optimization model to perform long-term (15 years) co-optimized generation and transmission expansion planning, this work explored the benefits of increasing transmission capacity between the US Eastern and Western Interconnections under different policy and futures assumptions. The model assessed tradeoffs between investments in cross-interconnection HVDC transmission, AC transmission needs within each interconnection, generation investment costs, and operational costs, while satisfying different policy compliance constraints. Operational costs were broken down into the following market products: energy, up-/down regulation reserve, and contingency reserve. In addition, the system operating flexibility requirements were modeled as a function of net-load variability so that the flexibility of the non-wind/non-solar resources increases with increased wind and solar investment. In addition, planning reserve constraints are imposed under the condition that they be deliverable to the load. Thus, the model allows existing and candidate generation resources for both operating reserves and deliverable planning reserves to be shared throughout the interconnections, a feature which significantly drives identification of least-cost investments. This model is used with a 169-bus representation of the North American power grid to design four different high-capacity wide-area transmission infrastructures. Results from this analysis suggest that, under policy that imposes a high-renewable future, the benefits of high capacity transmission between the Eastern and

  1. Strategic siting and regional grid interconnections key to low-carbon futures in African countries

    Energy Technology Data Exchange (ETDEWEB)

    Wu, Grace C. [Univ. of California, Berkeley, CA (United States). Energy and Resources Group; Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). International Energy Studies Group; Deshmukh, Ranjit [Univ. of California, Berkeley, CA (United States). Energy and Resources Group; Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). International Energy Studies Group; Ndhlukula, Kudakwashe [Namibia Univ. of Science and Technology, Windhoek, (Namibia). Southern Africa Development Community (SADC) Centre for Renewable Energy and Energy Efficiency; Radojicic, Tijana [International Renewable Energy Agency, Masdar City, Abu Dhabi (United Arab Emirates); Reilly-Moman, Jessica [Univ. of California, Berkeley, CA (United States). Energy and Resources Group; Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). International Energy Studies Group; Phadke, Amol [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States). International Energy Studies Group; Kammen, Daniel M. [Univ. of California, Berkeley, CA (United States). Energy and Resources Group; Callaway, Duncan S. [Univ. of California, Berkeley, CA (United States). Energy and Resources Group

    2017-03-27

    Recent forecasts suggest that African countries must triple their current electricity generation by 2030. Our multicriteria assessment of wind and solar potential for large regions of Africa shows how economically competitive and low-environmental– impact renewable resources can significantly contribute to meeting this demand. We created the Multicriteria Analysis for Planning Renewable Energy (MapRE) framework to map and characterize solar and wind energy zones in 21 countries in the Southern African Power Pool (SAPP) and the Eastern Africa Power Pool (EAPP) and find that potential is several times greater than demand in many countries. Significant fractions of demand can be quickly served with “no-regrets” options—or zones that are low-cost, low-environmental impact, and highly accessible. Because no-regrets options are spatially heterogeneous, international interconnections are necessary to help achieve low-carbon development for the region as a whole, and interconnections that support the best renewable options may differ from those planned for hydropower expansion. Additionally, interconnections and selecting wind sites to match demand reduce the need for SAPP-wide conventional generation capacity by 9.5% in a high-wind scenario, resulting in a 6–20% cost savings, depending on the avoided conventional technology. Strategic selection of low-impact and accessible zones is more cost effective with interconnections compared with solutions without interconnections. In conclusion, the overall results are robust to multiple load growth scenarios. Together, results show that multicriteria site selection and deliberate planning of interconnections may significantly increase the economic and environmental competitiveness of renewable alternatives relative to conventional generation.

  2. Strategic siting and regional grid interconnections key to low-carbon futures in African countries.

    Science.gov (United States)

    Wu, Grace C; Deshmukh, Ranjit; Ndhlukula, Kudakwashe; Radojicic, Tijana; Reilly-Moman, Jessica; Phadke, Amol; Kammen, Daniel M; Callaway, Duncan S

    2017-04-11

    Recent forecasts suggest that African countries must triple their current electricity generation by 2030. Our multicriteria assessment of wind and solar potential for large regions of Africa shows how economically competitive and low-environmental-impact renewable resources can significantly contribute to meeting this demand. We created the Multicriteria Analysis for Planning Renewable Energy (MapRE) framework to map and characterize solar and wind energy zones in 21 countries in the Southern African Power Pool (SAPP) and the Eastern Africa Power Pool (EAPP) and find that potential is several times greater than demand in many countries. Significant fractions of demand can be quickly served with "no-regrets" options-or zones that are low-cost, low-environmental impact, and highly accessible. Because no-regrets options are spatially heterogeneous, international interconnections are necessary to help achieve low-carbon development for the region as a whole, and interconnections that support the best renewable options may differ from those planned for hydropower expansion. Additionally, interconnections and selecting wind sites to match demand reduce the need for SAPP-wide conventional generation capacity by 9.5% in a high-wind scenario, resulting in a 6-20% cost savings, depending on the avoided conventional technology. Strategic selection of low-impact and accessible zones is more cost effective with interconnections compared with solutions without interconnections. Overall results are robust to multiple load growth scenarios. Together, results show that multicriteria site selection and deliberate planning of interconnections may significantly increase the economic and environmental competitiveness of renewable alternatives relative to conventional generation.

  3. Electromigration in integrated circuit interconnects studied by X-ray microscopy

    Energy Technology Data Exchange (ETDEWEB)

    Schneider, G. E-mail: gschnei1@gwdg.de; Denbeaux, G.; Anderson, E.; Bates, W.; Salmassi, F.; Nachimuthu, P.; Pearson, A.; Richardson, D.; Hambach, D.; Hoffmann, N.; Hasse, W.; Hoffmann, K

    2003-01-01

    To study mass transport phenomena in advanced microelectronic devices with X-rays requires penetration of dielectric and Si layers up to 30 {mu}m thick. X-ray imaging at 1.8 keV photon energy provides a high amplitude contrast between Cu or Al interconnects and dielectric layers and can penetrate through the required thickness. To perform X-ray microscopy at 1.8 keV, a new Ru/Si multilayer was designed for the transmission X-ray microscope XM-1 installed at the Advanced Light Source in Berkeley. The mass flow in a passivated Cu interconnect was studied at current densities up to 10{sup 7} A/cm{sup 2}. In addition, we demonstrated the high material contrast from different elements in integrated circuits with a resolution of about 40 nm.

  4. Electromigration in integrated circuit interconnects studied by X-ray microscopy

    CERN Document Server

    Schneider, G; Anderson, E; Bates, W; Salmassi, F; Nachimuthu, P; Pearson, A; Richardson, D; Hambach, D; Hoffmann, N; Hasse, W; Hoffmann, K

    2003-01-01

    To study mass transport phenomena in advanced microelectronic devices with X-rays requires penetration of dielectric and Si layers up to 30 mu m thick. X-ray imaging at 1.8 keV photon energy provides a high amplitude contrast between Cu or Al interconnects and dielectric layers and can penetrate through the required thickness. To perform X-ray microscopy at 1.8 keV, a new Ru/Si multilayer was designed for the transmission X-ray microscope XM-1 installed at the Advanced Light Source in Berkeley. The mass flow in a passivated Cu interconnect was studied at current densities up to 10 sup 7 A/cm sup 2. In addition, we demonstrated the high material contrast from different elements in integrated circuits with a resolution of about 40 nm.

  5. Silicon photonic IC embedded optical-PCB for high-speed interconnect application

    Science.gov (United States)

    Kallega, Rakshitha; Nambiar, Siddharth; Kumar, Abhai; Ranganath, Praveen; Selvaraja, Shankar Kumar

    2018-02-01

    Optical-Printed Circuit Board (PCB) is an emerging optical interconnect technology to bridge the gap between the board edge and the processing module. The technology so far has been used as a broadband transmitter using polymer waveguides in the PCB. In this paper, we report a Silicon Nitride based photonic IC embedded in the PCB along with the polymers as waveguides in the PCB. The motivation for such integration is to bring routing capability and to reduce the power loss due to broadcasting mode.

  6. Optical Interconnection Via Computer-Generated Holograms

    Science.gov (United States)

    Liu, Hua-Kuang; Zhou, Shaomin

    1995-01-01

    Method of free-space optical interconnection developed for data-processing applications like parallel optical computing, neural-network computing, and switching in optical communication networks. In method, multiple optical connections between multiple sources of light in one array and multiple photodetectors in another array made via computer-generated holograms in electrically addressed spatial light modulators (ESLMs). Offers potential advantages of massive parallelism, high space-bandwidth product, high time-bandwidth product, low power consumption, low cross talk, and low time skew. Also offers advantage of programmability with flexibility of reconfiguration, including variation of strengths of optical connections in real time.

  7. Laser printing of 3D metallic interconnects

    Science.gov (United States)

    Beniam, Iyoel; Mathews, Scott A.; Charipar, Nicholas A.; Auyeung, Raymond C. Y.; Piqué, Alberto

    2016-04-01

    The use of laser-induced forward transfer (LIFT) techniques for the printing of functional materials has been demonstrated for numerous applications. The printing gives rise to patterns, which can be used to fabricate planar interconnects. More recently, various groups have demonstrated electrical interconnects from laser-printed 3D structures. The laser printing of these interconnects takes place through aggregation of voxels of either molten metal or of pastes containing dispersed metallic particles. However, the generated 3D structures do not posses the same metallic conductivity as a bulk metal interconnect of the same cross-section and length as those formed by wire bonding or tab welding. An alternative is to laser transfer entire 3D structures using a technique known as lase-and-place. Lase-and-place is a LIFT process whereby whole components and parts can be transferred from a donor substrate onto a desired location with one single laser pulse. This paper will describe the use of LIFT to laser print freestanding, solid metal foils or beams precisely over the contact pads of discrete devices to interconnect them into fully functional circuits. Furthermore, this paper will also show how the same laser can be used to bend or fold the bulk metal foils prior to transfer, thus forming compliant 3D structures able to provide strain relief for the circuits under flexing or during motion from thermal mismatch. These interconnect "ridges" can span wide gaps (on the order of a millimeter) and accommodate height differences of tens of microns between adjacent devices. Examples of these laser printed 3D metallic bridges and their role in the development of next generation electronics by additive manufacturing will be presented.

  8. Superconducting Thin-Film Interconnects for Cryogenic Photon Detector Arrays, Phase I

    Data.gov (United States)

    National Aeronautics and Space Administration — Advanced imaging spectrometers for x-ray astronomy will require significant improvements in the high density interconnects between the detector arrays and the first...

  9. Interpretation for ''high''-Tc of the totally interconnected solution of the Ma and Lee model

    International Nuclear Information System (INIS)

    Wiecko, C.

    1988-09-01

    The already presented totally interconnected (mean-field) approximation of the Ma and Lee model, pictures very well many ingredients of the present status of comprehension of high-T c superconductors. The picture is that of a disordered grain with variable number of particles available for an attractive on-site pairing interaction, embedded in a reservoir of normal particles which fix the chemical potential. Interesting effect of absence of T c and then a sharp increase and slow decay of T c with disorder appears for weak coupling pairing as compared with the hopping probability for single particles. Interpretation is given in terms of one-particle Anderson localization theory and standard mechanisms. (author). 13 refs, 4 figs

  10. Financial viability of the Sonora-Baja California interconnection line

    International Nuclear Information System (INIS)

    Alonso, G.; Ortega, G.

    2017-09-01

    In the Development Program of the National Electricity Sector 2015-2029, an electric interconnection line between Sonora and Baja California (Mexico) is proposed, this study analyzes the financial viability of this interconnection line based on the maximum hourly and seasonal energy demand between both regions and proposes alternatives for the supply of electric power that supports the economic convenience of this interconnection line. The results show that additional capacity is required in Sonora to cover the maximum demands of both regions since in the current condition of the National Electric System the interconnection line is not justified. (Author)

  11. Laser printed interconnects for flexible electronics

    Science.gov (United States)

    Pique, Alberto; Beniam, Iyoel; Mathews, Scott; Charipar, Nicholas

    Laser-induced forward transfer (LIFT) can be used to generate microscale 3D structures for interconnect applications non-lithographically. The laser printing of these interconnects takes place through aggregation of voxels of either molten metal or dispersed metallic nanoparticles. However, the resulting 3D structures do not achieve the bulk conductivity of metal interconnects of the same cross-section and length as those formed by wire bonding or tab welding. It is possible, however, to laser transfer entire structures using a LIFT technique known as lase-and-place. Lase-and-place allows whole components and parts to be transferred from a donor substrate onto a desired location with one single laser pulse. This talk will present the use of LIFT to laser print freestanding solid metal interconnects to connect individual devices into functional circuits. Furthermore, the same laser can bend or fold the thin metal foils prior to transfer, thus forming compliant 3D structures able to provide strain relief due to flexing or thermal mismatch. Examples of these laser printed 3D metallic bridges and their role in the development of next generation flexible electronics by additive manufacturing will be presented. This work was funded by the Office of Naval Research (ONR) through the Naval Research Laboratory Basic Research Program.

  12. Packaging and interconnection for superconductive circuitry

    International Nuclear Information System (INIS)

    Anacker, W.

    1976-01-01

    A three dimensional microelectronic module packaged for reduced signal propagation delay times including a plurality of circuit carrying means, which may comprise unbacked chips, with integrated superconductive circuitry thereon is described. The circuit carrying means are supported on their edges and have contact lands in the vicinity of, or at, the edges to provide for interconnecting circuitry. The circuit carrying means are supported by supporting means which include slots to provide a path for interconnection wiring to contact the lands of the circuit carrying means. Further interconnecting wiring may take the form of integrated circuit wiring on the reverse side of the supporting means. The low heat dissipation of the superconductive circuitry allows the circuit carrying means to be spaced approximately no less than 30 mils apart. The three dimensional arrangement provides lower random propagation delays than would a planar array of circuits

  13. CATO: a CAD tool for intelligent design of optical networks and interconnects

    Science.gov (United States)

    Chlamtac, Imrich; Ciesielski, Maciej; Fumagalli, Andrea F.; Ruszczyk, Chester; Wedzinga, Gosse

    1997-10-01

    Increasing communication speed requirements have created a great interest in very high speed optical and all-optical networks and interconnects. The design of these optical systems is a highly complex task, requiring the simultaneous optimization of various parts of the system, ranging from optical components' characteristics to access protocol techniques. Currently there are no computer aided design (CAD) tools on the market to support the interrelated design of all parts of optical communication systems, thus the designer has to rely on costly and time consuming testbed evaluations. The objective of the CATO (CAD tool for optical networks and interconnects) project is to develop a prototype of an intelligent CAD tool for the specification, design, simulation and optimization of optical communication networks. CATO allows the user to build an abstract, possible incomplete, model of the system, and determine its expected performance. Based on design constraints provided by the user, CATO will automatically complete an optimum design, using mathematical programming techniques, intelligent search methods and artificial intelligence (AI). Initial design and testing of a CATO prototype (CATO-1) has been completed recently. The objective was to prove the feasibility of combining AI techniques, simulation techniques, an optical device library and a graphical user interface into a flexible CAD tool for obtaining optimal communication network designs in terms of system cost and performance. CATO-1 is an experimental tool for designing packet-switching wavelength division multiplexing all-optical communication systems using a LAN/MAN ring topology as the underlying network. The two specific AI algorithms incorporated are simulated annealing and a genetic algorithm. CATO-1 finds the optimal number of transceivers for each network node, using an objective function that includes the cost of the devices and the overall system performance.

  14. Cellular structures with interconnected microchannels

    Science.gov (United States)

    Shaefer, Robert Shahram; Ghoniem, Nasr M.; Williams, Brian

    2018-01-30

    A method for fabricating a cellular tritium breeder component includes obtaining a reticulated carbon foam skeleton comprising a network of interconnected ligaments. The foam skeleton is then melt-infiltrated with a tritium breeder material, for example, lithium zirconate or lithium titanate. The foam skeleton is then removed to define a cellular breeder component having a network of interconnected tritium purge channels. In an embodiment the ligaments of the foam skeleton are enlarged by adding carbon using chemical vapor infiltration (CVI) prior to melt-infiltration. In an embodiment the foam skeleton is coated with a refractory material, for example, tungsten, prior to melt infiltration.

  15. Interconnectivity: Benefits and Challenges

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2010-09-15

    Access to affordable and reliable electricity supplies is a basic prerequisite for economic and social development, prosperity, health, education and all other aspects of modern society. Electricity can be generated both near and far from the consumption areas as transmission lines, grid interconnections and distribution systems can transport it to the final consumer. In the vast majority of countries, the electricity sector used to be owned and run by the state. The wave of privatisation and market introduction in a number of countries and regions which started in the late 1980's has in many cases involved unbundling of generation from transmission and distribution (T and D). This has nearly everywhere exposed transmission bottlenecks limiting the development of well-functioning markets. Transmission on average accounts for about 10-15% of total final kWh cost paid by the end-user but it is becoming a key issue for effective operation of liberalised markets and for their further development. An integrated and adequate transmission infrastructure is of utmost importance for ensuring the delivery of the most competitively priced electricity, including externalities, to customers, both near and far from the power generating facilities. In this report, the role of interconnectivity in the development of energy systems is examined with the associated socio-economic, environmental, financial and regulatory aspects that must be taken into account for successful interconnection projects.

  16. Switching Fabric Based on Multi-Level LVDS Compatible Interconnect, Phase II

    Data.gov (United States)

    National Aeronautics and Space Administration — Switching fabric (SF) is the key component of the next generation of back plane interconnects. Low power, TID and SEU resistant and high bandwidth upgradeable...

  17. Nano/CMOS architectures using a field-programmable nanowire interconnect

    International Nuclear Information System (INIS)

    Snider, Gregory S; Williams, R Stanley

    2007-01-01

    A field-programmable nanowire interconnect (FPNI) enables a family of hybrid nano/CMOS circuit architectures that generalizes the CMOL (CMOS/molecular hybrid) approach proposed by Strukov and Likharev, allowing for simpler fabrication, more conservative process parameters, and greater flexibility in the choice of nanoscale devices. The FPNI improves on a field-programmable gate array (FPGA) architecture by lifting the configuration bit and associated components out of the semiconductor plane and replacing them in the interconnect with nonvolatile switches, which decreases both the area and power consumption of the circuit. This is an example of a more comprehensive strategy for improving the efficiency of existing semiconductor technology: placing a level of intelligence and configurability in the interconnect can have a profound effect on integrated circuit performance, and can be used to significantly extend Moore's law without having to shrink the transistors. Compilation of standard benchmark circuits onto FPNI chip models shows reduced area (8 x to 25 x), reduced power, slightly lower clock speeds, and high defect tolerance-an FPNI chip with 20% defective junctions and 20% broken nanowires has an effective yield of 75% with no significant slowdown along the critical path, compared to a defect-free chip. Simulations show that the density and power improvements continue as both CMOS and nano fabrication parameters scale down, although the maximum clock rate decreases due to the high resistance of very small (<10 nm) metallic nanowires

  18. First experience with the InfiniBand interconnect

    International Nuclear Information System (INIS)

    Schwickerath, Ulrich; Heiss, Andreas

    2004-01-01

    A test cluster of dual Intel-Xeon processor server nodes has been equipped with 10 GBit/s InfiniBand interconnect. Capabilities of this new technique were tested and compared to Gigabit-Ethernet (GE) with respect to both High-Performance Computing (MPI-based parallel computing applications) and High-Throughput Computing (HTC). RFIO, a protocol for fast and efficient file transfers, has been ported to make immediate use of InfiniBand, utilizing the remote direct memory access (RDMA) capabilities of the InfiniBand hardware. The performance is compared to Gigabit-Ethernet

  19. 11.72 sq cm SiC Wafer-scale Interconnected 64 kA PiN Diode

    Science.gov (United States)

    2012-01-30

    drop of 10.3 V. The dissipated energy was 382 J and the calculated action exceeded 1.7 MA2 -s. Preliminary development of high voltage interconnection...scale diode action (surge current integral), a key reliability parameter, exceeded 1.7 MA2 -s. Figure 6: The wafer-scale interconnected diode...scale diode was 382 J and the calculated action exceeded 1.7 MA2 -sec. High voltage operation of PiN diodes, thyristors, and other semiconductor

  20. Fuel cell electrode interconnect contact material encapsulation and method

    Science.gov (United States)

    Derose, Anthony J.; Haltiner, Jr., Karl J.; Gudyka, Russell A.; Bonadies, Joseph V.; Silvis, Thomas W.

    2016-05-31

    A fuel cell stack includes a plurality of fuel cell cassettes each including a fuel cell with an anode and a cathode. Each fuel cell cassette also includes an electrode interconnect adjacent to the anode or the cathode for providing electrical communication between an adjacent fuel cell cassette and the anode or the cathode. The interconnect includes a plurality of electrode interconnect protrusions defining a flow passage along the anode or the cathode for communicating oxidant or fuel to the anode or the cathode. An electrically conductive material is disposed between at least one of the electrode interconnect protrusions and the anode or the cathode in order to provide a stable electrical contact between the electrode interconnect and the anode or cathode. An encapsulating arrangement segregates the electrically conductive material from the flow passage thereby, preventing volatilization of the electrically conductive material in use of the fuel cell stack.

  1. Using high performance interconnects in a distributed computing and mass storage environment

    International Nuclear Information System (INIS)

    Ernst, M.

    1994-01-01

    Detector Collaborations of the HERA Experiments typically involve more than 500 physicists from a few dozen institutes. These physicists require access to large amounts of data in a fully transparent manner. Important issues include Distributed Mass Storage Management Systems in a Distributed and Heterogeneous Computing Environment. At the very center of a distributed system, including tens of CPUs and network attached mass storage peripherals are the communication links. Today scientists are witnessing an integration of computing and communication technology with the open-quote network close-quote becoming the computer. This contribution reports on a centrally operated computing facility for the HERA Experiments at DESY, including Symmetric Multiprocessor Machines (84 Processors), presently more than 400 GByte of magnetic disk and 40 TB of automoted tape storage, tied together by a HIPPI open-quote network close-quote. Focussing on the High Performance Interconnect technology, details will be provided about the HIPPI based open-quote Backplane close-quote configured around a 20 Gigabit/s Multi Media Router and the performance and efficiency of the related computer interfaces

  2. Economic Valuation of Reserves on Cross Border Interconnections; A Danish Case Study

    DEFF Research Database (Denmark)

    Farashbashi-Astaneh, Seyed-Mostafa; Rather, Zakir Hussain; Hu, Weihao

    2014-01-01

    regions that plan for high penetration of intermittent renewables. Extreme intermittency in the nature of wind power imposes elevated risk levels to power system operation. This every day challenge of wind dominant power systems necessitate the crucial role of operating reserves. In this paper, we propose...... benefit of reserve provision provided by cross border interconnections. The focus here will be on reserve services from abundant hydropower resource in Norway, taking advantage of fast VSC-based HVDC interconnection that is expected to be commissioned in immediate coming years....

  3. Electrode and interconnect for miniature fuel cells using direct methanol feed

    Science.gov (United States)

    Narayanan, Sekharipuram R. (Inventor); Valdez, Thomas I. (Inventor); Clara, Filiberto (Inventor)

    2004-01-01

    An improved system for interconnects in a fuel cell. In one embodiment, the membranes are located in parallel with one another, and current flow between them is facilitated by interconnects. In another embodiment, all of the current flow is through the interconnects which are located on the membranes. The interconnects are located between two electrodes.

  4. Current Solutions: Recent Experience in Interconnecting Distributed Energy Resources

    Energy Technology Data Exchange (ETDEWEB)

    Johnson, M.

    2003-09-01

    This report catalogues selected real-world technical experiences of utilities and customers that have interconnected distributed energy assets with the electric grid. This study was initiated to assess the actual technical practices for interconnecting distributed generation and had a particular focus on the technical issues covered under the Institute of Electrical and Electronics Engineers (IEEE) 1547(TM) Standard for Interconnecting Distributed Resources With Electric Power Systems.

  5. INTERCONNECTING NETWORKS WITH DIFFERENT LEVELS OF SECURITY – A PRESENT NATO PROBLEM

    Directory of Open Access Journals (Sweden)

    LIVIU TATOMIR

    2016-07-01

    Full Text Available A situation often met in the Romanian Armed Forces in recent years is the need for interconnecting two networks (domains with different levels of classification. Considering that the Romanian armed troops are involved in numerous missions with NATO partners, solutions, already implemented across the organization, are considered to be applied in domestic systems, also. This paper presents the solutions adopted by NATO in order to solve the problem of cross -domains interconnections. We present the maturity level reached by these solutions and the possibility of implementing these solutions in the Romanian Armed Forces, with or without specific adaptation to our own rules and regulations. The goal is to use a NATO already proved solution to our national classified networks.

  6. Efficient modeling of metallic interconnects for thermo-mechanical simulation of SOFC stacks: homogenized behaviors and effect of contact

    DEFF Research Database (Denmark)

    Tadesse Molla, Tesfaye; Kwok, Kawai; Frandsen, Henrik Lund

    2016-01-01

    temperature, deformations involving the elastic, creep as well as effect of changes in the geometry due to contact should be accounted for. The constitutive law can be applied using 3D modeling, but for simple presentation of the theory, 2D plane strain formulation is used to model the corrugated metallic......Currently thermo-mechanical analysis of the entire solid oxide fuel cell (SOFC) stack at operational conditions is computationally challenging if the geometry of metallic interconnects is considered explicitly. This is particularly the case when creep deformations in the interconnect are considered...... model to calculate the homogenized mechanical response of corrugated metallic interconnects at high temperatures.Thereafter, a constitutive law for the homogenized structure (effective material law) is developed. In order to properly describe the mechanical behavior of the interconnect at high...

  7. Ultra-High Capacity Silicon Photonic Interconnects through Spatial Multiplexing

    Science.gov (United States)

    Chen, Christine P.

    The market for higher data rate communication is driving the semiconductor industry to develop new techniques of writing at smaller scales, while continuing to scale bandwidth at low power consumption. Silicon photonic (SiPh) devices offer a potential solution to the electronic interconnect bandwidth bottleneck. SiPh leverages the technology commensurate of decades of fabrication development with the unique functionality of next-generation optical interconnects. Finer fabrication techniques have allowed for manufacturing physical characteristics of waveguide structures that can support multiple modes in a single waveguide. By refining modal characteristics in photonic waveguide structures, through mode multiplexing with the asymmetric y-junction and microring resonator, higher aggregate data bandwidth is demonstrated via various combinations of spatial multiplexing, broadening applications supported by the integrated platform. The main contributions of this dissertation are summarized as follows. Experimental demonstrations of new forms of spatial multiplexing combined together exhibit feasibility of data transmission through mode-division multiplexing (MDM), mode-division and wavelength-division multiplexing (MDM-WDM), and mode-division and polarization-division multiplexing (MDM-PDM) through a C-band, Si photonic platform. Error-free operation through mode multiplexers and demultiplexers show how data can be viably scaled on multiple modes and with existing spatial domains simultaneously. Furthermore, we explore expanding device channel support from two to three arms. Finding that a slight mismatch in the third arm can increase crosstalk contributions considerably, especially when increasing data rate, we explore a methodical way to design the asymmetric y-junction device by considering its angles and multiplexer/demultiplexer arm width. By taking into consideration device fabrication variations, we turn towards optimizing device performance post

  8. Signal Integrity Analysis in Single and Bundled Carbon Nanotube Interconnects

    International Nuclear Information System (INIS)

    Majumder, M.K.; Pandya, N.D.; Kaushik, B.K.; Manhas, S.K.

    2013-01-01

    Carbon nanotube (CN T) can be considered as an emerging interconnect material in current nano scale regime. They are more promising than other interconnect materials such as Al or Cu because of their robustness to electromigration. This research paper aims to address the crosstalk-related issues (signal integrity) in interconnect lines. Different analytical models of single- (SWCNT), double- (DWCNT), and multiwalled CNTs (MWCNT) are studied to analyze the crosstalk delay at global interconnect lengths. A capacitively coupled three-line bus architecture employing CMOS driver is used for accurate estimation of crosstalk delay. Each line in bus architecture is represented with the equivalent RLC models of single and bundled SWCNT, DWCNT, and MWCNT interconnects. Crosstalk delay is observed at middle line (victim) when it switches in opposite direction with respect to the other two lines (aggressors). Using the data predicted by ITRS 2012, a comparative analysis on the basis of crosstalk delay is performed for bundled SWCNT/DWCNT and single MWCNT interconnects. It is observed that the overall crosstalk delay is improved by 40.92% and 21.37% for single MWCNT in comparison to bundled SWCNT and bundled DWCNT interconnects, respectively.

  9. The effect of long-distance interconnection on wind power variability

    International Nuclear Information System (INIS)

    Fertig, Emily; Apt, Jay; Jaramillo, Paulina; Katzenstein, Warren

    2012-01-01

    We use time- and frequency-domain techniques to quantify the extent to which long-distance interconnection of wind plants in the United States would reduce the variability of wind power output. Previous work has shown that interconnection of just a few wind plants across moderate distances could greatly reduce the ratio of fast- to slow-ramping generators in the balancing portfolio. We find that interconnection of aggregate regional wind plants would not reduce this ratio further but would reduce variability at all frequencies examined. Further, interconnection of just a few wind plants reduces the average hourly change in power output, but interconnection across regions provides little further reduction. Interconnection also reduces the magnitude of low-probability step changes and doubles firm power output (capacity available at least 92% of the time) compared with a single region. First-order analysis indicates that balancing wind and providing firm power with local natural gas turbines would be more cost-effective than with transmission interconnection. For net load, increased wind capacity would require more balancing resources but in the same proportions by frequency as currently, justifying the practice of treating wind as negative load. (letter)

  10. Complexity in neuronal noise depends on network interconnectivity.

    Science.gov (United States)

    Serletis, Demitre; Zalay, Osbert C; Valiante, Taufik A; Bardakjian, Berj L; Carlen, Peter L

    2011-06-01

    "Noise," or noise-like activity (NLA), defines background electrical membrane potential fluctuations at the cellular level of the nervous system, comprising an important aspect of brain dynamics. Using whole-cell voltage recordings from fast-spiking stratum oriens interneurons and stratum pyramidale neurons located in the CA3 region of the intact mouse hippocampus, we applied complexity measures from dynamical systems theory (i.e., 1/f(γ) noise and correlation dimension) and found evidence for complexity in neuronal NLA, ranging from high- to low-complexity dynamics. Importantly, these high- and low-complexity signal features were largely dependent on gap junction and chemical synaptic transmission. Progressive neuronal isolation from the surrounding local network via gap junction blockade (abolishing gap junction-dependent spikelets) and then chemical synaptic blockade (abolishing excitatory and inhibitory post-synaptic potentials), or the reverse order of these treatments, resulted in emergence of high-complexity NLA dynamics. Restoring local network interconnectivity via blockade washout resulted in resolution to low-complexity behavior. These results suggest that the observed increase in background NLA complexity is the result of reduced network interconnectivity, thereby highlighting the potential importance of the NLA signal to the study of network state transitions arising in normal and abnormal brain dynamics (such as in epilepsy, for example).

  11. Highly photocatalytic TiO_2 interconnected porous powder fabricated by sponge-templated atomic layer deposition

    International Nuclear Information System (INIS)

    Pan, Shengqiang; Zhao, Yuting; Huang, Gaoshan; Li, Menglin; Mei, Yongfeng; Wang, Jiao; Zheng, Lirong; Baunack, Stefan; Schmidt, Oliver G; Gemming, Thomas

    2015-01-01

    A titanium dioxide (TiO_2) interconnected porous structure has been fabricated by means of atomic layer deposition of TiO_2 onto a reticular sponge template. The obtained freestanding TiO_2 with large surface area can be easily taken out of the water to solve a complex separation procedure. A compact and conformal nanocoating was evidenced by morphologic characterization. A phase transition, as well as production of oxygen vacancies with increasing annealing temperature, was detected by x-ray diffraction and x-ray photoelectron spectroscopy, respectively. The photocatalytic experimental results demonstrated that the powder with appropriate annealing treatment possessed excellent photocatalytic ability due to the co-action of high surface area, oxygen vacancies and the optimal crystal structure. (paper)

  12. Cost based interconnection charges as a way to induce competition

    DEFF Research Database (Denmark)

    Falch, Morten

    The objective of this paper is to analyse the relationship between regulation of interconnection charges and the level of competition. One of the most important issues in the debate on interconnect regulation has been use of forward looking costs for setting of interconnection charges. This debat...... has been ongoing within the EU as well as in US. This paper discusses the European experiences and in particular the Danish experiences with use of cost based interconnection charges, and their impact on competition in the telecom market....

  13. Cross-border versus cross-sector interconnectivity in renewable energy systems

    DEFF Research Database (Denmark)

    Thellufsen, Jakob Zinck; Lund, Henrik

    2017-01-01

    renewable energy, the energy system has to be more flexible in terms of decoupling demand and production. This paper investigates two potential ways to increase flexibility. The first is the interconnection between energy systems, for instance between two countries, labelled as cross-border interconnection...... systems that represent Northern and Southern Europe. Both systems go through three developmental steps that increase the cross-sector interconnectivity. At each developmental step an increasing level of transmission capacities is examined to identify the benefits of cross-border interconnectivity...

  14. Digital optical interconnects for photonic computing

    Science.gov (United States)

    Guilfoyle, Peter S.; Stone, Richard V.; Zeise, Frederick F.

    1994-05-01

    A 32-bit digital optical computer (DOC II) has been implemented in hardware utilizing 8,192 free-space optical interconnects. The architecture exploits parallel interconnect technology by implementing microcode at the primitive level. A burst mode of 0.8192 X 1012 binary operations per sec has been reliably demonstrated. The prototype has been successful in demonstrating general purpose computation. In addition to emulating the RISC instruction set within the UNIX operating environment, relational database text search operations have been implemented on DOC II.

  15. Analysis of interconnecting energy systems over a synchronized life cycle

    International Nuclear Information System (INIS)

    Nian, Victor

    2016-01-01

    Highlights: • A methodology is developed for evaluating a life cycle of interconnected systems. • A new concept of partial temporal boundary is introduced via quantitative formulation. • The interconnecting systems are synchronized through the partial temporal boundary. • A case study on the life cycle of the coal–uranium system is developed. - Abstract: Life cycle analysis (LCA) using the process chain analysis (PCA) approach has been widely applied to energy systems. When applied to an individual energy system, such as coal or nuclear electricity generation, an LCA–PCA methodology can yield relatively accurate results with its detailed process representation based on engineering data. However, there are fundamental issues when applying conventional LCA–PCA methodology to a more complex life cycle, namely, a synchronized life cycle of interconnected energy systems. A synchronized life cycle of interconnected energy systems is established through direct interconnections among the processes of different energy systems, and all interconnecting systems are bounded within the same timeframe. Under such a life cycle formation, there are some major complications when applying conventional LCA–PCA methodology to evaluate the interconnecting energy systems. Essentially, the conventional system and boundary formulations developed for a life cycle of individual energy system cannot be directly applied to a life cycle of interconnected energy systems. To address these inherent issues, a new LCA–PCA methodology is presented in this paper, in which a new concept of partial temporal boundary is introduced to synchronize the interconnecting energy systems. The importance and advantages of these new developments are demonstrated through a case study on the life cycle of the coal–uranium system.

  16. Computer simulation of electromigration in microelectronics interconnect

    OpenAIRE

    Zhu, Xiaoxin

    2014-01-01

    Electromigration (EM) is a phenomenon that occurs in metal conductor carrying high density electric current. EM causes voids and hillocks that may lead to open or short circuits in electronic devices. Avoiding these failures therefore is a major challenge in semiconductor device and packaging design and manufacturing, and it will become an even greater challenge for the semiconductor assembly and packaging industry as electronics components and interconnects get smaller and smaller. According...

  17. Distributed Energy Resources Interconnection Systems: Technology Review and Research Needs

    Energy Technology Data Exchange (ETDEWEB)

    Friedman, N. R.

    2002-09-01

    Interconnecting distributed energy resources (DER) to the electric utility grid (or Area Electric Power System, Area EPS) involves system engineering, safety, and reliability considerations. This report documents US DOE Distribution and Interconnection R&D (formerly Distributed Power Program) activities, furthering the development and safe and reliable integration of DER interconnected with our nation's electric power systems. The key to that is system integration and technology development of the interconnection devices that perform the functions necessary to maintain the safety, power quality, and reliability of the EPS when DER are connected to it.

  18. Reactive power interconnection requirements for PV and wind plants : recommendations to NERC.

    Energy Technology Data Exchange (ETDEWEB)

    McDowell, Jason (General Electric, Schenectady, NY); Walling, Reigh (General Electric, Schenectady, NY); Peter, William (SunPower, Richmond, CA); Von Engeln, Edi (NV Energy, Reno, NV); Seymour, Eric (AEI, Fort Collins, CO); Nelson, Robert (Siemens Wind Turbines, Orlando, FL); Casey, Leo (Satcon, Boston, MA); Ellis, Abraham; Barker, Chris. (SunPower, Richmond, CA)

    2012-02-01

    Voltage on the North American bulk system is normally regulated by synchronous generators, which typically are provided with voltage schedules by transmission system operators. In the past, variable generation plants were considered very small relative to conventional generating units, and were characteristically either induction generator (wind) or line-commutated inverters (photovoltaic) that have no inherent voltage regulation capability. However, the growing level of penetration of non-traditional renewable generation - especially wind and solar - has led to the need for renewable generation to contribute more significantly to power system voltage control and reactive power capacity. Modern wind-turbine generators, and increasingly PV inverters as well, have considerable dynamic reactive power capability, which can be further enhanced with other reactive support equipment at the plant level to meet interconnection requirements. This report contains a set of recommendations to the North-America Electricity Reliability Corporation (NERC) as part of Task 1-3 (interconnection requirements) of the Integration of Variable Generation Task Force (IVGTF) work plan. The report discusses reactive capability of different generator technologies, reviews existing reactive power standards, and provides specific recommendations to improve existing interconnection standards.

  19. Direct synthesis of highly porous interconnected carbon nanosheets and their application as high-performance supercapacitors.

    Science.gov (United States)

    Sevilla, Marta; Fuertes, Antonio B

    2014-05-27

    An easy, one-step procedure is proposed for the synthesis of highly porous carbon nanosheets with an excellent performance as supercapacitor electrodes. The procedure is based on the carbonization of an organic salt, i.e., potassium citrate, at a temperature in the 750-900 °C range. In this way, carbon particles made up of interconnected carbon nanosheets with a thickness of <80 nm are obtained. The porosity of the carbon nanosheets consists essentially of micropores distributed in two pore systems of 0.7-0.85 nm and 0.95-1.6 nm. Importantly, the micropore sizes of both systems can be enlarged by simply increasing the carbonization temperature. Furthermore, the carbon nanosheets possess BET surface areas in the ∼1400-2200 m(2) g(-1) range and electronic conductivities in the range of 1.7-7.4 S cm(-1) (measured at 7.1 MPa). These materials behave as high-performance supercapacitor electrodes in organic electrolyte and exhibit an excellent power handling ability and a superb robustness over long-term cycling. Excellent results were obtained with the supercapacitor fabricated from the material synthesized at 850 °C in terms of both gravimetric and volumetric energy and power densities. This device was able to deliver ∼13 Wh kg(-1) (5.2 Wh L(-1)) at an extremely high power density of 78 kW kg(-1) (31 kW L(-1)) and ∼30 Wh kg(-1) (12 Wh L(-1)) at a power density of 13 kW kg(-1) (5.2 kW L(-1)) (voltage range of 2.7 V).

  20. Interconnecting with VIPs

    Science.gov (United States)

    Collins, Robert

    2013-01-01

    Interconnectedness changes lives. It can even save lives. Recently the author got to witness and be part of something in his role as a teacher of primary science that has changed lives: it may even have saved lives. It involved primary science teaching--and the climate. Robert Collins describes how it is all interconnected. The "Toilet…

  1. Architecture-Level Exploration of Alternative Interconnection Schemes Targeting 3D FPGAs: A Software-Supported Methodology

    Directory of Open Access Journals (Sweden)

    Kostas Siozios

    2008-01-01

    Full Text Available In current reconfigurable architectures, the interconnection structures increasingly contribute more to the delay and power consumption. The demand for increased clock frequencies and logic density (smaller area footprint makes the problem even more important. Three-dimensional (3D architectures are able to alleviate this problem by accommodating a number of functional layers, each of which might be fabricated in different technology. However, the benefits of such integration technology have not been sufficiently explored yet. In this paper, we propose a software-supported methodology for exploring and evaluating alternative interconnection schemes for 3D FPGAs. In order to support the proposed methodology, three new CAD tools were developed (part of the 3D MEANDER Design Framework. During our exploration, we study the impact of vertical interconnection between functional layers in a number of design parameters. More specifically, the average gains in operation frequency, power consumption, and wirelength are 35%, 32%, and 13%, respectively, compared to existing 2D FPGAs with identical logic resources. Also, we achieve higher utilization ratio for the vertical interconnections compared to existing approaches by 8% for designing 3D FPGAs, leading to cheaper and more reliable devices.

  2. On-chip photonic interconnects a computer architect's perspective

    CERN Document Server

    Nitta, Christopher J; Akella, Venkatesh

    2013-01-01

    As the number of cores on a chip continues to climb, architects will need to address both bandwidth and power consumption issues related to the interconnection network. Electrical interconnects are not likely to scale well to a large number of processors for energy efficiency reasons, and the problem is compounded by the fact that there is a fixed total power budget for a die, dictated by the amount of heat that can be dissipated without special (and expensive) cooling and packaging techniques. Thus, there is a need to seek alternatives to electrical signaling for on-chip interconnection appli

  3. Towards energy aware optical networks and interconnects

    Science.gov (United States)

    Glesk, Ivan; Osadola, Tolulope; Idris, Siti

    2013-10-01

    In a today's world, information technology has been identified as one of the major factors driving economic prosperity. Datacenters businesses have been growing significantly in the past few years. The equipments in these datacenters need to be efficiently connected to each other and also to the outside world in order to enable effective exchange of information. This is why there is need for highly scalable, energy savvy and reliable network connectivity infrastructure that is capable of accommodating the large volume of data being exchanged at any time within the datacenter network and the outside network in general. These devices that can ensure such effective connectivity currently require large amount of energy in order to meet up with these increasing demands. In this paper, an overview of works being done towards realizing energy aware optical networks and interconnects for datacenters is presented. Also an OCDMA approach is discussed as potential multiple access technique for future optical network interconnections. We also presented some challenges that might inhibit effective implementation of the OCDMA multiplexing scheme.

  4. Developing High PV Penetration Cases for Frequency Response Study of U.S. Western Interconnection: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Tan, Jin; Zhang, Yingchen; Veda, Santosh; Elgindy, Tarek; Liu, Yilu

    2017-04-11

    Recent large penetrations of solar photovoltaic (PV) generation and the inertial characteristics of inverter-based generation technologies have caught the attention of those in the electric power industry in the United States. This paper presents a systematic approach to developing test cases of high penetrations of PV for the Western Interconnection. First, to examine the accuracy of the base case model, the Western Electricity Coordinating Council (WECC) model is validated by using measurement data from synchronized phasor measurement units. Based on the 2022 Light Spring case, we developed four high PV penetration cases for the WECC system that are of interest to the industry: 5% PV+15 % wind, 25% PV+15% wind, 45% PV+15% wind, 65% PV+15% wind). Additionally, a method to project PV is proposed that is based on collected, realistic PV distribution information, including the current and future PV power plant locations and penetrations in the WECC system. Both the utility-scale PV plant and residential rooftop PV are included in this study.

  5. Hardware Compilation of Application-Specific Memory-Access Interconnect

    DEFF Research Database (Denmark)

    Venkataramani, Girish; Bjerregaard, Tobias; Chelcea, Tiberiu

    2006-01-01

    operations dependent on memory reads. More fundamental is that dependences between accesses may not be statically provable (e.g., if the specification language permits pointers), which introduces memory-consistency problems. Addressing these issues with static scheduling results in overly conservative...... enables specifications to include arbitrary memory references (e.g., pointers) and allows the memory system to incorporate features that might cause the latency of a memory access to vary dynamically. This results in raising the level of abstraction in the input specification, enabling faster design times...

  6. Actual issues concerning nuclear power plants and interconnected grid

    International Nuclear Information System (INIS)

    Medjimorec, D.; Brkic, S.

    2004-01-01

    Nuclear power plants and transmission grid have always been mutually of special relevance. In countries and/or regions where nuclear power plants are located they are almost as a rule counted among strongest nodes of the grid. Hence, they are treated as such from grid point of view in various aspects (operational, planning). In interconnected high-voltage transmission grid of European mainland, usually called UCTE interconnected system, this importance could be shown in a range of issues and several cases, particularly under present situation in which there are numerous demanding and challenging tasks put on transmission system operators, largely due to the opening of electricity markets in the most of European countries. Among these issues definitely worth of mentioning is relevant influence to both commercial paths and physical power flows, and also to exchange programmes between control areas and blocks. In this context there is also relation to cross-border transactions and mechanism applied to them. In respect to security of supply issues and future of nuclear power generation under present regulative framework of most European countries it is needed to comply with connecting conditions (and other stipulations) from national grid codes where different approaches could be observed. Furthermore, nuclear issues significantly influence approach to extension of UCTE system. In certain extent this also applies to pending re-connection of present two synchronous zones of UCTE, particularly to area of broader region directly affected with this complex process. Some of these also reflect to Croatian high-voltage transmission grid as a part of UCTE interconnected system with certain peculiarities.(author)

  7. 14 CFR 29.957 - Flow between interconnected tanks.

    Science.gov (United States)

    2010-01-01

    ... AIRCRAFT AIRWORTHINESS STANDARDS: TRANSPORT CATEGORY ROTORCRAFT Powerplant Fuel System § 29.957 Flow between interconnected tanks. (a) Where tank outlets are interconnected and allow fuel to flow between them due to gravity or flight accelerations, it must be impossible for fuel to flow between tanks in...

  8. Architecture for on-die interconnect

    Science.gov (United States)

    Khare, Surhud; More, Ankit; Somasekhar, Dinesh; Dunning, David S.

    2016-03-15

    In an embodiment, an apparatus includes: a plurality of islands configured on a semiconductor die, each of the plurality of islands having a plurality of cores; and a plurality of network switches configured on the semiconductor die and each associated with one of the plurality of islands, where each network switch includes a plurality of output ports, a first set of the output ports are each to couple to the associated network switch of an island via a point-to-point interconnect and a second set of the output ports are each to couple to the associated network switches of a plurality of islands via a point-to-multipoint interconnect. Other embodiments are described and claimed.

  9. Facilitated charge transport in ternary interconnected electrodes for flexible supercapacitors with excellent power characteristics.

    Science.gov (United States)

    Chen, Wanjun; He, Yongmin; Li, Xiaodong; Zhou, Jinyuan; Zhang, Zhenxing; Zhao, Changhui; Gong, Chengshi; Li, Shuankui; Pan, Xiaojun; Xie, Erqing

    2013-12-07

    Flexible and high performance supercapacitors are very critical in modern society. In order to develop the flexible supercapacitors with high power density, free-standing and flexible three-dimensional graphene/carbon nanotubes/MnO2 (3DG/CNTs/MnO2) composite electrodes with interconnected ternary 3D structures were fabricated, and the fast electron and ion transport channels were effectively constructed in the rationally designed electrodes. Consequently, the obtained 3DG/CNTs/MnO2 composite electrodes exhibit superior specific capacitance and rate capability compared to 3DG/MnO2 electrodes. Furthermore, the 3DG/CNTs/MnO2 based asymmetric supercapacitor demonstrates the maximum energy and power densities of 33.71 W h kg(-1) and up to 22,727.3 W kg(-1), respectively. Moreover, the asymmetric supercapacitor exhibits excellent cycling stability with 95.3% of the specific capacitance maintained after 1000 cycle tests. Our proposed synthesis strategy to construct the novel ternary 3D structured electrodes can be efficiently applied to other high performance energy storage/conversion systems.

  10. Development of Innovative Distributed Power Interconnection and Control Systems: Annual Report, December 2000-December 2001

    Energy Technology Data Exchange (ETDEWEB)

    Liss, W.; Dybel, M.; West, R.; Adams, L.

    2002-11-01

    This report covers the first year's work performed by the Gas Technology Institute and Encorp Inc. under subcontract to the National Renewable Energy Laboratory. The objective of this three-year contract is to develop innovative grid interconnection and control systems. This supports the advancement of distributed generation in the marketplace by making installations more cost-effective and compatible across the electric power and energy management systems. Specifically, the goals are: (1) To develop and demonstrate cost-effective distributed power grid interconnection products and software and communication solutions applicable to improving the economics of a broad range of distributed power systems, including existing, emerging, and other power generation technologies. (2) To enhance the features and capabilities of distributed power products to integrate, interact, and provide operational benefits to the electric power and advanced energy management systems. This includes features and capabilities for participating in resource planning, the provision of ancillary services, and energy management. Specific topics of this report include the development of an advanced controller, a power sensing board, expanded communication capabilities, a revenue-grade meter interface, and a case study of an interconnection distributed power system application that is a model for demonstrating the functionalities of the design of the advanced controller.

  11. The Enhanced Segment Interconnect for FASTBUS data communications

    International Nuclear Information System (INIS)

    Machen, D.R.; Downing, R.W.; Kirsten, F.A.; Nelson, R.O.

    1987-01-01

    The Enhanced Segment Interconnect concept (ESI) for improved FASTBUS data communications is a development supported by the U.S. Department of Energy under the Small Business Innovation Research (SBIR) program. The ESI will contain both the Segment Interconnect (SI) Tyhpe S-1 and an optional buffered interconnect for store-and-forward data communications; fiber-optic-coupled serial ports will provide optional data paths. The ESI can be applied in large FASTBUS-implemented physics experiments whose data-set or data-transmission distance requirements dictate alternate approaches to data communications. This paper describes the functions of the ESI and the status of its development, now 25% complete

  12. National Offshore Wind Energy Grid Interconnection Study Executive Summary

    Energy Technology Data Exchange (ETDEWEB)

    Daniel, John P. [ABB, Inc., Cary, NC (United States); Liu, Shu [ABB, Inc., Cary, NC (United States); Ibanez, Eduardo [National Renewable Energy Lab. (NREL), Golden, CO (United States); Pennock, Ken [AWS Truepower, Albany, NY (United States); Reed, Gregory [Univ. of Pittsburgh, PA (United States); Hanes, Spencer [Duke Energy, Charlotte, NC (United States)

    2014-07-30

    The National Offshore Wind Energy Grid Interconnection Study (NOWEGIS) considers the availability and potential impacts of interconnecting large amounts of offshore wind energy into the transmission system of the lower 48 contiguous United States.

  13. National Offshore Wind Energy Grid Interconnection Study Full Report

    Energy Technology Data Exchange (ETDEWEB)

    Daniel, John P. [ABB, Inc., Cary, NC (United States); Liu, Shu [ABB, Inc., Cary, NC (United States); Ibanez, Eduardo [National Renewable Energy Lab. (NREL), Golden, CO (United States); Pennock, Ken [AWS Truepower, Albany, NY (United States); Reed, Gregory [Univ. of Pittsburgh, PA (United States); Hanes, Spencer [Duke Energy, Charlotte, NC (United States)

    2014-07-30

    The National Offshore Wind Energy Grid Interconnection Study (NOWEGIS) considers the availability and potential impacts of interconnecting large amounts of offshore wind energy into the transmission system of the lower 48 contiguous United States.

  14. Holistic design in high-speed optical interconnects

    Science.gov (United States)

    Saeedi, Saman

    Integrated circuit scaling has enabled a huge growth in processing capability, which necessitates a corresponding increase in inter-chip communication bandwidth. As bandwidth requirements for chip-to-chip interconnection scale, deficiencies of electrical channels become more apparent. Optical links present a viable alternative due to their low frequency-dependent loss and higher bandwidth density in the form of wavelength division multiplexing. As integrated photonics and bonding technologies are maturing, commercialization of hybrid-integrated optical links are becoming a reality. Increasing silicon integration leads to better performance in optical links but necessitates a corresponding co-design strategy in both electronics and photonics. In this light, holistic design of high-speed optical links with an in-depth understanding of photonics and state-of-the-art electronics brings their performance to unprecedented levels. This thesis presents developments in high-speed optical links by co-designing and co-integrating the primary elements of an optical link: receiver, transmitter, and clocking. In the first part of this thesis a 3D-integrated CMOS/Silicon-photonic receiver will be presented. The electronic chip features a novel design that employs a low-bandwidth TIA front-end, double-sampling and equalization through dynamic offset modulation. Measured results show -14.9dBm of sensitivity and energy eciency of 170fJ/b at 25Gb/s. The same receiver front-end is also used to implement source-synchronous 4-channel WDM-based parallel optical receiver. Quadrature ILO-based clocking is employed for synchronization and a novel frequency-tracking method that exploits the dynamics of IL in a quadrature ring oscillator to increase the effective locking range. An adaptive body-biasing circuit is designed to maintain the per-bit-energy consumption constant across wide data-rates. The prototype measurements indicate a record-low power consumption of 153fJ/b at 32Gb/s. The

  15. Multi-gigabit optical interconnects for next-generation on-board digital equipment

    Science.gov (United States)

    Venet, Norbert; Favaro, Henri; Sotom, Michel; Maignan, Michel; Berthon, Jacques

    2017-11-01

    Parallel optical interconnects are experimentally assessed as a technology that may offer the high-throughput data communication capabilities required to the next-generation on-board digital processing units. An optical backplane interconnect was breadboarded, on the basis of a digital transparent processor that provides flexible connectivity and variable bandwidth in telecom missions with multi-beam antenna coverage. The unit selected for the demonstration required that more than tens of Gbit/s be supported by the backplane. The demonstration made use of commercial parallel optical link modules at 850 nm wavelength, with 12 channels running at up to 2.5 Gbit/s. A flexible optical fibre circuit was developed so as to route board-to-board connections. It was plugged to the optical transmitter and receiver modules through 12-fibre MPO connectors. BER below 10-14 and optical link budgets in excess of 12 dB were measured, which would enable to integrate broadcasting. Integration of the optical backplane interconnect was successfully demonstrated by validating the overall digital processor functionality.

  16. Oxide-confined 2D VCSEL arrays for high-density inter/intra-chip interconnects

    Science.gov (United States)

    King, Roger; Michalzik, Rainer; Jung, Christian; Grabherr, Martin; Eberhard, Franz; Jaeger, Roland; Schnitzer, Peter; Ebeling, Karl J.

    1998-04-01

    We have designed and fabricated 4 X 8 vertical-cavity surface-emitting laser (VCSEL) arrays intended to be used as transmitters in short-distance parallel optical interconnects. In order to meet the requirements of 2D, high-speed optical links, each of the 32 laser diodes is supplied with two individual top contacts. The metallization scheme allows flip-chip mounting of the array modules junction-side down on silicon complementary metal oxide semiconductor (CMOS) chips. The optical and electrical characteristics across the arrays with device pitch of 250 micrometers are quite homogeneous. Arrays with 3 micrometers , 6 micrometers and 10 micrometers active diameter lasers have been investigated. The small devices show threshold currents of 600 (mu) A, single-mode output powers as high as 3 mW and maximum wavelength deviations of only 3 nm. The driving characteristics of all arrays are fully compatible to advanced 3.3 V CMOS technology. Using these arrays, we have measured small-signal modulation bandwidths exceeding 10 GHz and transmitted pseudo random data at 8 Gbit/s channel over 500 m graded index multimode fiber. This corresponds to a data transmission rate of 256 Gbit/s per array of 1 X 2 mm2 footprint area.

  17. 3D Interconnected V6O13 Nanosheets Grown on Carbonized Textile via a Seed-Assisted Hydrothermal Process as High-Performance Flexible Cathodes for Lithium-Ion Batteries

    Science.gov (United States)

    Xu, Shixing; Cen, Dingcheng; Gao, Peibo; Tang, Huang; Bao, Zhihao

    2018-03-01

    Three-dimensional (3D) free-standing nanostructured materials have been proven to be one of the most promising electrodes for energy storage due to their enhanced electrochemical performance. And they are also widely studied for the wearable energy storage systems. In this work, interconnected V6O13 nanosheets were grown on the flexible carbonized textile (c-textile) via a seed-assisted hydrothermal method to form a 3D free-standing electrode for lithium-ion batteries (LIBs). The electrode exhibited a specific capacity of 170 mA h g-1 at a specific current of 300 mA g-1. With carbon nanotube (CNT) coating, its specific capacities further increased 12-40% at the various current rates. It could retain a reversible capacity of 130 mA h g-1, 74% of the initial capacity after 300 cycles at the specific current of 300 mA g-1. It outperformed most of the mixed-valence vanadium oxides. The improved electrochemical performance was ascribed to the synergistic effect of the 3D nanostructure of V6O13 for feasible Li+ diffusion and transport and highly conductive hierarchical conductive network formed by CNT and carbon fiber in c-textile.

  18. Development of pore interconnectivity/morphology in porous silica films investigated by cyclic voltammetry and slow positron annihilation spectroscopy

    International Nuclear Information System (INIS)

    Tang, Xiuqin; Xiong, Bangyun; Li, Qichao; Mao, Wenfeng; Xiao, Wei; Fang, Pengfei; He, Chunqing

    2015-01-01

    Highlights: •Porous silica films were studied by cyclic voltammetry and positron annihilation. •Highly interconnected pores were formed in the film fabricated with more CTAB. •Aligned nanochannels were observed in the porous flim prepared with 25 wt.% CTAB. •I − and Ps diffusion in the films was governed by pore interconnectivity/morphology. •Cyclic voltammetry is feasible to explore pore interconnectivity/morphology. -- Abstract: Cyclic voltammetry and positronium (Ps) 3γ-annihilation spectroscopy were applied to investigate pore interconnectivity/morphology of porous silica films fabricated with various loading of cetyltrimethyl ammonium bromide (CTAB). With increasing the ratio of CTAB up to 15 wt.%, the total charge Q, resulted from I − diffusion across the silica films, increased remarkably, indicative of formation of highly interconnected pores in the films prepared with more porogen. However, it decreased dramatically with further loading CTAB of 25 wt.%. Interestingly, 3γ-annihilation fraction I 3γ due to a triplet-state Ps (ortho-positronium, o-Ps) emission from the silica films showed a similar behavior as a function of CTAB loading. The abnormal decrement in Q and I 3γ in the film fabricated with 25 wt.% CTAB was well explained by formation of long nanochannels aligning parallel to the film surface. The results indicated that the total charge Q and Ps 3γ-annihilation fraction were closely associated with I − and Ps diffusion governed by the pore interconnectivity/morphology of the silica films, which made cyclic voltammetry possible to be a feasible tool to characterize pore interconnectivity/morphology of porous thin films

  19. Floating dielectric slab optical interconnection between metal-dielectric interface surface plasmon polariton waveguides.

    Science.gov (United States)

    Kang, Minsu; Park, Junghyun; Lee, Il-Min; Lee, Byoungho

    2009-01-19

    A simple and effective optical interconnection which connects two distanced single metal-dielectric interface surface plasmon waveguides by a floating dielectric slab waveguide (slab bridge) is proposed. Transmission characteristics of the suggested structure are numerically studied using rigorous coupled wave analysis, and design rules based on the study are given. In the wave-guiding part, if the slab bridge can support more than the fundamental mode, then the transmission efficiency of the interconnection shows strong periodic dependency on the length of the bridge, due to the multi-mode interference (MMI) effect. Otherwise, only small fluctuation occurs due to the Fabry-Pérot effect. In addition, light beating happens when the slab bridge is relatively short. In the wave-coupling part, on the other hand, gap-assisted transmission occurs at each overlapping region as a consequence of mode hybridization. Periodic dependency on the length of the overlap region also appears due to the MMI effect. According to these results, we propose design principles for achieving both high transmission efficiency and stability with respect to the variation of the interconnection distance, and we show how to obtain the transmission efficiency of 68.3% for the 1mm-long interconnection.

  20. Optics vs copper: from the perspective of "Thunderbolt" interconnect technology

    Science.gov (United States)

    Cheng, Hengju; Krause, Christine; Ko, Jamyuen; Gao, Miaobin; Liu, Guobin; Wu, Huichin; Qi, Mike; Lam, Chun-Chit

    2013-02-01

    Interconnect technology has been progressed at a very fast pace for the past decade. The signaling rates have steadily increased from 100:Mb/s to 25Gb/s. In every generation of interconnect technology evolution, optics always seems to take over at first, however, at the end, the cost advantage of copper wins over. Because of this, optical interconnects are limited to longer distance links where the attenuation in copper cable is too large for the integrated circuits to compensate. Optical interconnect has long been viewed as the premier solution in compared with copper interconnect. With the release of Thunderbolt technology, we are entering a new era in consumer electronics that runs at 10Gb/s line rate (20Gb/s throughput per connector interface). Thunderbolt interconnect technology includes both active copper cables and active optical cables as the transmission media which have very different physical characteristics. In order for optics to succeed in consumer electronics, several technology hurdles need to be cleared. For example, the optical cable needs to handle the consumer abuses such as pinch and bend. Also, the optical engine used in the active optical cable needs to be physically very small so that we don't change the looks and feels of the cable/connector. Most importantly, the cost of optics needs to come down significantly to effectively compete with the copper solution. Two interconnect technologies are compared and discussed on the relative cost, power consumption, form factor, density, and future scalability.

  1. Fusion-bonded fluidic interconnects

    NARCIS (Netherlands)

    Fazal, I.; Elwenspoek, Michael Curt

    2008-01-01

    A new approach to realize fluidic interconnects based on the fusion bonding of glass tubes with silicon is presented. Fusion bond strength analyses have been carried out. Experiments with plain silicon wafers and coated with silicon oxide and silicon nitride are performed. The obtained results are

  2. All-zigzag graphene nanoribbons for planar interconnect application

    Science.gov (United States)

    Chen, Po-An; Chiang, Meng-Hsueh; Hsu, Wei-Chou

    2017-07-01

    A feasible "lightning-shaped" zigzag graphene nanoribbon (ZGNR) structure for planar interconnects is proposed. Based on the density functional theory and non-equilibrium Green's function, the electron transport properties are evaluated. The lightning-shaped structure increases significantly the conductance of the graphene interconnect with an odd number of zigzag chains. This proposed technique can effectively utilize the linear I-V characteristic of asymmetric ZGNRs for interconnect application. Variability study accounting for width/length variation and the edge effect is also included. The transmission spectra, transmission eigenstates, and transmission pathways are analyzed to gain the physical insights. This lightning-shaped ZGNR enables all 2D material-based devices and circuits on flexible and transparent substrates.

  3. Optical interconnect for large-scale systems

    Science.gov (United States)

    Dress, William

    2013-02-01

    This paper presents a switchless, optical interconnect module that serves as a node in a network of identical distribution modules for large-scale systems. Thousands to millions of hosts or endpoints may be interconnected by a network of such modules, avoiding the need for multi-level switches. Several common network topologies are reviewed and their scaling properties assessed. The concept of message-flow routing is discussed in conjunction with the unique properties enabled by the optical distribution module where it is shown how top-down software control (global routing tables, spanning-tree algorithms) may be avoided.

  4. Opto-Electronic and Interconnects Hierarchical Design Automation System (OE-IDEAS)

    National Research Council Canada - National Science Library

    Turowski, M

    2004-01-01

    As microelectronics technology continues to advance, the associated electrical interconnection technology is not likely to keep pace, due to many parasitic effects appearing in metallic interconnections...

  5. A model-based prognostic approach to predict interconnect failure using impedance analysis

    Energy Technology Data Exchange (ETDEWEB)

    Kwon, Dae Il; Yoon, Jeong Ah [Dept. of System Design and Control Engineering. Ulsan National Institute of Science and Technology, Ulsan (Korea, Republic of)

    2016-10-15

    The reliability of electronic assemblies is largely affected by the health of interconnects, such as solder joints, which provide mechanical, electrical and thermal connections between circuit components. During field lifecycle conditions, interconnects are often subjected to a DC open circuit, one of the most common interconnect failure modes, due to cracking. An interconnect damaged by cracking is sometimes extremely hard to detect when it is a part of a daisy-chain structure, neighboring with other healthy interconnects that have not yet cracked. This cracked interconnect may seem to provide a good electrical contact due to the compressive load applied by the neighboring healthy interconnects, but it can cause the occasional loss of electrical continuity under operational and environmental loading conditions in field applications. Thus, cracked interconnects can lead to the intermittent failure of electronic assemblies and eventually to permanent failure of the product or the system. This paper introduces a model-based prognostic approach to quantitatively detect and predict interconnect failure using impedance analysis and particle filtering. Impedance analysis was previously reported as a sensitive means of detecting incipient changes at the surface of interconnects, such as cracking, based on the continuous monitoring of RF impedance. To predict the time to failure, particle filtering was used as a prognostic approach using the Paris model to address the fatigue crack growth. To validate this approach, mechanical fatigue tests were conducted with continuous monitoring of RF impedance while degrading the solder joints under test due to fatigue cracking. The test results showed the RF impedance consistently increased as the solder joints were degraded due to the growth of cracks, and particle filtering predicted the time to failure of the interconnects similarly to their actual timesto- failure based on the early sensitivity of RF impedance.

  6. Examination of Critical Length Effect in Copper Interconnects With Oxide and Low-k Dielectrics

    International Nuclear Information System (INIS)

    Thrasher, Stacye; Gall, Martin; Justison, Patrick; Hernandez, Richard; Kawasaki, Hisao; Capasso, Cristiano; Nguyen, Timothy

    2004-01-01

    As technology moves toward faster microelectronic devices with smaller feature sizes, copper is replacing aluminum-copper alloy and low-k dielectric is replacing oxide as the materials of choice for advanced interconnect integrations. Copper not only brings to the table the advantage of lower resistivity, but also exhibits better electromigration performance when compared to Al(Cu). Low-k dielectric materials are advantageous because they reduce power consumption and improve signal delay. Due to these advantages, the industry trend is moving towards integrating copper and low-k dielectric for high performance interconnects. The purpose of this study is to evaluate the critical length effect in single-inlaid copper interconnects and determine the critical product (jl)c, for a variety of integrations, examining the effect of ILD (oxide vs. low-k), geometry, and stress temperature

  7. Synchrotron X-Ray Microdiffraction Studies of Electromigration in Interconnect lines at the Advanced Light Source

    International Nuclear Information System (INIS)

    Tamura, Nobumichi; Chen, Kai; Kunz, Martin

    2009-01-01

    Synchrotron polychromatic X-ray microdiffraction is a particularly suitable technique to study in situ the effect of electromigration in metal interconnects as add spatial resolution to grain orientation and strain sensitivity. This technique has been extensively used at the Advanced Light Source to monitor changes in aluminum and copper interconnect test structures while high-density current is passed into them during accelerated tests at elevated temperature. One of the principal findings is the observation of electromigration-induced plasticity in the metal lines that appear during the very early stages of electromigration. In some of the lines, high density of geometrically necessary dislocation are formed leading to additional diffusion paths causing an enhancement of electromigration effect at test temperature. This paper presents an overview of the principal results obtained from X-ray microdiffraction studies of electromigration effects on aluminum and copper interconnects at the ALS throughout continuous efforts that spanned over a decade (1998-2008) from approximately 40 weeks of combined beamtime.

  8. Synchrotron X-Ray Microdiffraction Studies of Electromigration in Interconnect lines at the Advanced Light Source

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Nobumichi; Chen, Kai; Kunz, Martin

    2009-05-01

    Synchrotron polychromatic X-ray microdiffraction is a particularly suitable technique to study in situ the effect of electromigration in metal interconnects as add spatial resolution to grain orientation and strain sensitivity. This technique has been extensively used at the Advanced Light Source to monitor changes in aluminum and copper interconnect test structures while high-density current is passed into them during accelerated tests at elevated temperature. One of the principal findings is the observation of electromigration-induced plasticity in the metal lines that appear during the very early stages of electromigration. In some of the lines, high density of geometrically necessary dislocation are formed leading to additional diffusion paths causing an enhancement of electromigration effect at test temperature. This paper presents an overview of the principal results obtained from X-ray microdiffraction studies of electromigration effects on aluminum and copper interconnects at the ALS throughout continuous efforts that spanned over a decade (1998-2008) from approximately 40 weeks of combined beamtime.

  9. Stability Analysis of Interconnected Fuzzy Systems Using the Fuzzy Lyapunov Method

    Directory of Open Access Journals (Sweden)

    Ken Yeh

    2010-01-01

    Full Text Available The fuzzy Lyapunov method is investigated for use with a class of interconnected fuzzy systems. The interconnected fuzzy systems consist of J interconnected fuzzy subsystems, and the stability analysis is based on Lyapunov functions. Based on traditional Lyapunov stability theory, we further propose a fuzzy Lyapunov method for the stability analysis of interconnected fuzzy systems. The fuzzy Lyapunov function is defined in fuzzy blending quadratic Lyapunov functions. Some stability conditions are derived through the use of fuzzy Lyapunov functions to ensure that the interconnected fuzzy systems are asymptotically stable. Common solutions can be obtained by solving a set of linear matrix inequalities (LMIs that are numerically feasible. Finally, simulations are performed in order to verify the effectiveness of the proposed stability conditions in this paper.

  10. 75 FR 40815 - PJM Interconnection, L.L.C.; Notice of Filing

    Science.gov (United States)

    2010-07-14

    ... Interconnection, L.L.C.; Notice of Filing July 7, 2010. Take notice that on July 1, 2010, PJM Interconnection, L.L.C. (PJM) filed revised sheets to Schedule 1 of the Amended and Restated Operating Agreement of PJM Interconnection, L.L.C. (Operating Agreement) and the parallel provisions of Attachment K--Appendix of the PJM...

  11. 75 FR 22773 - PJM Interconnection, L.L.C.; Notice of Filing

    Science.gov (United States)

    2010-04-30

    ... Interconnection, L.L.C.; Notice of Filing April 23, 2010. Take notice that on April 22, 2010, PJM Interconnection, L.L.C. (PJM) filed revised tariff sheets to its Schedule 1 of the Amended and Restated Operating... (Commission) March 23, 2010 Order on Compliance Filing, PJM Interconnection, L.L.C., 130 FERC ] 61,230 (2010...

  12. 77 FR 34378 - PJM Interconnection, L.L.C.; Notice of Complaint

    Science.gov (United States)

    2012-06-11

    ... Interconnection, L.L.C.; Notice of Complaint Take notice that on June 1, 2012, pursuant to section 206 of the Federal Power Act (FPA), 16 U.S.C. 824(e), PJM Interconnection, L.L.C. (PJM) filed proposed revisions to the Amended and Restated Operating Agreement of PJM Interconnection L.L.C. (Operating Agreement) to...

  13. Interconnection network architectures based on integrated orbital angular momentum emitters

    Science.gov (United States)

    Scaffardi, Mirco; Zhang, Ning; Malik, Muhammad Nouman; Lazzeri, Emma; Klitis, Charalambos; Lavery, Martin; Sorel, Marc; Bogoni, Antonella

    2018-02-01

    Novel architectures for two-layer interconnection networks based on concentric OAM emitters are presented. A scalability analysis is done in terms of devices characteristics, power budget and optical signal to noise ratio by exploiting experimentally measured parameters. The analysis shows that by exploiting optical amplifications, the proposed interconnection networks can support a number of ports higher than 100. The OAM crosstalk induced-penalty, evaluated through an experimental characterization, do not significantly affect the interconnection network performance.

  14. A two-level voltage source inverter with differentially sinusoidal pulse width modulation used in the interconnection system of a wind turbine generator

    Directory of Open Access Journals (Sweden)

    Alexandros C. Charalampidis

    2014-10-01

    Full Text Available This study analyses an interconnection system based on differentially sinusoidal pulse width modulation, used for the interconnection to the grid of a variable speed wind turbine. The modulation technique used provides specific advantages in comparison with the commonly used sinusoidal pulse width modulation (SPWM technique, such as lower DC bus voltage requirements, smaller switching losses for the same switching frequency as well as less higher harmonic content in the voltage waveforms produced. The respective control system is also described in detail. Thus this study provides a guide enabling the design of any interconnection system based on this modulation technique.

  15. Message Passing Framework for Globally Interconnected Clusters

    International Nuclear Information System (INIS)

    Hafeez, M; Riaz, N; Asghar, S; Malik, U A; Rehman, A

    2011-01-01

    In prevailing technology trends it is apparent that the network requirements and technologies will advance in future. Therefore the need of High Performance Computing (HPC) based implementation for interconnecting clusters is comprehensible for scalability of clusters. Grid computing provides global infrastructure of interconnecting clusters consisting of dispersed computing resources over Internet. On the other hand the leading model for HPC programming is Message Passing Interface (MPI). As compared to Grid computing, MPI is better suited for solving most of the complex computational problems. MPI itself is restricted to a single cluster. It does not support message passing over the internet to use the computing resources of different clusters in an optimal way. We propose a model that provides message passing capabilities between parallel applications over the internet. The proposed model is based on Architecture for Java Universal Message Passing (A-JUMP) framework and Enterprise Service Bus (ESB) named as High Performance Computing Bus. The HPC Bus is built using ActiveMQ. HPC Bus is responsible for communication and message passing in an asynchronous manner. Asynchronous mode of communication offers an assurance for message delivery as well as a fault tolerance mechanism for message passing. The idea presented in this paper effectively utilizes wide-area intercluster networks. It also provides scheduling, dynamic resource discovery and allocation, and sub-clustering of resources for different jobs. Performance analysis and comparison study of the proposed framework with P2P-MPI are also presented in this paper.

  16. Global On-Chip Differential Interconnects with Optimally-Placed Twists

    NARCIS (Netherlands)

    Mensink, E.; Schinkel, Daniel; Klumperink, Eric A.M.; van Tuijl, Adrianus Johannes Maria; Nauta, Bram

    2005-01-01

    Global on-chip communication is receiving quite some attention as global interconnects are rapidly becoming a speed, power and reliability bottleneck for digital CMOS systems. Recently, we proposed a bus-transceiver test chip in 0.13 μm CMOS using 10 mm long uninterrupted differential interconnects

  17. 76 FR 16405 - Notice of Attendance at PJM INterconnection, L.L.C., Meetings

    Science.gov (United States)

    2011-03-23

    ... INterconnection, L.L.C., Meetings The Federal Energy Regulatory Commission (Commission) hereby gives notice that members of the Commission and Commission staff may attend upcoming PJM Interconnection, L.L.C., (PJM...: Docket No. EL05-121, PJM Interconnection, L.L.C. Docket No. ER06-456, PJM Interconnection, L.L.C. Docket...

  18. Time Domain Analysis of Graphene Nanoribbon Interconnects Based on Transmission Line ‎Model

    Directory of Open Access Journals (Sweden)

    S. Haji Nasiri

    2012-03-01

    Full Text Available Time domain analysis of multilayer graphene nanoribbon (MLGNR interconnects, based on ‎transmission line modeling (TLM using a six-order linear parametric expression, has been ‎presented for the first time. We have studied the effects of interconnect geometry along with ‎its contact resistance on its step response and Nyquist stability. It is shown that by increasing ‎interconnects dimensions their propagation delays are increased and accordingly the system ‎becomes relatively more stable. In addition, we have compared time responses and Nyquist ‎stabilities of MLGNR and SWCNT bundle interconnects, with the same external dimensions. ‎The results show that under the same conditions, the propagation delays for MLGNR ‎interconnects are smaller than those of SWCNT bundle interconnects are. Hence, SWCNT ‎bundle interconnects are relatively more stable than their MLGNR rivals.‎

  19. Assessment of on-farm anaerobic digester grid interconnections

    International Nuclear Information System (INIS)

    Ruhnke, W.

    2006-01-01

    While several anaerobic digestion (AD) pilot plants have recently been built in Canada, early reports suggest that interconnection barriers are delaying their widescale implementation. This paper examined grid interconnection experiences from the perspectives of farmers, local distributing companies (LDCs) and other stakeholders. The aim of the paper was to identify challenges to the implementation of AD systems. Case studies included an Ontario Dairy Herd AD system generating 50 kW; a Saskatchewan hog farm AD system generating 120 kW and an Alberta outdoor beef feedlot AD system generating 1000 kW. Two survey forms were created for project operators, and LDCs. The following 3 category barriers were identified: (1) technical concerns over islanding conditions, power quality requirements, power flow studies and other engineering analyses; (2) business practices barriers such as a lack of response after initial utility contact; and (3) regulatory barriers including the unavailability of fair buy-back rates, the lack of net metering programs, restrictive net metering programs, and pricing issues. It was suggested that collaborative efforts among all stakeholders are needed to resolve barriers quickly. Recommendations included the adoption of uniform technical standards for connecting generators to the grid, as well as adopting standard commercial practices for any required LDC interconnection review. It was also suggested that standard business terms for interconnection agreements should be established. Regulatory principles should be compatible with distributed power choices in regulated and unregulated markets. It was concluded that resolving interconnection barriers is a critical step towards realizing market opportunities available for AD technologies. refs., tabs., figs

  20. An Interconnected Network of Core-Forming Melts Produced by Shear Deformation

    Science.gov (United States)

    Bruhn, D.; Groebner, N.; Kohlstedt, D. L.

    2000-01-01

    The formation mechanism of terrestrial planetary is still poorly understood, and has been the subject of numerous experimental studies. Several mechanisms have been proposed by which metal-mainly iron with some nickel-could have been extracted from a silicate mantle to form the core. Most recent models involve gravitational sinking of molten metal or metal sulphide through a partially or fully molten mantle that is often referred to as a'magma ocean. Alternative models invoke percolation of molten metal along an interconnected network (that is, porous flow) through a solid silicate matrix. But experimental studies performed at high pressures have shown that, under hydrostatic conditions, these melts do not form an interconnected network, leading to the widespread assumption that formation of metallic cores requires a magma ocean. In contrast, here we present experiments which demonstrate that shear deformation to large strains can interconnect a significant fraction of initially isolated pockets of metal and metal sulphide melts in a solid matrix of polycrystalline olivine. Therefore, in a dynamic (nonhydrostatic) environment, percolation remains a viable mechanism for the segregation and migration of core-forming melts in a solid silicate mantle.

  1. Embedded Ag quantum dots into interconnected Co3O4 nanosheets grown on 3D graphene networks for high stable and flexible supercapacitors

    International Nuclear Information System (INIS)

    Wang, Junya; Dou, Wei; Zhang, Xuetao; Han, Weihua; Mu, Xuemei; Zhang, Yue; Zhao, Xiaohua; Chen, Youxin; Yang, Zhiwei; Su, Qing; Xie, Erqing; Lan, Wei; Wang, Xinran

    2017-01-01

    High stable, flexible and interconnected Co 3 O 4 nanosheets with embedded Ag quantum dots (AgQDs) were uniformly grown on three dimensional graphene (3DG) networks and served as supercapacitor electrode to enhance the pseudocapacitance performance. The AgQDs were used to facilitate the growth of the Co 3 O 4 nanosheets and improve the electrical conductivity of the hybrid electrode by forming a good ohmic contact and provide direct and stable pathways for rapid electron transport. The AgQDs contribute to produce an improved areal capacitance of 421 mF cm −2 (1052.5 F g −1 ) and 53.3 mF cm −2 for the Ag/Co 3 O 4 /3DG hybrid, for both the three- and the two-electrode configuration, respectively. These values are about three times higher compared to a pristine Co 3 O 4 /3DG electrode. The capacitance retention of ∼120% after 10 4 cycles shows that a Ag/Co 3 O 4 /3DG hybrid can provide a long and stable cycle performance with a high specific capacitance. This study provides an effective strategy to improve the performance of electrode materials for supercapacitors with a high efficiency and long life, which makes them promising candidates for future energy-storage applications.

  2. Compact Interconnection Networks Based on Quantum Dots

    Science.gov (United States)

    Fijany, Amir; Toomarian, Nikzad; Modarress, Katayoon; Spotnitz, Matthew

    2003-01-01

    Architectures that would exploit the distinct characteristics of quantum-dot cellular automata (QCA) have been proposed for digital communication networks that connect advanced digital computing circuits. In comparison with networks of wires in conventional very-large-scale integrated (VLSI) circuitry, the networks according to the proposed architectures would be more compact. The proposed architectures would make it possible to implement complex interconnection schemes that are required for some advanced parallel-computing algorithms and that are difficult (and in many cases impractical) to implement in VLSI circuitry. The difficulty of implementation in VLSI and the major potential advantage afforded by QCA were described previously in Implementing Permutation Matrices by Use of Quantum Dots (NPO-20801), NASA Tech Briefs, Vol. 25, No. 10 (October 2001), page 42. To recapitulate: Wherever two wires in a conventional VLSI circuit cross each other and are required not to be in electrical contact with each other, there must be a layer of electrical insulation between them. This, in turn, makes it necessary to resort to a noncoplanar and possibly a multilayer design, which can be complex, expensive, and even impractical. As a result, much of the cost of designing VLSI circuits is associated with minimization of data routing and assignment of layers to minimize crossing of wires. Heretofore, these considerations have impeded the development of VLSI circuitry to implement complex, advanced interconnection schemes. On the other hand, with suitable design and under suitable operating conditions, QCA-based signal paths can be allowed to cross each other in the same plane without adverse effect. In principle, this characteristic could be exploited to design compact, coplanar, simple (relative to VLSI) QCA-based networks to implement complex, advanced interconnection schemes. The proposed architectures require two advances in QCA-based circuitry beyond basic QCA-based binary

  3. The economic impacts of a submarine HVDC interconnection between Norway and Great Britain

    International Nuclear Information System (INIS)

    Doorman, Gerard L.; Frøystad, Dag Martin

    2013-01-01

    In the present paper we analyze the profitability of different HVDC interconnection alternatives between Norway and Great Britain for present and future scenarios. The analysis is done from a merchant and a social welfare perspective. The analyses include interconnections between Norway and Scotland and Southern Great Britain, respectively, as well as an alternative link to a future offshore wind farm. From a social welfare perspective the northern interconnection alternative is profitable under all sets of assumptions. The southern alternative is profitable under present conditions, but less than the northern alternative. The alternative link to the offshore wind park is not profitable, but this result is highly dependent on market conditions. From a merchant perspective none of the alternatives is profitable, clearly illustrating that leaving investments to commercial parties does not realize all projects that increase social welfare. - Highlights: • Profitability of interconnection between Norway and GB is analyzed using simulation. • The Northern alternative increases social welfare under all assumptions. • None of the alternatives is profitable from a merchant perspective. • A link to a prospective wind farm 200 km from the GB coast is not profitable. • Social welfare increasing infrastructure may not be built on commercial conditions

  4. A combined SEM and CV Study of Solid Oxide Fuel Cell Interconnect Steels

    DEFF Research Database (Denmark)

    Kammer Hansen, Kent; Ofoegbu, Stanley; Mikkelsen, Lars

    2012-01-01

    Scanning electron microscopy and cyclic voltammetry were used to investigate the high temperature oxidation behavior of two solid oxide fuel cell interconnect steels. One alloy had a low content of manganese; the other alloy had a high content of manganese. Four reduction and four oxidation peaks...

  5. Electronic interconnects and devices with topological surface states and methods for fabricating same

    Science.gov (United States)

    Yazdani, Ali; Ong, N. Phuan; Cava, Robert J.

    2016-05-03

    An interconnect is disclosed with enhanced immunity of electrical conductivity to defects. The interconnect includes a material with charge carriers having topological surface states. Also disclosed is a method for fabricating such interconnects. Also disclosed is an integrated circuit including such interconnects. Also disclosed is a gated electronic device including a material with charge carriers having topological surface states.

  6. Electronic interconnects and devices with topological surface states and methods for fabricating same

    Energy Technology Data Exchange (ETDEWEB)

    Yazdani, Ali; Ong, N. Phuan; Cava, Robert J.

    2017-04-04

    An interconnect is disclosed with enhanced immunity of electrical conductivity to defects. The interconnect includes a material with charge carriers having topological surface states. Also disclosed is a method for fabricating such interconnects. Also disclosed is an integrated circuit including such interconnects. Also disclosed is a gated electronic device including a material with charge carriers having topological surface states.

  7. CAISSON: Interconnect Network Simulator

    Science.gov (United States)

    Springer, Paul L.

    2006-01-01

    Cray response to HPCS initiative. Model future petaflop computer interconnect. Parallel discrete event simulation techniques for large scale network simulation. Built on WarpIV engine. Run on laptop and Altix 3000. Can be sized up to 1000 simulated nodes per host node. Good parallel scaling characteristics. Flexible: multiple injectors, arbitration strategies, queue iterators, network topologies.

  8. One-step fabrication of microfluidic chips with in-plane, adhesive-free interconnections

    International Nuclear Information System (INIS)

    Sabourin, D; Dufva, M; Jensen, T; Kutter, J; Snakenborg, D

    2010-01-01

    A simple method for creating interconnections to a common microfluidic device material, poly(methyl methacrylate) (PMMA), is presented. A press-fit interconnection is created between oversized, deformable tubing and complementary, undersized semi-circular ports fabricated into PMMA bonding surfaces by direct micromilling. Upon UV-assisted bonding the tubing is trapped in the ports of the PMMA chip and forms an integrated, in-plane and adhesive-free interconnection. The interconnections support the average pressure of 6.1 bar and can be made with small dead volumes. A comparison is made to a similar interconnection approach which uses tubing to act as a gasket between a needle and port on the microfluidic chip. (technical note)

  9. Advanced Platform for Development and Evaluation of Grid Interconnection Systems Using Hardware-in-the-Loop: Part III - Grid Interconnection System Evaluator

    Energy Technology Data Exchange (ETDEWEB)

    Lundstrom, B.; Shirazi, M.; Coddington, M.; Kroposki, B.

    2013-01-01

    This paper describes a Grid Interconnection System Evaluator (GISE) that leverages hardware-in-the-loop (HIL) simulation techniques to rapidly evaluate the grid interconnection standard conformance of an ICS according to the procedures in IEEE Std 1547.1. The architecture and test sequencing of this evaluation tool, along with a set of representative ICS test results from three different photovoltaic (PV) inverters, are presented. The GISE adds to the National Renewable Energy Laboratory's (NREL) evaluation platform that now allows for rapid development of ICS control algorithms using controller HIL (CHIL) techniques, the ability to test the dc input characteristics of PV-based ICSs through the use of a PV simulator capable of simulating real-world dynamics using power HIL (PHIL), and evaluation of ICS grid interconnection conformance.

  10. Interconnected Power Systems Mexico-Guatemala financed by BID

    International Nuclear Information System (INIS)

    Martinez, Veronica

    2003-01-01

    The article describes the plans for the interconnection of the electric power systems of Guatemala, El Salvador, Honduras, Nicaragua, Costa Rica, Panama and Mexico within the project Plan Pueba Panama. The objective of the interconnection is to create an electric market in the region that contributes to reduce costs and prices. The project will receive a financing of $37.5 millions of US dollars from the Banco Intrameramericano de Desarrollo (BID)

  11. Si micro photonics for optical interconnection

    International Nuclear Information System (INIS)

    Wada, K.; Ahn, D.H.; Lim, D.R.; Michel, J.; Kimerling, L.C.

    2006-01-01

    This paper reviews current status of silicon microphotonics and the recent prototype of on-chip optical interconnection. Si microphotonics pursues complementary metal oxide semiconductor (CMOS)-compatibility of photonic devices to reduce the materials diversity eventually to integrate on Si chips. Fractal optical H-trees have been implemented on a chip and found to be a technology breakthrough beyond metal interconnection. It has shown that large RC time constants associated with metal can be eliminated at least long distant data communication on a chip, and eventually improve yield and power issues. This has become the world's first electronic and photonic integrated circuits (EPICs) and the possibility of at least 10 GHz clocking for personal computers has been demonstrated

  12. Robert Aymar seals the last interconnect in the LHC

    CERN Multimedia

    Maximilien Brice

    2007-01-01

    The LHC completes the circle. On 7 November, in a brief ceremony in the LHC tunnel, CERN Director General Robert Aymar (Photo 1) sealed the last interconnect between the main magnets of the Large Hadron Collider (LHC). Jean-Philippe Tock, leader of the Interconnections team, tightens the last bolt (Photos 4-8).

  13. The variability of interconnected wind plants

    International Nuclear Information System (INIS)

    Katzenstein, Warren; Fertig, Emily; Apt, Jay

    2010-01-01

    We present the first frequency-dependent analyses of the geographic smoothing of wind power's variability, analyzing the interconnected measured output of 20 wind plants in Texas. Reductions in variability occur at frequencies corresponding to times shorter than ∼24 h and are quantified by measuring the departure from a Kolmogorov spectrum. At a frequency of 2.8x10 -4 Hz (corresponding to 1 h), an 87% reduction of the variability of a single wind plant is obtained by interconnecting 4 wind plants. Interconnecting the remaining 16 wind plants produces only an additional 8% reduction. We use step change analyses and correlation coefficients to compare our results with previous studies, finding that wind power ramps up faster than it ramps down for each of the step change intervals analyzed and that correlation between the power output of wind plants 200 km away is half that of co-located wind plants. To examine variability at very low frequencies, we estimate yearly wind energy production in the Great Plains region of the United States from automated wind observations at airports covering 36 years. The estimated wind power has significant inter-annual variability and the severity of wind drought years is estimated to be about half that observed nationally for hydroelectric power.

  14. An RLC interconnect analyzable crosstalk model considering self-heating effect

    International Nuclear Information System (INIS)

    Zhu Zhang-Ming; Liu Shu-Bin

    2012-01-01

    According to the thermal profile of actual multilevel interconnects, in this paper we propose a temperature distribution model of multilevel interconnects and derive an analytical crosstalk model for the distributed resistance—inductance—capacitance (RLC) interconnect considering effect of thermal profile. According to the 65-nm complementary metal—oxide semiconductor (CMOS) process, we compare the proposed RLC analytical crosstalk model with the Hspice simulation results for different interconnect coupling conditions and the absolute error is within 6.5%. The computed results of the proposed analytical crosstalk model show that RCL crosstalk decreases with the increase of current density and increases with the increase of insulator thickness. This analytical crosstalk model can be applied to the electronic design automation (EDA) and the design optimization for nanometer CMOS integrated circuits. (interdisciplinary physics and related areas of science and technology)

  15. Carbon nanotube based VLSI interconnects analysis and design

    CERN Document Server

    Kaushik, Brajesh Kumar

    2015-01-01

    The brief primarily focuses on the performance analysis of CNT based interconnects in current research scenario. Different CNT structures are modeled on the basis of transmission line theory. Performance comparison for different CNT structures illustrates that CNTs are more promising than Cu or other materials used in global VLSI interconnects. The brief is organized into five chapters which mainly discuss: (1) an overview of current research scenario and basics of interconnects; (2) unique crystal structures and the basics of physical properties of CNTs, and the production, purification and applications of CNTs; (3) a brief technical review, the geometry and equivalent RLC parameters for different single and bundled CNT structures; (4) a comparative analysis of crosstalk and delay for different single and bundled CNT structures; and (5) various unique mixed CNT bundle structures and their equivalent electrical models.

  16. Effects of advanced process approaches on electromigration degradation of Cu on-chip interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Meyer, M.A.

    2007-07-12

    This thesis provides a methodology for the investigation of electromigration (EM) in Cu-based interconnects. An experimental framework based on in-situ scanning electron microscopy (SEM) investigations was developed for that purpose. It is capable to visualize the EM-induced void formation and evolution in multi-level test structures in real time. Different types of interconnects were investigated. Furthermore, stressed and unstressed samples were studied applying advanced physical analysis techniques in order to obtain additional information about the microstructure of the interconnects as well as interfaces and grain boundaries. These data were correlated to the observed degradation phenomena. Correlations of the experimental results to recently established theoretical models were highlighted. Three types of Cu-based interconnects were studied. Pure Cu interconnects were compared to Al-alloyed (CuAl) and CoWP-coated interconnects. The latter two represent potential approaches that address EM-related reliability concerns. It was found that in such interconnects the dominant diffusion path is no longer the Cu/capping layer interface for interconnects as in pure Cu interconnects. Instead, void nucleation occurs at the bottom Cu/barrier interface with significant effects from grain boundaries. Moreover, the in-situ investigations revealed that the initial void nucleation does not occur at the cathode end of the lines but several micrometers away from it. The mean times-to-failure of CuAl and CoWP-coated interconnects were increased by at least one order of magnitude compared to Cu interconnects. The improvements were attributed to the presence of foreign metal atoms at the Cu/capping layer interface. Post-mortem EBSD investigations were used to reveal the microstructure of the tested samples. The data were correlated to the in-situ observations. (orig.)

  17. A sewing-enabled stitch-and-transfer method for robust, ultra-stretchable, conductive interconnects

    International Nuclear Information System (INIS)

    Rahimi, Rahim; Ochoa, Manuel; Yu, Wuyang; Ziaie, Babak

    2014-01-01

    Fabricating highly stretchable and robust electrical interconnects at low-cost remains an unmet challenge in stretchable electronics. Previously reported stretchable interconnects require complicated fabrication processes with resulting devices exhibiting limited stretchability, poor reliability, and large gauge factors. Here, we demonstrate a novel sew-and-transfer method for rapid fabrication of low-cost, highly stretchable interconnects. Using a commercial sewing machine and double-thread stitch with one of the threads being water soluble polyvinyl alcohol (PVA), thin zigzag-pattern metallic wires are sewn into a polymeric film and are subsequently transferred onto a stretchable elastomeric substrate by dissolving PVA in warm water. The resulting structures exhibit extreme stretchability (exceeding 500% strain for a zigzag angle of 18 °) and robustness (capable of withstanding repeated stretch-and-release cycles of 15000 at 110% strain, 50000 at 55% strain, and  > 120000 at 30% strain without any noticeable change in resistance even at maximum strain levels). Using this technique, we demonstrate a stretchable inductive strain sensor for monitoring balloon expansion in a Foley urinary catheter capable of detecting the balloon diameter change from 9 mm to 38 mm with an average sensitivity of 4 nH/mm. (paper)

  18. The first LHC sector is fully interconnected

    CERN Multimedia

    2006-01-01

    Sector 7-8 is the first sector of the LHC to become fully operational. All the magnets, cryogenic line, vacuum chambers and services are interconnected. The cool down of this sector can soon commence. LHC project leader Lyn Evans, the teams from CERN's AT/MCS, AT/VAC and AT/MEL groups, and the members of the IEG consortium celebrate the completion of the first LHC sector. The 10th of November was a red letter day for the LHC accelerator teams, marking the completion of the first sector of the machine. The magnets of sector 7-8, together with the cryogenic line, the vacuum chambers and the distribution feedboxes (DFBs) are now all completely interconnected. Sector 7-8 has thus been closed and is the first LHC sector to become operational. The interconnection work required several thousand electrical, cryogenic and insulating connections to be made on the 210 interfaces between the magnets in the arc, the 30 interfaces between the special magnets and the interfaces with the cryogenic line. 'This represent...

  19. 32 x 16 CMOS smart pixel array for optical interconnects

    Science.gov (United States)

    Kim, Jongwoo; Guilfoyle, Peter S.; Stone, Richard V.; Hessenbruch, John M.; Choquette, Kent D.; Kiamilev, Fouad E.

    2000-05-01

    Free space optical interconnects can increase throughput capacities and eliminate much of the energy consumption required for `all electronic' systems. High speed optical interconnects can be achieved by integrating optoelectronic devices with conventional electronics. Smart pixel arrays have been developed which use optical interconnects. An individual smart pixel cell is composed of a vertical cavity surface emitting laser (VCSEL), a photodetector, an optical receiver, a laser driver, and digital logic circuitry. Oxide-confined VCSELs are being developed to operate at 850 nm with a threshold current of approximately 1 mA. Multiple quantum well photodetectors are being fabricated from AlGaAs for use with the 850 nm VCSELs. The VCSELs and photodetectors are being integrated with complementary metal oxide semiconductor (CMOS) circuitry using flip-chip bonding. CMOS circuitry is being integrated with a 32 X 16 smart pixel array. The 512 smart pixels are serially linked. Thus, an entire data stream may be clocked through the chip and output electrically by the last pixel. Electrical testing is being performed on the CMOS smart pixel array. Using an on-chip pseudo random number generator, a digital data sequence was cycled through the chip verifying operation of the digital circuitry. Although, the prototype chip was fabricated in 1.2 micrometers technology, simulations have demonstrated that the array can operate at 1 Gb/s per pixel using 0.5 micrometers technology.

  20. System interconnection studies using WASP

    Energy Technology Data Exchange (ETDEWEB)

    Bayrak, Y [Turkish Electricity Generation and Transmission Corp., Ankara (Turkey)

    1997-09-01

    The aim of this paper is to describe the application of WASP as a modelling tool for determining the development of two electric systems with interconnections. A case study has been carried out to determine the possibilities of transfer of baseload energy between Turkey and a neighboring country. The objective of this case study is to determine the amount of energy that can be transferred, variations of Loss Probability (LOLP) and unserved energy, and the cost of additional generation with interconnection. The break-even cost will be determined to obtain the minimum charge rate at which TEAS (Turkish Electricity Generation-Transmission Corp.) needs to sell the energy in order to recover the costs. The minimum charge rate for both capacity and energy will be estimated without considering extra capacity additions, except for the ones needed by the Turkish system alone. (author). 2 figs, 3 tabs.

  1. Probabilistic interconnection between interdependent networks promotes cooperation in the public goods game

    International Nuclear Information System (INIS)

    Wang, Baokui; Chen, Xiaojie; Wang, Long

    2012-01-01

    Most previous works study the evolution of cooperation in a structured population by commonly employing an isolated single network. However, realistic systems are composed of many interdependent networks coupled with each other, rather than an isolated single one. In this paper, we consider a system including two interacting networks with the same size, entangled with each other by the introduction of probabilistic interconnections. We introduce the public goods game into such a system, and study how the probabilistic interconnection influences the evolution of cooperation of the whole system and the coupling effect between two layers of interdependent networks. Simulation results show that there exists an intermediate region of interconnection probability leading to the maximum cooperation level in the whole system. Interestingly, we find that at the optimal interconnection probability the fraction of internal links between cooperators in two layers is maximal. Also, even if initially there are no cooperators in one layer of interdependent networks, cooperation can still be promoted by probabilistic interconnection, and the cooperation levels in both layers can more easily reach an agreement at the intermediate interconnection probability. Our results may be helpful in understanding cooperative behavior in some realistic interdependent networks and thus highlight the importance of probabilistic interconnection on the evolution of cooperation. (paper)

  2. Updating Small Generator Interconnection Procedures for New Market Conditions

    Energy Technology Data Exchange (ETDEWEB)

    Coddington, M.; Fox, K.; Stanfield, S.; Varnado, L.; Culley, T.; Sheehan, M.

    2012-12-01

    Federal and state regulators are faced with the challenge of keeping interconnection procedures updated against a backdrop of evolving technology, new codes and standards, and considerably transformed market conditions. This report is intended to educate policymakers and stakeholders on beneficial reforms that will keep interconnection processes efficient and cost-effective while maintaining a safe and reliable power system.

  3. Interconnection test framework for the CMS level-1 trigger system

    International Nuclear Information System (INIS)

    Hammer, J.; Magrans de Abril, M.; Wulz, C.E.

    2012-01-01

    The Level-1 Trigger Control and Monitoring System is a software package designed to configure, monitor and test the Level-1 Trigger System of the Compact Muon Solenoid (CMS) experiment at CERN's Large Hadron Collider. It is a large and distributed system that runs over 50 PCs and controls about 200 hardware units. The objective of this paper is to describe and evaluate the architecture of a distributed testing framework - the Interconnection Test Framework (ITF). This generic and highly flexible framework for creating and executing hardware tests within the Level-1 Trigger environment is meant to automate testing of the 13 major subsystems interconnected with more than 1000 links. Features include a web interface to create and execute tests, modeling using finite state machines, dependency management, automatic configuration, and loops. Furthermore, the ITF will replace the existing heterogeneous testing procedures and help reducing both maintenance and complexity of operation tasks. (authors)

  4. Recovery Act - CAREER: Sustainable Silicon -- Energy-Efficient VLSI Interconnect for Extreme-Scale Computing

    Energy Technology Data Exchange (ETDEWEB)

    Chiang, Patrick [Oregon State Univ., Corvallis, OR (United States)

    2014-01-31

    The research goal of this CAREER proposal is to develop energy-efficient, VLSI interconnect circuits and systems that will facilitate future massively-parallel, high-performance computing. Extreme-scale computing will exhibit massive parallelism on multiple vertical levels, from thou­ sands of computational units on a single processor to thousands of processors in a single data center. Unfortunately, the energy required to communicate between these units at every level (on­ chip, off-chip, off-rack) will be the critical limitation to energy efficiency. Therefore, the PI's career goal is to become a leading researcher in the design of energy-efficient VLSI interconnect for future computing systems.

  5. Electric network interconnection of Mashreq Arab Countries

    International Nuclear Information System (INIS)

    El-Amin, I.M.; Al-Shehri, A.M.; Opoku, G.; Al-Baiyat, S.A.; Zedan, F.M.

    1994-01-01

    Power system interconnection is a well established practice for a variety of technical and economical reasons. Several interconnected networks exist worldwide for a number of factors. Some of these networks cross international boundaries. This presentation discusses the future developments of the power systems of Mashreq Arab Countries (MAC). MAC consists of Bahrain, Egypt, Iraq, Jordan, Kuwait, Lebanon, Oman, Qatar, Saudi Arabia, United Arab Emirates (UAE), and Yemen. Mac power systems are operated by government or semigovernment bodies. Many of these countries have national or regional electric grids but are generally isolated from each other. With the exception of Saudi Arabia power systems, which employ 60 Hz, all other MAC utilities use 50 Hz frequency. Each country is served by one utility, except Saudi Arabia, which is served by four major utilities and some smaller utilities serving remote towns and small load centers. The major utilities are the Saudi Consolidated electric Company in the Eastern Province (SCECO East), SCECO Center, SCECO West, and SCECO South. These are the ones considered in this study. The energy resources in MAC are varied. Countries such as Egypt, Iraq, and Syria have significant hydro resources.The gulf countries and Iraq have abundant fossil fuel, The variation in energy resources as well as the characteristics of the electric load make it essential to look into interconnections beyond the national boundaries. Most of the existing or planned interconnections involve few power systems. A study involving 12 countries and over 20 utilities with different characteristics represents a very large scale undertaking

  6. Local Network Wideband Interconnection Alternatives.

    Science.gov (United States)

    1984-01-01

    signal. 3.2.2 Limitations Although satellites offer the advantages of insensitivity to distance, point-to-multipoint communication capability and...Russell, the CATV franchisee for the town of Bedford, has not yit set rates for leasing channels on their network. If this network were interconnected

  7. Copper Nanowire Production for Interconnect Applications

    Science.gov (United States)

    Han, Jin-Woo (Inventor); Meyyappan, Meyya (Inventor)

    2014-01-01

    A method of fabricating metallic Cu nanowires with lengths up to about 25 micrometers and diameters in a range 20-100 nanometers, or greater if desired. Vertically oriented or laterally oriented copper oxide structures (CuO and/or Cu2O) are grown on a Cu substrate. The copper oxide structures are reduced with 99+ percent H or H2, and in this reduction process the lengths decrease (to no more than about 25 micrometers), the density of surviving nanostructures on a substrate decreases, and the diameters of the surviving nanostructures have a range, of about 20-100 nanometers. The resulting nanowires are substantially pure Cu and can be oriented laterally (for local or global interconnects) or can be oriented vertically (for standard vertical interconnects).

  8. Net Metering and Interconnection Procedures-- Incorporating Best Practices

    Energy Technology Data Exchange (ETDEWEB)

    Jason Keyes, Kevin Fox, Joseph Wiedman, Staff at North Carolina Solar Center

    2009-04-01

    State utility commissions and utilities themselves are actively developing and revising their procedures for the interconnection and net metering of distributed generation. However, the procedures most often used by regulators and utilities as models have not been updated in the past three years, in which time most of the distributed solar facilities in the United States have been installed. In that period, the Interstate Renewable Energy Council (IREC) has been a participant in more than thirty state utility commission rulemakings regarding interconnection and net metering of distributed generation. With the knowledge gained from this experience, IREC has updated its model procedures to incorporate current best practices. This paper presents the most significant changes made to IREC’s model interconnection and net metering procedures.

  9. Method of forming a leak proof plasma sprayed interconnection layer on an electrode of an electrochemical cell

    Science.gov (United States)

    Kuo, Lewis J. H.; Vora, Shailesh D.

    1995-01-01

    A dense, substantially gas-tight, electrically conductive interconnection layer is formed on an electrode structure of an electrochemical cell by: (A) providing an electrode structure; (B) forming on a selected portion of the electrode surface, an interconnection layer having the general formula La.sub.1-x M.sub.x Cr.sub.1-y N.sub.y O.sub.3, where M is a dopant selected from the group of Ca, Sr, Ba, and mixtures thereof, and where N is a dopant selected from the group of Mg, Co, Ni, Al, and mixtures thereof, and where x and y are each independently about 0.075-0.25, by thermally spraying, preferably plasma arc spraying, a flux added interconnection spray powder, preferably agglomerated, the flux added powder comprising flux particles, preferably including dopant, preferably (CaO).sub.12. (Al.sub.2 O.sub.3).sub.7 flux particles including Ca and Al dopant, and LaCrO.sub.3 interconnection particles, preferably undoped LaCrO.sub.3, to form a dense and substantially gas-tight interconnection material bonded to the electrode structure by a single plasma spraying step; and, (C) heat treating the interconnection layer at from about 1200.degree. to 1350.degree. C. to further densify and heal the micro-cracks and macro-cracks of the thermally sprayed interconnection layer. The result is a substantially gas-tight, highly doped, electrically conductive interconnection material bonded to the electrode structure. The electrode structure can be an air electrode, and a solid electrolyte layer can be applied to the unselected portion of the air electrode, and further a fuel electrode can be applied to the solid electrolyte, to form an electrochemical cell for generation of electrical power.

  10. Synchrotron X-Ray Microdiffraction Studies of Electromigration in Interconnect lines at the Advanced Light Source

    Energy Technology Data Exchange (ETDEWEB)

    Tamura, Nobumichi; Chen, Kai; Kunz, Martin

    2009-12-01

    Synchrotron polychromatic X-ray microdiffraction is a particularly suitable technique to study in situ the effect of electromigration in metal interconnects as add spatial resolution to grain orientation and strain sensitivity. This technique has been extensively used at the Advanced Light Source to monitor changes in aluminum and copper interconnect test structures while high-density current is passed into them during accelerated tests at elevated temperature. One of the principal findings is the observation of electromigration-induced plasticity in the metal lines that appear during the very early stages of electromigration. In some of the lines, high density of geometrically necessary dislocation are formed leading to additional diffusion paths causing an enhancement of electromigration effect at test temperature.

  11. Cross-border effects of capacity mechanisms in interconnected power systems

    NARCIS (Netherlands)

    Bhagwat, P.C.; Richstein, J.C.; Chappin, E.J.L.; Iychettira, K.K.; de Vries, L.J.

    2017-01-01

    The cross-border effects of a capacity market and a strategic reserve in interconnected electricity markets are modeled using an agent-based modeling methodology. Both capacity mechanisms improve the security of supply and reduce consumer costs. Our results indicate that interconnections do not

  12. Barriers and drivers of new interconnections between EU and non-EU electricity systems. Economic and regulatory aspects

    International Nuclear Information System (INIS)

    Van Werven, M.J.N.; Van Oostvoorn, F.

    2006-05-01

    Interconnection of different electricity systems offers several advantages and benefits. In the first place it provides reliability and increases the robustness of the system. Furthermore, it increases economic efficiency and reduces the possibility to abuse market power. Price differences are the signal that efficiency gains can be obtained. To make a sound decision whether to invest in new interconnection capacity, the causes behind the price differences should be well understood. Price differences must originate from structural, long-term causes. Differences in primary resources, fuel mix and load patterns are such causes. It is important to note that price differences that result from the difference between regulatory structures (lack of level playing field) may not be structural and therefore may not justify investment in interconnection capacity. Next to advantages and benefits, interconnection is faced with costs and barriers. Firstly, there are investment costs, which are high for building new interconnections, and there are energy losses that are caused by transporting electricity. A third possible barrier is congestion within the EU, which impedes the imported electricity to freely flow to demand areas (and hinders the export of electricity to neighbouring regions). Furthermore, interconnection may create loop flows. In addition, interconnection could lead to an increasing import dependency, which may create political resistance. And finally, there may be opposition from residents in the areas where the transmission and interconnection lines have to be built. Concerning regulatory issues, trade between markets is more likely to be impeded or distorted if market designs and rules between countries/regions differ substantially. Regulatory issues that are of relevance comprise rules concerning the timing of gate closure, imbalance arrangements, the firmness of transmission access rights, the type of tariff regulation, unbundling, the ownership of

  13. Interconnecting Carbon Fibers with the In-situ Electrochemically Exfoliated Graphene as Advanced Binder-free Electrode Materials for Flexible Supercapacitor

    OpenAIRE

    Yuqin Zou; Shuangyin Wang

    2015-01-01

    Flexible energy storage devices are highly demanded for various applications. Carbon cloth (CC) woven by carbon fibers (CFs) is typically used as electrode or current collector for flexible devices. The low surface area of CC and the presence of big gaps (ca. micro-size) between individual CFs lead to poor performance. Herein, we interconnect individual CFs through the in-situ exfoliated graphene with high surface area by the electrochemical intercalation method. The interconnected CFs are us...

  14. Hybrid tandem photovoltaic devices with a transparent conductive interconnecting recombination layer

    International Nuclear Information System (INIS)

    Kim, Taehee; Choi, Jin Young; Jeon, Jun Hong; Kim, Youn-Su; Kim, Bong-Soo; Lee, Doh-Kwon; Kim, Honggon; Han, Seunghee; Kim, Kyungkon

    2012-01-01

    Highlights: ► This work enhanced power conversion efficiency of the hybrid tandem solar cell from 1.0% to 2.6%. ► The interfacial series resistance of the tandem solar cell was eliminated by inserting ITO layer. ► This work shows the feasibility of the highly efficient hybrid tandem solar cells. -- Abstract: We demonstrate hybrid tandem photovoltaic devices with a transparent conductive interconnecting recombination layer. The series-connected hybrid tandem photovoltaic devices were developed by combining hydrogenated amorphous silicon (a-Si:H) and polymer-based organic photovoltaics (OPVs). In order to enhance the interfacial connection between the subcells, we employed highly transparent and conductive indium tin oxide (ITO) thin layer. By using the ITO interconnecting layer, the power conversion efficiency of the hybrid tandem solar cell was enhanced from 1.0% (V OC = 1.041 V, J SC = 2.97 mA/cm 2 , FF = 32.3%) to 2.6% (V OC = 1.336 V, J SC = 4.65 mA/cm 2 , FF = 41.98%) due to the eliminated interfacial series resistance.

  15. Monolithic microwave integrated circuits: Interconnections and packaging considerations

    Science.gov (United States)

    Bhasin, K. B.; Downey, A. N.; Ponchak, G. E.; Romanofsky, R. R.; Anzic, G.; Connolly, D. J.

    1984-01-01

    Monolithic microwave integrated circuits (MMIC's) above 18 GHz were developed because of important potential system benefits in cost reliability, reproducibility, and control of circuit parameters. The importance of interconnection and packaging techniques that do not compromise these MMIC virtues is emphasized. Currently available microwave transmission media are evaluated to determine their suitability for MMIC interconnections. An antipodal finline type of microstrip waveguide transition's performance is presented. Packaging requirements for MMIC's are discussed for thermal, mechanical, and electrical parameters for optimum desired performance.

  16. Monolithic microwave integrated circuits: Interconnections and packaging considerations

    Science.gov (United States)

    Bhasin, K. B.; Downey, A. N.; Ponchak, G. E.; Romanofsky, R. R.; Anzic, G.; Connolly, D. J.

    Monolithic microwave integrated circuits (MMIC's) above 18 GHz were developed because of important potential system benefits in cost reliability, reproducibility, and control of circuit parameters. The importance of interconnection and packaging techniques that do not compromise these MMIC virtues is emphasized. Currently available microwave transmission media are evaluated to determine their suitability for MMIC interconnections. An antipodal finline type of microstrip waveguide transition's performance is presented. Packaging requirements for MMIC's are discussed for thermal, mechanical, and electrical parameters for optimum desired performance.

  17. U.S. Laws and Regulations for Renewable Energy Grid Interconnections

    Energy Technology Data Exchange (ETDEWEB)

    Chernyakhovskiy, Ilya [National Renewable Energy Lab. (NREL), Golden, CO (United States); Tian, Tian [National Renewable Energy Lab. (NREL), Golden, CO (United States); McLaren, Joyce [National Renewable Energy Lab. (NREL), Golden, CO (United States); Miller, Mackay [National Renewable Energy Lab. (NREL), Golden, CO (United States); Geller, Nina [National Renewable Energy Lab. (NREL), Golden, CO (United States)

    2016-09-01

    Rapidly declining costs of wind and solar energy technologies, increasing concerns about the environmental and climate change impacts of fossil fuels, and sustained investment in renewable energy projects all point to a not-so-distant future in which renewable energy plays a pivotal role in the electric power system of the 21st century. In light of public pressures and market factors that hasten the transition towards a low-carbon system, power system planners and regulators are preparing to integrate higher levels of variable renewable generation into the grid. Updating the regulations that govern generator interconnections and operations is crucial to ensure system reliability while creating an enabling environment for renewable energy development. This report presents a chronological review of energy laws and regulations concerning grid interconnection procedures in the United States, highlighting the consequences of policies for renewable energy interconnections. Where appropriate, this report places interconnection policies and their impacts on renewable energy within the broader context of power market reform.

  18. Interconnecting PV on New York City's Secondary Network Distribution System

    Energy Technology Data Exchange (ETDEWEB)

    Anderson, K; Coddington, M; Burman, K; Hayter, S; Kroposki, B; Watson, and A

    2009-11-01

    less expensive distributed PV system interconnections. To assess ways to improve the interconnection process, NREL conducted a four-part study with support from DOE. The NREL team then compiled the final reports from each study into this report. In Section 1PV Deployment Analysis for New York City we analyze the technical potential for rooftop PV systems in the city. This analysis evaluates potential PV power production in ten Con Edison networks of various locations and building densities (ranging from high density apartments to lower density single family homes). Next, we compare the potential power production to network loads to determine where and when PV generation is most likely to exceed network load and disrupt network protection schemes. The results of this analysis may assist Con Edison in evaluating future PV interconnection applications and in planning future network protection system upgrades. This analysis may also assist other utilities interconnecting PV systems to networks by defining a method for assessing the technical potential of PV in the network and its impact on network loads. Section 2. A Briefing for Policy Makers on Connecting PV to a Network Grid presents an overview intended for nontechnical stakeholders. This section describes the issues associated with interconnecting PV systems to networks, along with possible solutions. Section 3. Technical Review of Concerns and Solutions to PV Interconnection in New York City summarizes common concerns of utility engineers and network experts about interconnecting PV systems to secondary networks. This section also contains detailed descriptions of nine solutions, including advantages and disadvantages, potential impacts, and road maps for deployment. Section 4. Utility Application Process Reviewlooks at utility interconnection application processes across the country and identifies administrative best practices for efficient PV interconnection.

  19. Planning and design of the Gulf States interconnection

    International Nuclear Information System (INIS)

    Al Alawi, J.; Sud, S.; McGillis, D.

    1994-01-01

    On May 25, 1981, the six Arab state of Bahrain, Kuwait, Oman, Qatar, Saudi Arabia and the United Arab Emirates (UAE) formally ratified the charter of the organization named Co-operation Council for the Arab States of the Gulf. This has become more popularly known as the Gulf Cooperation Council (GCC). In the mid 1980s, the integration of the electric systems study in the GCC was initiated, and several possible interconnection schemes to provide for reserve sharing and generally more economic and flexible operation of the networks were proposed. The GCC subsequently asked for an update of this study and a recommended interconnection scheme. this update study was completed in 1990, and a definite scheme was proposed, which met with the approval of all GCC members. This presentation describes the proposed interconnection, the studies that led to its selection, and the associated management structure required for its implementation. the population of the GCC states, and their load, generating capacity, and the transmission systems are shown

  20. Load shedding scheme in the south/southeastern interconnected system

    Energy Technology Data Exchange (ETDEWEB)

    Vieira Filho, Xisto; Couri, J J.G.; Gomes, P; Almeida, P C [ELETROBRAS, Rio de Janeiro, RJ (Brazil)

    1988-12-31

    This paper presents some characteristics of the Brazilian interconnected system and discusses the load shedding scheme in its different stages considering the beginning of operation of the Itaipu power plant. The present situation of the South and Southeastern load shedding scheme combination is also commented. Finally, the interconnected system evolution and the effects on the load shedding schemes are discussed. 4 refs., 5 figs., 2 tabs.

  1. Advanced Platform for Development and Evaluation of Grid Interconnection Systems Using Hardware-in-the-Loop: Part III -- Grid Interconnection System Evaluator: Preprint

    Energy Technology Data Exchange (ETDEWEB)

    Lundstrom, B.; Shirazi, M.; Coddington, M.; Kroposki, B.

    2013-01-01

    This paper, presented at the IEEE Green Technologies Conference 2013, describes a Grid Interconnection System Evaluator (GISE) that leverages hardware-in-the-loop (HIL) simulation techniques to rapidly evaluate the grid interconnection standard conformance of an ICS according to the procedures in IEEE Std 1547.1 (TM). The architecture and test sequencing of this evaluation tool, along with a set of representative ICS test results from three different photovoltaic (PV) inverters, are presented. The GISE adds to the National Renewable Energy Laboratory's (NREL) evaluation platform that now allows for rapid development of ICS control algorithms using controller HIL (CHIL) techniques, the ability to test the dc input characteristics of PV-based ICSs through the use of a PV simulator capable of simulating real-world dynamics using power HIL (PHIL), and evaluation of ICS grid interconnection conformance.

  2. Regulate or deregulate. Influencing network interconnection charges

    Energy Technology Data Exchange (ETDEWEB)

    Van De Wielle, B.

    2003-06-01

    We study the choice between regulating interconnection charges or delegating their determination to the operators, both in a non-mature and a mature market. Three regulatory regimes are considered: full, cost-based and bill-and-keep. Delegation corresponds to bargaining about the interconnection charges using the regulatory schemes as disagreement outcomes. Applying regulation benefits the consumers. Under full regulation, access charges account for asymmetries and allow a unique Ramsey price. Delegation benefits the operators. In a mature market delegation robs the government of any market influence. In a non-mature market government preferences coincide with those of the largest operator and are disadvantageous for entry.

  3. At the speed of light? electricity interconnections for Europe

    International Nuclear Information System (INIS)

    Nies, S.

    2010-01-01

    Electricity moves almost at the speed of light: 273,000 km per second. The speed of electricity makes it the ultimate 'just in time' commodity. A problem anywhere can be transmitted every where in a nanosecond. Electricity interconnection is a prominent issue in the news, sometimes even featured as a panacea for the shortcomings of the European electricity market - a panacea that will ensure security o supply, solidarity and pave the way for a promising use of renewables in the future. The present study is devoted to electricity interconnections in Europe, their current state and the projects concerning them. The study addresses the following questions: - What is the role of interconnections in the development of a sustainable grid that can emerge from the existing pieces, make optimum use of existing generation capacity, ensure energy security, and offer economies of scales? What is their role in the process of building a different energy concept, one that would be concerned with climate change and thus in favour of the use of renewables? - How are existing interconnections exploited and governed, and how can their exploitation be improved? Does the EU need more and new interconnections; and if so, where and why, and who is going to finance them? Prominent projects as such as Desertec, the debate on DC or AC lines, or the limits of synchronization, as well as the state of a potential East-West electricity linkage between Former Soviet Union and EU, termed UCTE-UPS/IPS, are discussed in the volume. Part I develops definitions and basic notions necessary for the understanding of the subject. It also addresses the independent variables that influence interconnections (here the dependent variable), and recounts the historical legacies and their enduring impact on today's grid. Part II is devoted to the EU legal framework and to the complex landscape of governance and its current state of transition. Part III addresses the management of existing interconnections and

  4. Numerical simulation of CTE mismatch and thermal-structural stresses in the design of interconnects

    Science.gov (United States)

    Peter, Geoffrey John M.

    With the ever-increasing chip complexity, interconnects have to be designed to meet the new challenges. Advances in optical lithography have made chip feature sizes available today at 70 nm dimensions. With advances in Extreme Ultraviolet Lithography, X-ray Lithography, and Ion Projection Lithography it is expected that the line width will further decrease to 20 nm or less. With the decrease in feature size, the number of active devices on the chip increases. With higher levels of circuit integration, the challenge is to dissipate the increased heat flux from the chip surface area. Thermal management considerations include coefficient of thermal expansion (CTE) matching to prevent failure between the chip and the board. This in turn calls for improved system performance and reliability of the electronic structural systems. Experience has shown that in most electronic systems, failures are mostly due to CTE mismatch between the chip, board, and the solder joint (solder interconnect). The resulting high thermal-structural stress and strain due to CTE mismatch produces cracks in the solder joints with eventual failure of the electronic component. In order to reduce the thermal stress between the chip, board, and the solder joint, this dissertation examines the effect of inserting wire bundle (wire interconnect) between the chip and the board. The flexibility of the wires or fibers would reduce the stress at the rigid joints. Numerical simulations of two, and three-dimensional models of the solder and wire interconnects are examined. The numerical simulation is linear in nature and is based on linear isotropic material properties. The effect of different wire material properties is examined. The effect of varying the wire diameter is studied by changing the wire diameter. A major cause of electronic equipment failure is due to fatigue failure caused by thermal cycling, and vibrations. A two-dimensional modal and harmonic analysis was simulated for the wire interconnect

  5. Compact models and performance investigations for subthreshold interconnects

    CERN Document Server

    Dhiman, Rohit

    2014-01-01

    The book provides a detailed analysis of issues related to sub-threshold interconnect performance from the perspective of analytical approach and design techniques. Particular emphasis is laid on the performance analysis of coupling noise and variability issues in sub-threshold domain to develop efficient compact models. The proposed analytical approach gives physical insight of the parameters affecting the transient behavior of coupled interconnects. Remedial design techniques are also suggested to mitigate the effect of coupling noise. The effects of wire width, spacing between the wires, wi

  6. Ring-array processor distribution topology for optical interconnects

    Science.gov (United States)

    Li, Yao; Ha, Berlin; Wang, Ting; Wang, Sunyu; Katz, A.; Lu, X. J.; Kanterakis, E.

    1992-01-01

    The existing linear and rectangular processor distribution topologies for optical interconnects, although promising in many respects, cannot solve problems such as clock skews, the lack of supporting elements for efficient optical implementation, etc. The use of a ring-array processor distribution topology, however, can overcome these problems. Here, a study of the ring-array topology is conducted with an aim of implementing various fast clock rate, high-performance, compact optical networks for digital electronic multiprocessor computers. Practical design issues are addressed. Some proof-of-principle experimental results are included.

  7. Network inter-connectivity and capacity reservation behaviour: an investigation of the Belgian gas transmission network

    International Nuclear Information System (INIS)

    Cuijpers, Ch.; Woitrin, D.

    2009-01-01

    Lack of cross-border integration explains largely why natural gas markets remain basically national in scope, with levels of concentration similarly high as when the liberalization process commenced. This paper presents the results of an assessment of the upstream/downstream capacity of the Belgian natural gas transmission network which is highly interconnected with adjacent networks and fosters important transit activities. It is shown that the tendency to a better market coupling still suffers from important mismatches of capacity provisions on both sides of cross-border interconnections. Moreover, shippers use gas transmission networks more and more from a commercial portfolio perspective which goes beyond the traditional security of supply purpose of network designs. Capacity booking rates appear to be significantly higher than the underlying physical gas flows. From these findings, the paper contributes to a better understanding of the market barrier created by contractual congestion at cross-border interconnection points. The paper argues that contractual congestion is a symptom of suboptimal cooperation of adjacent network operators and lack of effective mechanisms to bring booked but non-used capacity back to the market, rather than an indicator for an overall need to increase investment budgets. (authors)

  8. Effect of coatings on long term behaviour of a commercial stainless steel for solid oxide electrolyser cell interconnect application in H2/H2O atmosphere

    International Nuclear Information System (INIS)

    Ardigo, M.R.; Popa, I.; Chevalier, S.; Girardon, P.; Perry, F.; Laucournet, R.; Brevet, A.; Desgranges, C.

    2014-01-01

    K41X (AISI 441) stainless steel evidenced a high electrical conductivity after 3000 h ageing in H 2 /H 2 O side when used as interconnect for solid oxide electrolyser cells (SOEC) working at 800 C. Perovskite (La 1-x Sr x MnO 3-δ ) and spinel (Co 3 O 4 ) oxides coatings were applied on the surface of the ferritic steel for ageing at 800 C for 3000 h. Both coatings improved the behaviour of the steel and give interesting opportunities to use the K41X steel as interconnect for hydrogen production via high temperature steam electrolysis. Co 3 O 4 reduced into Co leading to a very good Area Specific Resistance (ASR) parameter, 0.038 Ωcm 2 . Despite a good ASR (0.06 Ωcm 2 ), La 1-x Sr x MnO 3-δ was less promising because it partially decomposed into MnO and La 2 O 3 during ageing in H 2 /H 2 O atmosphere. (authors)

  9. The myth of interconnected plastids and related phenomena.

    Science.gov (United States)

    Schattat, Martin H; Barton, Kiah A; Mathur, Jaideep

    2015-01-01

    Studies spread over nearly two and a half centuries have identified the primary plastid in autotrophic algae and plants as a pleomorphic, multifunctional organelle comprising of a double-membrane envelope enclosing an organization of internal membranes submerged in a watery stroma. All plastid units have been observed extending and retracting thin stroma-filled tubules named stromules sporadically. Observations on living plant cells often convey the impression that stromules connect two or more independent plastids with each other. When photo-bleaching techniques were used to suggest that macromolecules such as the green fluorescent protein could flow between already interconnected plastids, for many people this impression changed to conviction. However, it was noticed only recently that the concept of protein flow between plastids rests solely on the words "interconnected plastids" for which details have never been provided. We have critically reviewed botanical literature dating back to the 1880s for understanding this term and the phenomena that have become associated with it. We find that while meticulously detailed ontogenic studies spanning nearly 150 years have established the plastid as a singular unit organelle, there is no experimental support for the idea that interconnected plastids exist under normal conditions of growth and development. In this review, while we consider several possibilities that might allow a single elongated plastid to be misinterpreted as two or more interconnected plastids, our final conclusion is that the concept of direct protein flow between plastids is based on an unfounded assumption.

  10. Post-processing of polymer foam tissue scaffolds with high power ultrasound: A route to increased pore interconnectivity, pore size and fluid transport

    International Nuclear Information System (INIS)

    Watson, N.J.; Johal, R.K.; Glover, Z.; Reinwald, Y.; White, L.J.; Ghaemmaghami, A.M.; Morgan, S.P.; Rose, F.R.A.J.; Povey, M.J.W.; Parker, N.G.

    2013-01-01

    The aim of this work is to demonstrate that the structural and fluidic properties of polymer foam tissue scaffolds, post-fabrication but prior to the introduction of cells, can be engineered via exposure to high power ultrasound. Our analysis is supported by measurements of fluid uptake during insonification and imaging of the scaffold microstructure via X-ray computed tomography, scanning electron microscopy and acoustic microscopy. The ultrasonic treatment is performed with a frequency of 30 kHz, average intensities up to 80,000 Wm −2 and exposure times up to 20 h. The treatment is found to increase the mean pore size by over 10%. More striking is the improvement in fluid uptake: for scaffolds with only 40% water uptake via standard immersion techniques, we can routinely achieve full saturation of the scaffold over approximately one hour of exposure. These desirable modifications occur with negligible loss of scaffold integrity and mass, and are optimized when the ultrasound treatment is coupled to a pre-wetting stage with ethanol. Our findings suggest that high power ultrasound is highly targeted towards flow obstructions in the scaffold architecture, thereby providing an efficient means to promote pore interconnectivity and fluid transport in thick foam tissue scaffolds. - Highlights: • We expose thick PLA foam tissue scaffolds to high power ultrasound. • This treatment both accelerates and enhances the uptake of fluid into the scaffold. • It leads to significant increases in the mean pore size, pore interconnectivity and porosity. • The ultrasonic treatment is most effective when the scaffold is pre-wet with ethanol. • We demonstrate the use of acoustic microscopy to characterize the scaffold microstructure

  11. High frequency P(VDF-TrFE) copolymer broadband annular array ultrasound transducers using high density flexible circuit interconnect

    Science.gov (United States)

    Gottlieb, Emanuel J.; Cannata, Jonathan M.; Hu, Chang Hong; Shung, K. K.

    2005-04-01

    A kerfless eight element high frequency ultrasound annular array transducer using 9 μm P(VDF-TrFE) bonded to a high density flexible interconnect was fabricated. The flexible circuit composed of Kapton polyimide film with gold electrode pattern of equal area annuli apertures on the top side of a 50 μm thick Kapton polyimide film. Each element had several 30 μm diameter electroplated vias that connected to electrode traces on the bottom side of the Kapton polyimide film. There was a 30 μm spacing between elements. The total aperture of the array was 3.12 mm. The transducer's performance has been modeled by implementing the Redwood version of the Mason model into PSpice and using the Krimholtz, Leedom and Matthaei (KLM) model utilized in the commercial software PiezoCAD. The transducer"s performance was evaluated by measuring the electrical impedance with a HP 4194 impedance analyzer, pulse echo response using a Panametrics 5900 pulser/receiver and crosstalk measurement for each element in the array. The measured electrical impedance for each element was 540 Ω and -84° phase. In order to improve device sensitivity an inductor was attached in series with each element to reduce the insertion loss to 33 dB. The measured average center frequency and bandwidth of each element was 55 MHz and 50% respectively. The measured crosstalk at the center frequency was -45 dB in water.

  12. 78 FR 19259 - Notice of Attendance at PJM Interconnection, L.L.C. Meetings

    Science.gov (United States)

    2013-03-29

    ... Interconnection, L.L.C. Meetings The Federal Energy Regulatory Commission (Commission) hereby gives notice that members of the Commission and Commission staff may attend upcoming PJM Interconnection, L.L.C. (PJM... proceedings: Docket No. EL05-121, PJM Interconnection, L.L.C. Docket No. EL08-14, Black Oak Energy LLC, et al...

  13. Ceria based protective coatings for steel interconnects prepared by spray pyrolysis

    DEFF Research Database (Denmark)

    Szymczewska, Dagmara; Molin, Sebastian; Chen, Ming

    2014-01-01

    Stainless steels can be used in solid oxide fuel/electrolysis stacks as interconnects. For successful long term operation they require protective coatings, that lower the corrosion rate and block chemical reactions between the interconnect and adjacent layers of the oxygen or the hydrogen electrode....... One of the promising coating materials for the hydrogen side is ceria. Using standard sintering techniques, ceria sinters at around 1400°C which even for a very short exposure would destroy the interconnect. Therefore in this paper a low temperature deposition method, i.e. spray pyrolysis, is used...

  14. IC layout adjustment method and tool for improving dielectric reliability at interconnects

    Energy Technology Data Exchange (ETDEWEB)

    Kahng, Andrew B.; Chan, Tuck Boon

    2018-03-20

    Method for adjusting a layout used in making an integrated circuit includes one or more interconnects in the layout that are susceptible to dielectric breakdown are selected. One or more selected interconnects are adjusted to increase via to wire spacing with respect to at least one via and one wire of the one or more selected interconnects. Preferably, the selecting analyzes signal patterns of interconnects, and estimates the stress ratio based on state probability of routed signal nets in the layout. An annotated layout is provided that describes distances by which one or more via or wire segment edges are to be shifted. Adjustments can include thinning and shifting of wire segments, and rotation of vias.

  15. Decentralized automatic generation control of interconnected power systems incorporating asynchronous tie-lines.

    Science.gov (United States)

    Ibraheem; Hasan, Naimul; Hussein, Arkan Ahmed

    2014-01-01

    This Paper presents the design of decentralized automatic generation controller for an interconnected power system using PID, Genetic Algorithm (GA) and Particle Swarm Optimization (PSO). The designed controllers are tested on identical two-area interconnected power systems consisting of thermal power plants. The area interconnections between two areas are considered as (i) AC tie-line only (ii) Asynchronous tie-line. The dynamic response analysis is carried out for 1% load perturbation. The performance of the intelligent controllers based on GA and PSO has been compared with the conventional PID controller. The investigations of the system dynamic responses reveal that PSO has the better dynamic response result as compared with PID and GA controller for both type of area interconnection.

  16. Fiber bundle probes for interconnecting miniaturized medical imaging devices

    Science.gov (United States)

    Zamora, Vanessa; Hofmann, Jens; Marx, Sebastian; Herter, Jonas; Nguyen, Dennis; Arndt-Staufenbiel, Norbert; Schröder, Henning

    2017-02-01

    Miniaturization of medical imaging devices will significantly improve the workflow of physicians in hospitals. Photonic integrated circuit (PIC) technologies offer a high level of miniaturization. However, they need fiber optic interconnection solutions for their functional integration. As part of European funded project (InSPECT) we investigate fiber bundle probes (FBPs) to be used as multi-mode (MM) to single-mode (SM) interconnections for PIC modules. The FBP consists of a set of four or seven SM fibers hexagonally distributed and assembled into a holder that defines a multicore connection. Such a connection can be used to connect MM fibers, while each SM fiber is attached to the PIC module. The manufacturing of these probes is explored by using well-established fiber fusion, epoxy adhesive, innovative adhesive and polishing techniques in order to achieve reliable, low-cost and reproducible samples. An innovative hydrofluoric acid-free fiber etching technology has been recently investigated. The preliminary results show that the reduction of the fiber diameter shows a linear behavior as a function of etching time. Different etch rate values from 0.55 μm/min to 2.3 μm/min were found. Several FBPs with three different type of fibers have been optically interrogated at wavelengths of 630nm and 1550nm. Optical losses are found of approx. 35dB at 1550nm for FBPs composed by 80μm fibers. Although FBPs present moderate optical losses, they might be integrated using different optical fibers, covering a broad spectral range required for imaging applications. Finally, we show the use of FBPs as promising MM-to-SM interconnects for real-world interfacing to PIC's.

  17. FDTD technique based crosstalk analysis of bundled SWCNT interconnects

    International Nuclear Information System (INIS)

    Duksh, Yograj Singh; Kaushik, Brajesh Kumar; Agarwal, Rajendra P.

    2015-01-01

    The equivalent electrical circuit model of a bundled single-walled carbon nanotube based distributed RLC interconnects is employed for the crosstalk analysis. The accurate time domain analysis and crosstalk effect in the VLSI interconnect has emerged as an essential design criteria. This paper presents a brief description of the numerical method based finite difference time domain (FDTD) technique that is intended for estimation of voltages and currents on coupled transmission lines. For the FDTD implementation, the stability of the proposed model is strictly restricted by the Courant condition. This method is used for the estimation of crosstalk induced propagation delay and peak voltage in lossy RLC interconnects. Both functional and dynamic crosstalk effects are analyzed in the coupled transmission line. The effect of line resistance on crosstalk induced delay, and peak voltage under dynamic and functional crosstalk is also evaluated. The FDTD analysis and the SPICE simulations are carried out at 32 nm technology node for the global interconnects. It is observed that the analytical results obtained using the FDTD technique are in good agreement with the SPICE simulation results. The crosstalk induced delay, propagation delay, and peak voltage obtained using the FDTD technique shows average errors of 4.9%, 3.4% and 0.46%, respectively, in comparison to SPICE. (paper)

  18. 77 FR 3766 - PJM Interconnection, L.L.C.; Notice of Staff Technical Conference

    Science.gov (United States)

    2012-01-25

    ... Interconnection, L.L.C.; Notice of Staff Technical Conference On December 14, 2011, the Commission issued an order... Interconnection, L.L.C.'s (PJM) filing.\\1\\ Take notice that the technical conference will be held on February 14...\\ PJM Interconnection, L.L.C., 137 FERC ] 61,204 (2011) (December 14 Order). All interested parties are...

  19. Bi cluster-assembled interconnects produced using SU8 templates

    International Nuclear Information System (INIS)

    Partridge, J G; Matthewson, T; Brown, S A

    2007-01-01

    Bi clusters with an average diameter of 25 nm have been deposited from an inert gas aggregation source and assembled into thin-film interconnects which are formed between planar electrical contacts and supported on Si substrates passivated with Si 3 N 4 or thermally grown oxide. A layer of SU8 (a negative photoresist based on EPON SU-8 epoxy resin) is patterned using optical or electron-beam lithography, and it defines the position and dimensions of the cluster film. The conduction between the contacts is monitored throughout the deposition/assembly process, and subsequent I(V) characterization is performed in situ. Bi cluster-assembled interconnects have been fabricated with nanoscale widths and with up to 1:1 thickness:width aspect ratios. The conductivity of these interconnects has been increased, post-deposition, using a simple thermal annealing process

  20. 100 GHz Externally Modulated Laser for Optical Interconnects Applications

    DEFF Research Database (Denmark)

    Ozolins, Oskars; Pang, Xiaodan; Iglesias Olmedo, Miguel

    2017-01-01

    We report on a 116 Gb/s on-off keying (OOK), four pulse amplitude modulation (PAM) and 105-Gb/s 8-PAM optical transmitter using an InP-based integrated and packaged externally modulated laser for high-speed optical interconnects with up to 30 dB static extinction ratio and over 100-GHz 3-d......B bandwidth with 2 dB ripple. In addition, we study the tradeoff between power penalty and equalizer length to foresee transmission distances with standard single mode fiber....

  1. Enhanced Lithium- and Sodium-Ion Storage in an Interconnected Carbon Network Comprising Electronegative Fluorine.

    Science.gov (United States)

    Hong, Seok-Min; Etacheri, Vinodkumar; Hong, Chulgi Nathan; Choi, Seung Wan; Lee, Ki Bong; Pol, Vilas G

    2017-06-07

    Fluorocarbon (C x F y ) anode materials were developed for lithium- and sodium-ion batteries through a facile one-step carbonization of a single precursor, polyvinylidene fluoride (PVDF). Interconnected carbon network structures were produced with doped fluorine in high-temperature carbonization at 500-800 °C. The fluorocarbon anodes derived from the PVDF precursor showed higher reversible discharge capacities of 735 mAh g -1 and 269 mAh g -1 in lithium- and sodium-ion batteries, respectively, compared to the commercial graphitic carbon. After 100 charge/discharge cycles, the fluorocarbon showed retentions of 91.3% and 97.5% in lithium (at 1C) and sodium (at 200 mA g -1 ) intercalation systems, respectively. The effects of carbonization temperature on the electrochemical properties of alkali metal ion storage were thoroughly investigated and documented. The specific capacities in lithium- and sodium-ion batteries were dependent on the fluorine content, indicating that the highly electronegative fluorine facilitates the insertion/extraction of lithium and sodium ions in rechargeable batteries.

  2. Preparing tomorrow's network today: RTE at the crossroads of the European electricity system. Cross-border electricity interconnections Key issues and figures - 2014 Edition

    International Nuclear Information System (INIS)

    2014-10-01

    The interconnected electricity transmission network is a key element for ensuring security of supply, the creation of a single market and the integration of renewable energies. RTE and its European partners provide strengthened coordination by the use of interconnections to ensure solidarity between European countries. Interconnections also allow an electricity supplier to sell its energy to a customer located in another country in Europe. They contribute on a European scale to optimising the use of production means and in particular the integration of variable renewable energies. RTE, within the EnTSo-E (European Network of Transmission System Operators for Electricity), contributes to the publication of a common vision of the future of networks by 2030. The needs for new interconnection capacity are identified in the ten-year European network development plan (TYnDP) on the basis of a cost-benefit analysis. France is interconnected to all its neighbours via many cross-border links. However interconnection capacity with the various countries and its use differ widely. The usage profile of the interconnections is specific to each border and varies according to: - the characteristics of the production mix of each country, and in particular the level of production of renewable energies. - the level of consumption, which depends on the season, the type of day (working or non-working) the time of day, etc. - import and export capacity, which may be different depending on the internal constraints of the networks of each country

  3. 77 FR 10505 - Notice of Attendance at PJM Interconnection, L.L.C. Meetings

    Science.gov (United States)

    2012-02-22

    ... Interconnection, L.L.C. Meetings The Federal Energy Regulatory Commission (Commission) hereby gives notice that members of the Commission and Commission staff may attend upcoming PJM Interconnection, L.L.C. (PJM..., PJM Interconnection, L.L.C. Docket Nos. ER06-456, ER06-880, ER06-954, ER06-1271, EL07-57, ER07-424...

  4. High-Rate, Durable Sodium-Ion Battery Cathode Enabled by Carbon-Coated Micro-Sized Na 3 V 2 (PO 4 ) 3 Particles with Interconnected Vertical Nanowalls

    Energy Technology Data Exchange (ETDEWEB)

    Li, Hui [Beijing Key Laboratory of Environment Science and Engineering, School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 China; Bi, Xuanxuan [Chemical Sciences and Engineering Division, Argonne National Laboratory, 9700 South, Cass Avenue Lemont IL 60439 USA; Bai, Ying [Beijing Key Laboratory of Environment Science and Engineering, School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 China; Yuan, Yifei [Chemical Sciences and Engineering Division, Argonne National Laboratory, 9700 South, Cass Avenue Lemont IL 60439 USA; Department of Materials Science and Engineering, Michigan Technological University, 1400 Townsend Drive Houghton MI 49931 USA; Shahbazian-Yassar, Reza [Department of Materials Science and Engineering, Michigan Technological University, 1400 Townsend Drive Houghton MI 49931 USA; Wu, Chuan [Beijing Key Laboratory of Environment Science and Engineering, School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 China; Collaborative Innovation Center of Electric Vehicles in Beijing, Beijing 100081 China; Wu, Feng [Beijing Key Laboratory of Environment Science and Engineering, School of Material Science and Engineering, Beijing Institute of Technology, Beijing 100081 China; Collaborative Innovation Center of Electric Vehicles in Beijing, Beijing 100081 China; Lu, Jun [Chemical Sciences and Engineering Division, Argonne National Laboratory, 9700 South, Cass Avenue Lemont IL 60439 USA; Amine, Khalil [Chemical Sciences and Engineering Division, Argonne National Laboratory, 9700 South, Cass Avenue Lemont IL 60439 USA

    2016-02-08

    Na-ion batteries have been regarded as promising alternatives for Li-ion batteries due to the extensive sodium reserves in the world. Na3V2(PO4)3 has been proved to be a good candidate of the cathode materials in Na-ion batteries but the intrinsic low electrical conductivity and sluggish kinetics handicapped its application. Here, 3D hierarchical Na3V2(PO4)3 particles are synthesized by a facile hydrothermal method, constructed by carbon-coated 2D Na3V2(PO4)3 nanowalls. Superior cell performance of high rate capability and cycle stability are observed in the well-defined structure. As the cathode in Na-ion batteries, it delivers a high capacity almost reaching the theoretical one and exhibits high capacity retention. The enhanced rate capability and cycle performance can be attributed to the improved electrical conductivity from the interconnected carbon layer and the shortened ion diffusion length and high specific surface area from the nanowalls.

  5. Energetic diversification in the interconnected electric system

    International Nuclear Information System (INIS)

    Villanueva M, C.; Beltran M, H.; Serrano G, J.A.

    2007-01-01

    In the interconnected electric system of Mexico the demanded electricity in different timetable periods it is synthesized in the annual curve of load duration, which is characterized by three regions. The energy in every period is quantified according to the under curve areas in each region, which depend of the number of hours in that the power demand exceeds the minimum and the intermediate demands respectively that are certain percentages of the yearly maximum demand. In that context, the generating power stations are dispatched according to the marginal costs of the produced electricity and the electric power to be generated every year by each type of central it is located in some of the regions of the curve of load duration, as they are their marginal costs and their operation characteristic techniques. By strategic reasons it is desirable to diversify the primary energy sources that are used in the national interconnected system to generate the electricity that demand the millions of consumers that there are in Mexico. On one hand, when intensifying the use of renewable sources and of nucleo electric centrals its decrease the import volumes of natural gas, which has very volatile prices and it is a fuel when burning in the power stations produces hothouse gases that are emitted to the atmosphere. On the other hand, when diversifying the installed capacity of the different central types in the interconnected system, a better adaptation of the produced electricity volumes is achieved by each type to the timetable variation, daily, weekly and seasonal of the electric demand, as one manifests this in the curve of load duration. To exemplify a possible diversification plan of the installed capacity in the national interconnected system that includes nucleo electric centrals and those that use renewable energy, charts are presented that project of 2005 at 2015 the capacity, energy and ost of the electricity of different central types, located in each one of the

  6. Study of interconnection of financial and tax accounting of profit in Russia and abroad

    Directory of Open Access Journals (Sweden)

    Labyntsev Mykola T.

    2013-03-01

    Full Text Available The article analyses the degree of interconnection of financial and tax accounting of profit in Russia and some foreign countries – USA, France and Germany. The legal principle – common law or unified law – is taken as a criterion. The article shows that existence of the system of tax accounting by one tax (organisation profit tax separately from the financial accounting in Russia from 2002 is not rational. At present Russia actively develops a variant of making financial accounting and tax accounting closer without a principal reconstruction of norms of tax legislation. Low level of interconnection of tax accounting and financial accounting is characteristic for the USA, which is one of the founders of the British-American (British-American-Dutch in interpretation of some authors accounting model. The level of interconnection of norms of financial and tax accounting is rather high in France and Germany and the taxation policy of the theoretical base of the accounting system, which allows speaking about the French-German accounting model.

  7. Influence of Micro-Damage on Reliability of Cryogenic Bellows in the LHC Interconnections

    CERN Document Server

    Garion, C

    2008-01-01

    To achieve maximum beam energy in the LHC the accumulated length of the interconnections between LHC main magnets has been limited to around 3% of the total magnetic length in the Arcs and Dispersion Suppressors. Such a low ratio leads to a very compact design of components located in the LHC interconnections. This implies development and evolution of high intensity plastic strain fields in the stainless steel expansion bellows subjected to thermo-mechanical loads at low temperatures. These components have been optimised to ensure high reliability standards required for the LHC. Nevertheless, initial damage can occur and lead to a premature fatigue failure. For structures in which plasticity is not confined to the crack tip region, standard failure mechanics, based classically on the stress intensity factor or the strain energy density release rate, can not be used. In the present paper, a constitutive model taking into account plastic strain induced g->a' phase transformation and orthotropic ductile damage i...

  8. Nanophotonic Devices for Optical Interconnect

    DEFF Research Database (Denmark)

    Van Thourhout, D.; Spuesens, T.; Selvaraja, S.K.

    2010-01-01

    We review recent progress in nanophotonic devices for compact optical interconnect networks. We focus on microdisk-laser-based transmitters and discuss improved design and advanced functionality including all-optical wavelength conversion and flip-flops. Next we discuss the fabrication uniformity...... of the passive routing circuits and their thermal tuning. Finally, we discuss the performance of a wavelength selective detector....

  9. Influence of interconnection on the long-term reliability of UV LED packages

    Science.gov (United States)

    Nieland, S.; Mitrenga, D.; Karolewski, D.; Brodersen, O.; Ortlepp, T.

    2017-02-01

    High power LEDs have conquered the mass market in recent years. Besides the main development focus to achieve higher productivity in the field of visible semiconductor LED processing, the wavelength range is further enhanced by active research and development in the direction of UVA / UVB / UVC. UVB and UVC LEDs are new and promising due to their numerous advantages. UV LEDs emit in a near range of one single emission peak with a width (FWHM) below 15 nm compared to conventional mercury discharge lamps and xenon sources, which show broad spectrums with many emission peaks over a wide range of wavelengths. Furthermore, the UV LED size is in the range of a few hundred microns and offers a high potential of significant system miniaturization. Of course, LED efficiency, lifetime and output power have to be increased [1]. Lifetime limiting issues of UVB/UVC-LED are the very high thermal stress in the chip resulting from the higher forward voltages (6-10 V @ 350 mA), the lower external quantum efficiency, below 10 % (most of the power disappears as heat), and the thermal resistance Rth of conventional LED packages being not able to dissipate these large amounts of heat for spreading. Beside the circuit boards and submounts which should have maximum thermal conductivity, the dimension of contacts as well as the interconnection of UV LED to the submount/package determinates the resolvable amount of heat [2]. In the paper different innovative interconnection techniques for UVC-LED systems will be discussed focused on the optimization of thermal conductivity in consideration of the assembly costs. Results on thermal simulation for the optimal contact dimensions and interconnections will be given. In addition, these theoretical results will be compared with results on electrical characterization as well as IR investigations on real UV LED packages in order to give recommendations for optimal UV LED assembly.

  10. Generation adequacy and transmission interconnection in regional electricity markets

    International Nuclear Information System (INIS)

    Cepeda, Mauricio; Saguan, Marcelo; Finon, Dominique; Pignon, Virginie

    2009-01-01

    The power system capacity adequacy has public good features that cannot be entirely solved by electricity markets. Regulatory intervention is then necessary and established methods have been used to assess adequacy and help regulators to fix this market failure. In regional electricity markets, transmission interconnections play an important role in contributing to adequacy. However, the adequacy problem and related policy are typically considered at a national level. This paper presents a simple model to study how the interconnection capacity interacts with generation adequacy. First results indicate that increasing interconnection capacity between systems improves adequacy up to a certain level; further increases do not procure additional adequacy improvements. Furthermore, besides adequacy improvement, increasing transmission capacity under asymmetric adequacy criteria or national system characteristics could create several concerns about externalities. These results imply that regional coordination of national adequacy policies is essential to internalise adequacy of cross-border effects.

  11. Green interconnecting materials for semiconductor industry

    NARCIS (Netherlands)

    Matin, M.A.; Vellinga, W.P.; Geers, M.G.D.; Sawada, K.; Ishida, M.

    2009-01-01

    Interconnecting materials experience a complex thermo-mechanical load in applications. This may lead to the formation of macroscopic cracks resulting from induced stresses of the differences in thermal expansion coefficients on a sample scale (since different materials are involved) and on a grain

  12. An architectural model for network interconnection

    NARCIS (Netherlands)

    van Sinderen, Marten J.; Vissers, C.A.; Kalin, T.

    1983-01-01

    This paper presents a technique of successive decomposition of a common users' activity to illustrate the problems of network interconnection. The criteria derived from this approach offer a structuring principle which is used to develop an architectural model that embeds heterogeneous subnetworks

  13. Porous honeycomb structures formed from interconnected MnO2 sheets on CNT-coated substrates for flexible all-solid-state supercapacitors

    Science.gov (United States)

    Ko, Wen-Yin; Chen, You-Feng; Lu, Ke-Ming; Lin, Kuan-Jiuh

    2016-01-01

    The use of lightweight and easily-fabricated MnO2/carbon nanotube (CNT)-based flexible networks as binder-free electrodes and a polyvinyl alcohol/H2SO4 electrolyte for the formation of stretchable solid-state supercapacitors was examined. The active electrodes were fabricated from 3D honeycomb porous MnO2 assembled from cross-walled and interconnected sheet-architectural MnO2 on CNT-based plastic substrates (denoted as honeycomb MnO2/CNT textiles).These substrates were fabricated through a simple two-step procedure involving the coating of multi-walled carbon nanotubes (MWCNTs) onto commercial textiles by a dipping-drying process and subsequent electrodeposition of the interconnected MnO2 sheets onto the MWCNT-coated textile. With such unique MnO2 architectures integrated onto CNT flexible films, good performance was achieved with a specific capacitance of 324 F/g at 0.5 A/g. A maximum energy density of 7.2 Wh/kg and a power density as high as 3.3 kW/kg were exhibited by the honeycomb MnO2/CNT network device, which is comparable to the performance of other carbon-based and metal oxide/carbon-based solid-state supercapacitor devices. Specifically, the long-term cycling stability of this material is excellent, with almost no loss of its initial capacitance and good Coulombic efficiency of 82% after 5000 cycles. These impressive results identify these materials as a promising candidate for use in environmentally friendly, low-cost, and high-performance flexible energy-storage devices. PMID:26726724

  14. 2D and 3D interconnect fabrication by picosecond Laser Induced Forward Transfer

    NARCIS (Netherlands)

    Oosterhuis, G.; Huis in 't veld, A.J.; Chall, P.

    2011-01-01

    Interconnects are an important cost driver in advanced 3D chip packaging. This holds for Through Silicon Vias (TSV) for chip stacking, but also for other integrated Si-technology. Especially in applications with a low number (<100 mm-2) of relatively large (10-2- um diameter), high aspect ratio

  15. Simple and reusable fibre-to-chip interconnect with adjustable coupling eficiency

    NARCIS (Netherlands)

    Heideman, Rene; Lambeck, Paul; Parriaux, Olivier M.; Kley, Ernst-Bernhard

    1997-01-01

    A simple, efficient and reusable fiber-to-chip interconnect is presented. The interconnect is based on a V-groove (wet- chemically etched) in silicon, combined with a loose-mode Si3N4-channel waveguide. The loose-mode waveguide is adiabatically tapered to the integrated optical (sensor) circuitry.

  16. Application of Trapezoidal-Shaped Characteristic Basis Functions to Arrays of Electrically Interconnected Antenna Elements

    NARCIS (Netherlands)

    Maaskant, R.; Mittra, R.; Tijhuis, A.G.; Graglia, R.D.

    2007-01-01

    This paper describes a novel technique for generating the characteristic basis functions (CBFs) used to represent the surface currents on finite arrays of electrically interconnected antenna elements. The CBFs are high-level basis functions, defined on subdomains in which the original problem is

  17. An easy-to-use microfluidic interconnection system to create quick and reversibly interfaced simple microfluidic devices

    DEFF Research Database (Denmark)

    Pfreundt, Andrea; Andersen, Karsten Brandt; Dimaki, Maria

    2015-01-01

    The presented microfluidic interconnection system provides an alternative for the individual interfacing of simple microfluidic devices fabricated in polymers such as polymethylmethacrylate, polycarbonate and cyclic olefin polymer. A modification of the device inlet enables the direct attachment...... pressures above 250 psi and therefore supports applications with high flow rates or highly viscous fluids. The ease of incorporation, configuration, fabrication and use make this interconnection system ideal for the rapid prototyping of simple microfluidic devices or other integrated systems that require...... microfluidic interfaces. It provides a valuable addition to the toolbox of individual and small arrays of connectors suitable for micromachined or template-based injection molded devices since it does not require protruding, threaded or glued modifications on the inlet and avoids bulky and expensive fittings....

  18. Influence of Mn-Co Spinel Coating on Oxidation Behavior of Ferritic SS Alloys for SOFC Interconnect Applications

    DEFF Research Database (Denmark)

    Venkatachalam, Vinothini; Molin, Sebastian; Kiebach, Wolff-Ragnar

    2014-01-01

    Chromia forming ferritic stainless steels (SS) are being considered for intermediate temperature solid oxide fuel cell interconnect applications. However, protective coatings are in general needed to avoid chromium volatilization and poisoning of cathodes from chromium species. Mn-Co spinel is one...... of the promising candidates to prevent chromium outward diffusion, improve oxidation resistance and ensure high electrical conductivity over the lifetime of interconnects. In the present study, uniform and well adherent Mn-Co spinel coatings were produced on Crofer 22APU using electrophoretic deposition (EPD...

  19. Robust design of head interconnect for hard disk drive

    Science.gov (United States)

    Gao, X. K.; Liu, Q. H.; Liu, Z. J.

    2005-05-01

    Design of head interconnect is one of the important issues for hard disk drives with higher data rate and storage capacity. The impedance of interconnect and electromagnetic coupling influence the quality level of data communication. Thus an insightful study on how the trace configuration affects the impedance and crosstalk is necessary. An effective design approach based on Taguchi's robust design method is employed therefore in an attempt to realize impedance matching and crosstalk minimization with the effects of uncontrollable sources taken into consideration.

  20. A proposed holistic approach to on-chip, off-chip, test, and package interconnections

    Science.gov (United States)

    Bartelink, Dirk J.

    1998-11-01

    The term interconnection has traditionally implied a `robust' connection from a transistor or a group of transistors in an IC to the outside world, usually a PC board. Optimum system utilization is done from outside the IC. As an alternative, this paper addresses `unimpeded' transistor-to-transistor interconnection aimed at reaching the high circuit densities and computational capabilities of neighboring IC's. In this view, interconnections are not made to some human-centric place outside the IC world requiring robustness—except for system input and output connections. This unimpeded interconnect style is currently available only through intra-chip signal traces in `system-on-a-chip' implementations, as exemplified by embedded DRAMs. Because the traditional off-chip penalty in performance and wiring density is so large, a merging of complex process technologies is the only option today. It is suggested that, for system integration to move forward, the traditional robustness requirement inherited from conventional packaging interconnect and IC manufacturing test must be discarded. Traditional system assembly from vendor parts requires robustness under shipping, inspection and assembly. The trend toward systems on a chip signifies willingness by semiconductor companies to design and fabricate whole systems in house, so that `in-house' chip-to-chip assembly is not beyond reach. In this scenario, bare chips never leave the controlled environment of the IC fabricator while the two major contributors to off-chip signal penalty, ESD protection and the need to source a 50-ohm test head, are avoided. With in-house assembly, ESD protection can be eliminated with the precautions already familiar in plasma etching. Test interconnection impacts the fundamentals of IC manufacturing, particularly with clock speeds approaching 1GHz, and cannot be an afterthought. It should be an integral part of the chip-to-chip interconnection bandwidth optimization, because—as we must

  1. Electric power grid interconnection in Northeast Asia

    International Nuclear Information System (INIS)

    Yun, Won-Cheol; Zhang, Zhong Xiang

    2006-01-01

    In spite of regional closeness, energy cooperation in Northeast Asia has remained unexplored. However, this situation appears to be changing. The government of South Korea seems to be very enthusiastic for power grid interconnection between the Russian Far East and South Korea to overcome difficulties in finding new sites for building power facilities to meet its need for increased electricity supplies. This paper analyzes the feasibility of this electric power grid interconnection route. The issues addressed include electricity market structures; the prospects for electric power industry restructuring in the Russian Federation and South Korea; the political issues related to North Korea; the challenges for the governments involved and the obstacles anticipated in moving this project forward; project financing and the roles and concerns from multilateral and regional banks; and institutional framework for energy cooperation. While there are many technical issues that need to be resolved, we think that the great challenge lies in the financing of this commercial project. Thus, the governments of the Russian Federation and South Korea involved in the project need to foster the development of their internal capital markets and to create confidence with international investors. To this end, on energy side, this involves defining a clear energy policy implemented by independent regulators, speeding up the already started but delayed reform process of restructuring electric power industry and markets, and establishing a fair and transparent dispute resolution mechanism in order to reduce non-commercial risks to a minimum. The paper argues that establishing a framework for energy cooperation in this region will contribute positively towards that end, although views differ regarding its specific form. Finally, given that North Korea has a crucial transit role to play and faces a very unstable political situation, it is concluded that moving the project forward needs to be

  2. Thermo-electric Analysis of the Interconnection of the LHC main Superconducting Bus Bars

    CERN Document Server

    Granieri, P P; Casali, M; Bottura, L; Siemko, A

    2013-01-01

    Spurred by the question of the maximum allowable energy for the operation of the Large Hadron Collider (LHC), we have progressed in the understanding of the thermo-electric behavior of the 13 kA superconducting bus bars interconnecting its main magnets. A deep insight of the underlying mechanisms is required to ensure the protection of the accelerator against undesired effects of resistive transitions. This is especially important in case of defective interconnections which can jeopardize the operation of the whole LHC. In this paper we present a numerical model of the interconnections between the main dipole and quadrupole magnets, validated against experimental tests of an interconnection sample with a purposely built-in defect. We consider defective interconnections featuring a lack of bonding among the superconducting cables and the copper stabilizer components, such as those that could be present in the machine. We evaluate the critical defect length limiting the maximum allowable current for powering th...

  3. Structure-dependent behavior of stress-induced voiding in Cu interconnects

    International Nuclear Information System (INIS)

    Wu Zhenyu; Yang Yintang; Chai Changchun; Li Yuejin; Wang Jiayou; Li Bin; Liu Jing

    2010-01-01

    Stress modeling and cross-section failure analysis by focused-ion-beam have been used to investigate stress-induced voiding phenomena in Cu interconnects. The voiding mechanism and the effect of the interconnect structure on the stress migration have been studied. The results show that the most concentrated tensile stress appears and voids form at corners of vias on top surfaces of Cu M1 lines. A simple model of stress induced voiding in which vacancies arise due to the increase of the chemical potential under tensile stress and diffuse under the force of stress gradient along the main diffusing path indicates that stress gradient rather than stress itself determines the voiding rate. Cu interconnects with larger vias show less resistance to stress-induced voiding due to larger stress gradient at corners of vias.

  4. Production and characterisation of SLID interconnected n-in-p pixel modules with 75 μm thin silicon sensors

    Energy Technology Data Exchange (ETDEWEB)

    Andricek, L. [Halbleiterlabor der Max-Planck-Gesellschaft, Otto Hahn Ring 6, D-81739 München (Germany); Beimforde, M.; Macchiolo, A.; Moser, H.-G. [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, D-80805 München (Germany); Nisius, R., E-mail: Richard.Nisius@mpp.mpg.de [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, D-80805 München (Germany); Richter, R.H. [Halbleiterlabor der Max-Planck-Gesellschaft, Otto Hahn Ring 6, D-81739 München (Germany); Terzo, S.; Weigell, P. [Max-Planck-Institut für Physik (Werner-Heisenberg-Institut), Föhringer Ring 6, D-80805 München (Germany)

    2014-09-11

    The performance of pixel modules built from 75 μm thin silicon sensors and ATLAS read-out chips employing the Solid Liquid InterDiffusion (SLID) interconnection technology is presented. This technology, developed by the Fraunhofer EMFT, is a possible alternative to the standard bump-bonding. It allows for stacking of different interconnected chip and sensor layers without destroying the already formed bonds. In combination with Inter-Chip-Vias (ICVs) this paves the way for vertical integration. Both technologies are combined in a pixel module concept which is the basis for the modules discussed in this paper. Mechanical and electrical parameters of pixel modules employing both SLID interconnections and sensors of 75 μm thickness are covered. The mechanical features discussed include the interconnection efficiency, alignment precision and mechanical strength. The electrical properties comprise the leakage currents, tuning characteristics, charge collection, cluster sizes and hit efficiencies. Targeting at a usage at the high luminosity upgrade of the LHC accelerator called HL-LHC, the results were obtained before and after irradiation up to fluences of 10{sup 16}n{sub eq}/cm{sup 2}.

  5. Functional neuroanatomy of amygdalohippocampal interconnections and their role in learning and memory.

    Science.gov (United States)

    McDonald, Alexander J; Mott, David D

    2017-03-01

    The amygdalar nuclear complex and hippocampal/parahippocampal region are key components of the limbic system that play a critical role in emotional learning and memory. This Review discusses what is currently known about the neuroanatomy and neurotransmitters involved in amygdalo-hippocampal interconnections, their functional roles in learning and memory, and their involvement in mnemonic dysfunctions associated with neuropsychiatric and neurological diseases. Tract tracing studies have shown that the interconnections between discrete amygdalar nuclei and distinct layers of individual hippocampal/parahippocampal regions are robust and complex. Although it is well established that glutamatergic pyramidal cells in the amygdala and hippocampal region are the major players mediating interconnections between these regions, recent studies suggest that long-range GABAergic projection neurons are also involved. Whereas neuroanatomical studies indicate that the amygdala only has direct interconnections with the ventral hippocampal region, electrophysiological studies and behavioral studies investigating fear conditioning and extinction, as well as amygdalar modulation of hippocampal-dependent mnemonic functions, suggest that the amygdala interacts with dorsal hippocampal regions via relays in the parahippocampal cortices. Possible pathways for these indirect interconnections, based on evidence from previous tract tracing studies, are discussed in this Review. Finally, memory disorders associated with dysfunction or damage to the amygdala, hippocampal region, and/or their interconnections are discussed in relation to Alzheimer's disease, posttraumatic stress disorder (PTSD), and temporal lobe epilepsy. © 2016 Wiley Periodicals, Inc. © 2016 Wiley Periodicals, Inc.

  6. Reliability analysis of magnetic logic interconnect wire subjected to magnet edge imperfections

    Science.gov (United States)

    Zhang, Bin; Yang, Xiaokuo; Liu, Jiahao; Li, Weiwei; Xu, Jie

    2018-02-01

    Nanomagnet logic (NML) devices have been proposed as one of the best candidates for the next generation of integrated circuits thanks to its substantial advantages of nonvolatility, radiation hardening and potentially low power. In this article, errors of nanomagnetic interconnect wire subjected to magnet edge imperfections have been evaluated for the purpose of reliable logic propagation. The missing corner defects of nanomagnet in the wire are modeled with a triangle, and the interconnect fabricated with various magnetic materials is thoroughly investigated by micromagnetic simulations under different corner defect amplitudes and device spacings. The results show that as the defect amplitude increases, the success rate of logic propagation in the interconnect decreases. More results show that from the interconnect wire fabricated with materials, iron demonstrates the best defect tolerance ability among three representative and frequently used NML materials, also logic transmission errors can be mitigated by adjusting spacing between nanomagnets. These findings can provide key technical guides for designing reliable interconnects. Project supported by the National Natural Science Foundation of China (No. 61302022) and the Scientific Research Foundation for Postdoctor of Air Force Engineering University (Nos. 2015BSKYQD03, 2016KYMZ06).

  7. LHC beampipe interconnection

    CERN Document Server

    Particle beams circulate for around 10 hours in the Large Hadron Collider (LHC). During this time, the particles make four hundred million revolutions of the machine, travelling a distance equivalent to the diameter of the solar system. The beams must travel in a pipe which is emptied of air, to avoid collisions between the particles and air molecules (which are considerably bigger than protons). The beam pipes are pumped down to an air pressure similar to that on the surface of the moon. Much of the LHC runs at 1.9 degrees above absolute zero. When material is cooled, it contracts. The interconnections must absorb this contraction whilst maintaining electrical connectivity.

  8. Reliable, Low Cost Distributed Generator/Utility System Interconnect: 2001 Annual Report

    Energy Technology Data Exchange (ETDEWEB)

    2003-08-01

    This report details a research program to develop requirements that support the definition, design, and demonstration of a distributed generation-electric power system interconnection interface concept that allows distributed generation to be interconnected to the electric power system in a manner that provides value to end users without compromising reliability and performance.

  9. Modeling of Ni Diffusion Induced Austenite Formation in Ferritic Stainless Steel Interconnects

    DEFF Research Database (Denmark)

    Chen, Ming; Alimadadi, Hossein; Molin, Sebastian

    2017-01-01

    Ferritic stainless steel interconnect plates are widely used in planar solid oxide fuel cell and electrolysis cell stacks. During stack production and operation, nickel from the Ni/yttria stabilized zirconia fuel electrode or from the Ni contact component layer diffuses into the interconnect plate......, causing transformation of the ferritic phase into an austenitic phase in the interface region. This is accompanied with changes in volume, and in mechanical and corrosion properties of the interconnect plates. In this work, kinetic modeling of the inter-diffusion between Ni and FeCr based ferritic...

  10. One-step fabrication of microfluidic chips with in-plane, adhesive-free interconnections

    DEFF Research Database (Denmark)

    Sabourin, David; Dufva, Martin; Jensen, Thomas Glasdam

    2010-01-01

    A simple method for creating interconnections to a common microfluidic device material, poly(methyl methacrylate) (PMMA), is presented. A press-fit interconnection is created between oversized, deformable tubing and complementary, undersized semi-circular ports fabricated into PMMA bonding surfac...

  11. EEG simulation by 2D interconnected chaotic oscillators

    Energy Technology Data Exchange (ETDEWEB)

    Kubany, Adam, E-mail: adamku@bgu.ac.i [Department of Industrial Engineering and Management, Ben-Gurion University of the Negev, P.O. Box 653, Beer-Sheva 84105 (Israel); Mhabary, Ziv; Gontar, Vladimir [Department of Industrial Engineering and Management, Ben-Gurion University of the Negev, P.O. Box 653, Beer-Sheva 84105 (Israel)

    2011-01-15

    Research highlights: ANN of 2D interconnected chaotic oscillators is explored for EEG simulation. An inverse problem solution (PRCGA) is proposed. Good matching between the simulated and experimental EEG signals has been achieved. - Abstract: An artificial neuronal network composed by 2D interconnected chaotic oscillators is explored for brain waves (EEG) simulation. For the inverse problem solution a parallel real-coded genetic algorithm (PRCGA) is proposed. In order to conduct thorough comparison between the simulated and target signal characteristics, a spectrum analysis of the signals is undertaken. A good matching between the theoretical and experimental EEG signals has been achieved. Numerical results of calculations are presented and discussed.

  12. EEG simulation by 2D interconnected chaotic oscillators

    International Nuclear Information System (INIS)

    Kubany, Adam; Mhabary, Ziv; Gontar, Vladimir

    2011-01-01

    Research highlights: → ANN of 2D interconnected chaotic oscillators is explored for EEG simulation. → An inverse problem solution (PRCGA) is proposed. → Good matching between the simulated and experimental EEG signals has been achieved. - Abstract: An artificial neuronal network composed by 2D interconnected chaotic oscillators is explored for brain waves (EEG) simulation. For the inverse problem solution a parallel real-coded genetic algorithm (PRCGA) is proposed. In order to conduct thorough comparison between the simulated and target signal characteristics, a spectrum analysis of the signals is undertaken. A good matching between the theoretical and experimental EEG signals has been achieved. Numerical results of calculations are presented and discussed.

  13. Evaluation of STS 430 and STS 444 for SOFC Interconnect Applications

    International Nuclear Information System (INIS)

    Kim, S. H.; Huh, J. Y.; Jun, J. H.; Kim, D. H.; Jun, J. H.

    2007-01-01

    Ferritic stainless steels for the SOFC interconnect applications are required to possess not only a good oxidation resistance, but also a high electrical conductivity of te oxide scale that forms during exposure at the SOFC operating environment. In order to understand the effects of alloying elements on the oxidation behavior of ferritic stainless steels and on the electrical properties of oxide scales, two kinds of commercial ferritic stainless steels, STS 430 and STS 444, were investigated by performing isothermal oxidations at 800 .deg. C in a wet air containing 3% H 2 O. The results showed that STS 444 was superior to STS 430 in both of the oxidation resistance and the area specific resistance. Although STS 444 contained a less amount of Mn for the (Mn, Cr) 3 O 4 spinel formation than STS 430, the minor alloying elements of Al and Mo in STS 444, which were accumulated in the base metal region adjacent the scale, were suggested to reduce the scale growth rate and to enhance the scale adherence to the base metal

  14. Classical molecular dynamics and quantum ab-initio studies on lithium-intercalation in interconnected hollow spherical nano-spheres of amorphous silicon

    Energy Technology Data Exchange (ETDEWEB)

    Bhowmik, A. [Atomic Scale Modelling and Materials, Department of Energy Conversion and Storage, Technical University of Denmark, Rios Campus, Frederiksborgvej 399, DK-4000 Roskilde (Denmark); Malik, R. [Department of Metallurgical and Materials Engineering, Indian Institute of Technology Kharagpur, 721302 (India); Prakash, S. [Defense Metallurgical Research Laboratory, Hyderabad (India); Sarkar, T.; Bharadwaj, M.D. [Center for Study of Science Technology and Policy, Bangalore 560094 (India); Aich, S. [Department of Metallurgical and Materials Engineering, Indian Institute of Technology Kharagpur, 721302 (India); Ghosh, S., E-mail: sudipto@metal.iitkgp.ernet.in [Department of Metallurgical and Materials Engineering, Indian Institute of Technology Kharagpur, 721302 (India)

    2016-04-25

    A high concentration of lithium, corresponding to charge capacity of ∼4200 mAh/g, can be intercalated in silicon. Unfortunately, due to high intercalation strain leading to fracture and consequent poor cyclability, silicon cannot be used as anode in lithium ion batteries. But recently interconnected hollow nano-spheres of amorphous silicon have been found to exhibit high cyclability. The absence of fracture upon lithiation and the high cyclability has been attributed to reduction in intercalation stress due to hollow spherical geometry of the silicon nano-particles. The present work argues that the hollow spherical geometry alone cannot ensure the absence of fracture. Using classical molecular dynamics and density functional theory based simulations; satisfactory explanation to the absence of fracture has been explored at the atomic scale. - Highlights: • Interconnected nanoshells of amorphous Si: best available lithium ion cell anode. • High cycle life not understood in the light of poor K{sub IC} of amorphous Si. • MD reveals: atomic density of interconnected structure is ∼16% less than bulk Si. • Leads to drastic reduction (DFT) in lithiation σ & metal like e{sup −} structure (high K{sub IC}). • Lowering of lithiation σ and increase in K{sub IC} result in high cycle life.

  15. 76 FR 42534 - Mandatory Reliability Standards for Interconnection Reliability Operating Limits; System...

    Science.gov (United States)

    2011-07-19

    ... Reliability Operating Limits; System Restoration Reliability Standards AGENCY: Federal Energy Regulatory... data necessary to analyze and monitor Interconnection Reliability Operating Limits (IROL) within its... Interconnection Reliability Operating Limits, Order No. 748, 134 FERC ] 61,213 (2011). \\2\\ The term ``Wide-Area...

  16. Interconnection of bundled solid oxide fuel cells

    Science.gov (United States)

    Brown, Michael; Bessette, II, Norman F; Litka, Anthony F; Schmidt, Douglas S

    2014-01-14

    A system and method for electrically interconnecting a plurality of fuel cells to provide dense packing of the fuel cells. Each one of the plurality of fuel cells has a plurality of discrete electrical connection points along an outer surface. Electrical connections are made directly between the discrete electrical connection points of adjacent fuel cells so that the fuel cells can be packed more densely. Fuel cells have at least one outer electrode and at least one discrete interconnection to an inner electrode, wherein the outer electrode is one of a cathode and and anode and wherein the inner electrode is the other of the cathode and the anode. In tubular solid oxide fuel cells the discrete electrical connection points are spaced along the length of the fuel cell.

  17. Modular cryogenic interconnects for multi-qubit devices

    Energy Technology Data Exchange (ETDEWEB)

    Colless, J. I.; Reilly, D. J., E-mail: david.reilly@sydney.edu.au [ARC Centre of Excellence for Engineered Quantum Systems, School of Physics, The University of Sydney, Sydney, NSW 2006 (Australia)

    2014-11-15

    We have developed a modular interconnect platform for the control and readout of multiple solid-state qubits at cryogenic temperatures. The setup provides 74 filtered dc-bias connections, 32 control and readout connections with −3 dB frequency above 5 GHz, and 4 microwave feed lines that allow low loss (less than 3 dB) transmission 10 GHz. The incorporation of a radio-frequency interposer enables the platform to be separated into two printed circuit boards, decoupling the simple board that is bonded to the qubit chip from the multilayer board that incorporates expensive connectors and components. This modular approach lifts the burden of duplicating complex interconnect circuits for every prototype device. We report the performance of this platform at milli-Kelvin temperatures, including signal transmission and crosstalk measurements.

  18. Fabrication method to create high-aspect ratio pillars for photonic coupling of board level interconnects

    Science.gov (United States)

    Debaes, C.; Van Erps, J.; Karppinen, M.; Hiltunen, J.; Suyal, H.; Last, A.; Lee, M. G.; Karioja, P.; Taghizadeh, M.; Mohr, J.; Thienpont, H.; Glebov, A. L.

    2008-04-01

    An important challenge that remains to date in board level optical interconnects is the coupling between the optical waveguides on printed wiring boards and the packaged optoelectronics chips, which are preferably surface mountable on the boards. One possible solution is the use of Ball Grid Array (BGA) packages. This approach offers a reliable attachment despite the large CTE mismatch between the organic FR4 board and the semiconductor materials. Collimation via micro-lenses is here typically deployed to couple the light vertically from the waveguide substrate to the optoelectronics while allowing for a small misalignment between board and package. In this work, we explore the fabrication issues of an alternative approach in which the vertical photonic connection between board and package is governed by a micro-optical pillar which is attached both to the board substrate and to the optoelectronic chips. Such an approach allows for high density connections and small, high-speed detector footprints while maintaining an acceptable tolerance between board and package. The pillar should exhibit some flexibility and thus a high-aspect ratio is preferred. This work presents and compares different fabrication methods and applies different materials for such high-aspect ratio pillars. The different fabrication methods are: photolithography, direct laser writing and deep proton writing. The selection of optical materials that was investigated is: SU8, Ormocers, PU and a multifunctional acrylate polymer. The resulting optical pillars have diameters ranging from 20um up to 80um, with total heights ranging between 30um and 100um (symbol for micron). The aspect-ratio of the fabricated structures ranges from 1.5 to 5.

  19. New organization scheme for the energy supply in the not interconnected zones of Colombia

    International Nuclear Information System (INIS)

    Zapata, Josue; Bayona Lugdy

    2001-01-01

    The paper shows a new scheme of solutions in the financial institutional environment and regulatory, in this sense it thinks about the creation from a support unit to the rural energy administration that takes charge of to identify energy solutions and the technical and organizational support of the service of a foundation that manage the obtained resources and a interconnected scheme to the current conditions of the NIZ. In Colombia the not interconnected zones NIZ corresponds those of the country that don't receive electric power service through the national interconnected system, and who interconnection is not economically feasible

  20. Visualizing interconnections among climate risks

    Science.gov (United States)

    Tanaka, K.; Yokohata, T.; Nishina, K.; Takahashi, K.; Emori, S.; Kiguchi, M.; Iseri, Y.; Honda, Y.; Okada, M.; Masaki, Y.; Yamamoto, A.; Shigemitsu, M.; Yoshimori, M.; Sueyoshi, T.; Hanasaki, N.; Ito, A.; Sakurai, G.; Iizumi, T.; Nishimori, M.; Lim, W. H.; Miyazaki, C.; Kanae, S.; Oki, T.

    2015-12-01

    It is now widely recognized that climate change is affecting various sectors of the world. Climate change impact on one sector may spread out to other sectors including those seemingly remote, which we call "interconnections of climate risks". While a number of climate risks have been identified in the Intergovernmental Panel on Climate Change (IPCC) Fifth Assessment Report (AR5), there has been no attempt to explore their interconnections comprehensively. Here we present a first and most exhaustive visualization of climate risks drawn based on a systematic literature survey. Our risk network diagrams depict that changes in the climate system impact natural capitals (terrestrial water, crop, and agricultural land) as well as social infrastructures, influencing the socio-economic system and ultimately our access to food, water, and energy. Our findings suggest the importance of incorporating climate risk interconnections into impact and vulnerability assessments and call into question the widely used damage function approaches, which address a limited number of climate change impacts in isolation. Furthermore, the diagram is useful to educate decision makers, stakeholders, and general public about cascading risks that can be triggered by the climate change. Socio-economic activities today are becoming increasingly more inter-dependent because of the rapid technological progress, urbanization, and the globalization among others. Equally complex is the ecosystem that is susceptible to climate change, which comprises interwoven processes affecting one another. In the context of climate change, a number of climate risks have been identified and classified according to regions and sectors. These reports, however, did not fully address the inter-relations among risks because of the complexity inherent in this issue. Climate risks may ripple through sectors in the present inter-dependent world, posing a challenge ahead of us to maintain the resilience of the system. It is

  1. Traffic Load on Interconnection Lines of Generalized Double Ring Network Structures

    DEFF Research Database (Denmark)

    Pedersen, Jens Myrup; Riaz, Muhammad Tahir; Madsen, Ole Brun

    2004-01-01

    Generalized Double Ring (N2R) network structures possess a number of good properties, but being not planar they are hard to physically embed in communication networks. However, if some of the lines, the interconnection lines, are implemented by wireless technologies, the remaining structure...... consists of two planar rings, which are easily embedded by fiber or other wired solutions. It is shown that for large N2R structures, the interconnection lines carry notably lower loads than the other lines if shortest-path routing is used, and the effects of two other routing schemes are explored, leading...... to lower load on interconnection lines at the price of larger efficient average distance and diameter....

  2. Development of a thin film solar cell interconnect for the PowerSphere concept

    International Nuclear Information System (INIS)

    Simburger, Edward J.; Matsumoto, James H.; Giants, Thomas W.; Garcia, Alexander; Liu, Simon; Rawal, Suraj P.; Perry, Alan R.; Marshall, Craig H.; Lin, John K.; Scarborough, Stephen E.; Curtis, Henry B.; Kerslake, Thomas W.; Peterson, Todd T.

    2005-01-01

    Progressive development of microsatellite technologies has resulted in increased demand for lightweight electrical power subsystems including solar arrays. The use of thin film photovoltaics has been recognized as a key solution to meet the power needs. The lightweight cells can generate sufficient power and still meet critical mass requirements. Commercially available solar cells produced on lightweight substrates are being studied as an option to fulfill the power needs. The commercially available solar cells are relatively inexpensive and have a high payoff potential. Commercially available thin film solar cells are primarily being produced for terrestrial applications. The need to convert the solar cell from a terrestrial to a space compatible application is the primary challenge. Solar cell contacts, grids and interconnects need to be designed to be atomic oxygen resistant and withstand rapid thermal cycling environments. A mechanically robust solar cell interconnect is also required in order to withstand handling during fabrication and survive during launch. The need to produce the solar cell interconnects has been identified as a primary goal of the PowerSphere program and is the topic of this paper. Details of the trade study leading to the final design involving the solar cell wrap around contact, flex blanket, welding process, and frame will be presented at the conference

  3. The Advances, Challenges and Future Possibilities of Millimeter-Wave Chip-to-Chip Interconnections for Multi-Chip Systems

    Directory of Open Access Journals (Sweden)

    Amlan Ganguly

    2018-02-01

    Full Text Available With aggressive scaling of device geometries, density of manufacturing faults is expected to increase. Therefore, yield of complex Multi-Processor Systems-on-Chips (MP-SoCs will decrease due to higher probability of manufacturing defects especially, in dies with large area. Therefore, disintegration of large SoCs into smaller chips called chiplets will improve yield and cost of complex platform-based systems. This will also provide functional flexibility, modular scalability as well as the capability to integrate heterogeneous architectures and technologies in a single unit. However, with scaling of the number of chiplets in such a system, the shared resources in the system such as the interconnection fabric and memory modules will become performance bottlenecks. Additionally, the integration of heterogeneous chiplets operating at different frequencies and voltages can be challenging. State-of-the-art inter-chip communication requires power-hungry high-speed I/O circuits and data transfer over long wired traces on substrates. This increases energy consumption and latency while decreasing data bandwidth for chip-to-chip communication. In this paper, we explore the advances and the challenges of interconnecting a multi-chip system with millimeter-wave (mm-wave wireless interconnects from a variety of perspectives spanning multiple aspects of the wireless interconnection design. Our discussion on the recent advances include aspects such as interconnection topology, physical layer, Medium Access Control (MAC and routing protocols. We also present some potential paradigm-shifting applications as well as complementary technologies of wireless inter-chip communications.

  4. Interconnecting Microgrids via the Energy Router with Smart Energy Management

    Directory of Open Access Journals (Sweden)

    Yingshu Liu

    2017-08-01

    Full Text Available A novel and flexible interconnecting framework for microgrids and corresponding energy management strategies are presented, in response to the situation of increasing renewable-energy penetration and the need to alleviate dependency on energy storage equipment. The key idea is to establish complementary energy exchange between adjacent microgrids through a multiport electrical energy router, according to the consideration that adjacent microgrids may differ substantially in terms of their patterns of energy production and consumption, which can be utilized to compensate for each other’s instant energy deficit. Based on multiport bidirectional voltage source converters (VSCs and a shared direct current (DC power line, the energy router serves as an energy hub, and enables flexible energy flow among the adjacent microgrids and the main grid. The analytical model is established for the whole system, including the energy router, the interconnected microgrids and the main grid. Various operational modes of the interconnected microgrids, facilitated by the energy router, are analyzed, and the corresponding control strategies are developed. Simulations are carried out on the Matlab/Simulink platform, and the results have demonstrated the validity and reliability of the idea for microgrid interconnection as well as the corresponding control strategies for flexible energy flow.

  5. Electrohydrodynamic direct—writing of conductor—insulator-conductor multi-layer interconnection

    International Nuclear Information System (INIS)

    Zheng Gao-Feng; Pei Yan-Bo; Wang Xiang; Zheng Jian-Yi; Sun Dao-Heng

    2014-01-01

    A multi-layer interconnection structure is a basic component of electronic devices, and printing of the multi-layer interconnection structure is the key process in printed electronics. In this work, electrohydrodynamic direct-writing (EDW) is utilized to print the conductor—insulator—conductor multi-layer interconnection structure. Silver ink is chosen to print the conductor pattern, and a polyvinylpyrrolidone (PVP) solution is utilized to fabricate the insulator layer between the bottom and top conductor patterns. The influences of EDW process parameters on the line width of the printed conductor and insulator patterns are studied systematically. The obtained results show that the line width of the printed structure increases with the increase of the flow rate, but decreases with the increase of applied voltage and PVP content in the solution. The average resistivity values of the bottom and top silver conductor tracks are determined to be 1.34 × 10 −7 Ω·m and 1.39 × 10 −7 Ω·m, respectively. The printed PVP layer between the two conductor tracks is well insulated, which can meet the insulation requirement of the electronic devices. This study offers an alternative, fast, and cost-effective method of fabricating conductor—insulator—conductor multi-layer interconnections in the electronic industry

  6. Fundamentals of reliability engineering applications in multistage interconnection networks

    CERN Document Server

    Gunawan, Indra

    2014-01-01

    This book presents fundamentals of reliability engineering with its applications in evaluating reliability of multistage interconnection networks. In the first part of the book, it introduces the concept of reliability engineering, elements of probability theory, probability distributions, availability and data analysis.  The second part of the book provides an overview of parallel/distributed computing, network design considerations, and more.  The book covers a comprehensive reliability engineering methods and its practical aspects in the interconnection network systems. Students, engineers, researchers, managers will find this book as a valuable reference source.

  7. Nonfragile Guaranteed Cost Control and Optimization for Interconnected Systems of Neutral Type

    Directory of Open Access Journals (Sweden)

    Heli Hu

    2013-01-01

    Full Text Available The design and optimization problems of the nonfragile guaranteed cost control are investigated for a class of interconnected systems of neutral type. A novel scheme, viewing the interconnections with time-varying delays as effective information but not disturbances, is developed to decrease the conservatism. Many techniques on decomposing and magnifying the matrices are utilized to obtain the guaranteed cost of the considered system. Also, an algorithm is proposed to solve the nonlinear problem of the interconnected matrices. Based on this algorithm, the minimization of the guaranteed cost of the considered system is obtained by optimization. Further, the state feedback control is extended to the case in which the underlying system is dependent on uncertain parameters. Finally, two numerical examples are given to illustrate the proposed method, and some comparisons are made to show the advantages of the schemes of dealing with the interconnections.

  8. New transmission interconnection reduces consumer costs

    Energy Technology Data Exchange (ETDEWEB)

    Anon.

    2008-09-15

    The Central American electric interconnection system (SIEPAC) project will involve the construction of a 1830 km 230 kV transmission system that will link Guatemala, El Salvador, Honduras, Costa Rica, Nicaragua, and Panama. The system is expected to alleviate the region's power shortages and reduce electricity costs for consumers. Costs for the SIEPAC project have been estimated at $370 million. The system will serve approximately 37 million customers, and will include 15 substations. The contract for building the electrical equipment has been awarded to Schweitzer Engineering Laboratories (SEL) who plan to manufacture components at a plant in Mexico. The equipment will include high speed line protection, automation, and control systems. Line current differential systems and satellite-synchronized clocks will also be used. The new transmission system is expected to be fully operational by 2009. 1 fig.

  9. Dominant effect of high anisotropy in β-Sn grain on electromigration-induced failure mechanism in Sn-3.0Ag-0.5Cu interconnect

    Energy Technology Data Exchange (ETDEWEB)

    Huang, M.L., E-mail: huang@dlut.edu.cn; Zhao, J.F.; Zhang, Z.J.; Zhao, N.

    2016-09-05

    The effect of high diffusivity anisotropy in β-Sn grain on electromigration behavior of micro-bumps was clearly demonstrated using Sn-3.0Ag-0.5Cu solder interconnects with only two β-Sn grains. The orientation of β-Sn grain (θ is defined as the angle between the c-axis of β-Sn grain and the electron flow direction) is becoming the most crucial factor to dominate the different electromigration-induced failure modes: 1) the excessive dissolution of the cathode Cu, blocking at the grain boundary and massive precipitation of columnar Cu{sub 6}Sn{sub 5} intermetallic compounds (IMCs) in the small angle θ β-Sn grain occur when electrons flow from a small angle θ β-Sn grain to a large one; 2) void formation and propagation occur at the cathode IMC/solder interface and no Cu{sub 6}Sn{sub 5} IMCs precipitate within the large angle θ β-Sn grain when electrons flow in the opposite direction. The EM-induced failure mechanism of the two β-Sn grain solder interconnects is well explained in viewpoint of atomic diffusion flux in β-Sn. - Highlights: • High anisotropy in β-Sn dominates different electromigration-induced failure mode. • Excessive dissolution of cathode Cu occurs if electrons flow in forward direction. • Voids initiate and propagate at cathode if electrons flow in reverse direction. • Failure modes are well explained in viewpoint of atomic diffusion flux in β-Sn.

  10. Development of Interconnect Technologies for Particle Detectors

    Energy Technology Data Exchange (ETDEWEB)

    Tripathi, Mani [Univ. of California, Davis, CA (United States)

    2015-01-29

    This final report covers the three years of this grant, for the funding period 9/1/2010 - 8/31/2013. The project consisted of generic detector R&D work at UC Davis, with an emphasis on developing interconnect technologies for applications in HEP. Much of the work is done at our Facility for Interconnect Technologies (FIT) at UC Davis. FIT was established using ARRA funds, with further studies supported by this grant. Besides generic R&D work at UC Davis, FIT is engaged in providing bump bonding help to several DOE supported detector R&D efforts. Some of the developmental work was also supported by funding from other sources: continuing CMS project funds and the Linear Collider R&D funds. The latter program is now terminated. The three year program saw a good deal of progress on several fronts, which are reported here.

  11. Interconnected porous hydroxyapatite ceramics for bone tissue engineering

    Science.gov (United States)

    Yoshikawa, Hideki; Tamai, Noriyuki; Murase, Tsuyoshi; Myoui, Akira

    2008-01-01

    Several porous calcium hydroxyapatite (HA) ceramics have been used clinically as bone substitutes, but most of them possessed few interpore connections, resulting in pathological fracture probably due to poor bone formation within the substitute. We recently developed a fully interconnected porous HA ceramic (IP-CHA) by adopting the ‘foam-gel’ technique. The IP-CHA had a three-dimensional structure with spherical pores of uniform size (average 150 μm, porosity 75%), which were interconnected by window-like holes (average diameter 40 μm), and also demonstrated adequate compression strength (10–12 MPa). In animal experiments, the IP-CHA showed superior osteoconduction, with the majority of pores filled with newly formed bone. The interconnected porous structure facilitates bone tissue engineering by allowing the introduction of mesenchymal cells, osteotropic agents such as bone morphogenetic protein or vasculature into the pores. Clinically, we have applied the IP-CHA to treat various bony defects in orthopaedic surgery, and radiographic examinations demonstrated that grafted IP-CHA gained radiopacity more quickly than the synthetic HA in clinical use previously. We review the accumulated data on bone tissue engineering using the novel scaffold and on clinical application in the orthopaedic field. PMID:19106069

  12. Enhancing Ecoefficiency in Shrimp Farming through Interconnected Ponds

    Directory of Open Access Journals (Sweden)

    Ramón Héctor Barraza-Guardado

    2015-01-01

    Full Text Available The future development of shrimp farming needs to improve its ecoefficiency. The purpose of this study was to evaluate water quality, flows, and nitrogen balance and production parameters on a farm with interconnected pond design to improve the efficiency of the semi-intensive culture of Litopenaeus vannamei ponds. The study was conducted in 21 commercial culture ponds during 180 days at densities of 30–35 ind m−2 and daily water exchange <2%. Our study provides evidence that by interconnecting ponds nutrient recycling is favored by promoting the growth of primary producers of the pond as chlorophyll a. Based on the mass balance and flow of nutrients this culture system reduces the flow of solid, particulate organic matter, and nitrogen compounds to the environment and significantly increases the efficiency of water (5 to 6.5 m3 kg−1 cycle−1, when compared with traditional culture systems. With this culture system it is possible to recover up to 34% of the total nitrogen entering the system, with production in excess of 4,000 kg ha−1 shrimp. We believe that the production system with interconnected ponds is a technically feasible model to improve ecoefficiency production of shrimp farming.

  13. Patterned electrodeposition of interconnects using microcontact printing

    NARCIS (Netherlands)

    Hovestad, A.; Rendering, H.; Maijenburg, A.W.

    2012-01-01

    Microcontact printing combined with electroless deposition is a potential low cost technique to make electrical interconnects for opto-electronic devices. Microcontact printed inhibitors locally prevent electroless deposition resulting in a pre-defined pattern of metal tracks. The inhibition of

  14. Comparing Germany's and California's Interconnection Processes for PV Systems (White Paper)

    Energy Technology Data Exchange (ETDEWEB)

    Tweedie, A.; Doris, E.

    2011-07-01

    Establishing interconnection to the grid is a recognized barrier to the deployment of distributed energy generation. This report compares interconnection processes for photovoltaic projects in California and Germany. This report summarizes the steps of the interconnection process for developers and utilities, the average length of time utilities take to process applications, and paperwork required of project developers. Based on a review of the available literature, this report finds that while the interconnection procedures and timelines are similar in California and Germany, differences in the legal and regulatory frameworks are substantial.

  15. A Vision of China-Arab Interconnection Transmission Network Planning with UHVDC Technology

    Science.gov (United States)

    Wu, Dan; Liu, Yujun; Yin, Hongyuan; Xu, Qingshan; Xu, Xiaohui; Ding, Maosheng

    2017-05-01

    Developments in ultra-high-voltage (UHV) power systems and clean energy technologies are paving the way towards unprecedented energy market globalization. In accordance with the international community’s enthusiasm for building up the Global Energy Internet, this paper focuses on the feasibility of transmitting large-size electricity from northwest China to Arab world through a long-distance transnational power interconnection. The complete investigations on the grids of both the sending-end and receiving-end is firstly presented. Then system configuration of the transmission scheme and corridor route planning is proposed with UHVDC technology. Based on transmission costs’ investigation about similar transmission projects worldwide, the costs of the proposed transmission scheme are estimated through adjustment factors which represent differences in latitude, topography and economy. The proposed China-Arab transmission line sheds light on the prospects of power cooperation and resource sharing between China and Arab states, and appeals for more emphasis on green energy concentrated power interconnections from a global perspective.

  16. Mean-field modeling approach for understanding epidemic dynamics in interconnected networks

    International Nuclear Information System (INIS)

    Zhu, Guanghu; Fu, Xinchu; Tang, Qinggan; Li, Kezan

    2015-01-01

    Modern systems (e.g., social, communicant, biological networks) are increasingly interconnected each other formed as ‘networks of networks’. Such complex systems usually possess inconsistent topologies and permit agents distributed in different subnetworks to interact directly/indirectly. Corresponding dynamics phenomena, such as the transmission of information, power, computer virus and disease, would exhibit complicated and heterogeneous tempo-spatial patterns. In this paper, we focus on the scenario of epidemic spreading in interconnected networks. We intend to provide a typical mean-field modeling framework to describe the time-evolution dynamics, and offer some mathematical skills to study the spreading threshold and the global stability of the model. Integrating the research with numerical analysis, we are able to quantify the effects of networks structure and epidemiology parameters on the transmission dynamics. Interestingly, we find that the diffusion transition in the whole network is governed by a unique threshold, which mainly depends on the most heterogenous connection patterns of network substructures. Further, the dynamics is highly sensitive to the critical values of cross infectivity with switchable phases.

  17. Constructing Efficient and Stable Perovskite Solar Cells via Interconnecting Perovskite Grains.

    Science.gov (United States)

    Hou, Xian; Huang, Sumei; Ou-Yang, Wei; Pan, Likun; Sun, Zhuo; Chen, Xiaohong

    2017-10-11

    A high-quality perovskite film with interconnected perovskite grains was obtained by incorporating terephthalic acid (TPA) additive into the perovskite precursor solution. The presence of TPA changed the crystallization kinetics of the perovskite film and promoted lateral growth of grains in the vicinity of crystal boundaries. As a result, sheet-shaped perovskite was formed and covered onto the bottom grains, which made some adjacent grains partly merge together to form grains-interconnected perovskite film. Perovskite solar cells (PSCs) with TPA additive exhibited a power conversion efficiency (PCE) of 18.51% with less hysteresis, which is obviously higher than that of pristine cells (15.53%). PSCs without and with TPA additive retain 18 and 51% of the initial PCE value, respectively, aging for 35 days exposed to relative humidity 30% in air without encapsulation. Furthermore, MAPbI 3 film with TPA additive shows superior thermal stability to the pristine one under 100 °C baking. The results indicate that the presence of TPA in perovskite film can greatly improve the performance of PSCs as well as their moisture resistance and thermal stability.

  18. Roll and pitch independently tuned interconnected suspension: modelling and dynamic analysis

    Science.gov (United States)

    Xu, Guangzhong; Zhang, Nong; Roser, Holger M.

    2015-12-01

    In this paper, a roll and pitch independently tuned hydraulically interconnected passive suspension is presented. Due to decoupling of vibration modes and the improved lateral and longitudinal stability, the stiffness of individual suspension spring can be reduced for improving ride comfort and road grip. A generalised 14 degree-of-freedom nonlinear vehicle model with anti-roll bars is established to investigate the vehicle ride and handling dynamic responses. The nonlinear fluidic model of the hydraulically interconnected suspension is developed and integrated with the full vehicle model to investigate the anti-roll and anti-pitch characteristics. Time domain analysis of the vehicle model with the proposed suspension is conducted under different road excitations and steering/braking manoeuvres. The dynamic responses are compared with conventional suspensions to demonstrate the potential of enhanced ride and handling performance. The results illustrate the model-decoupling property of the hydraulically interconnected system. The anti-roll and anti-pitch performance could be tuned independently by the interconnected systems. With the improved anti-roll and anti-pitch characteristics, the bounce stiffness and ride damping can be optimised for better ride comfort and tyre grip.

  19. Thermo-electric analysis of the interconnection of the LHC main superconducting bus bars

    Science.gov (United States)

    Granieri, P. P.; Breschi, M.; Casali, M.; Bottura, L.; Siemko, A.

    2013-01-01

    Spurred by the question of the maximum allowable energy for the operation of the Large Hadron Collider (LHC), we have progressed in the understanding of the thermo-electric behavior of the 13 kA superconducting bus bars interconnecting its main magnets. A deep insight of the underlying mechanisms is required to ensure the protection of the accelerator against undesired effects of resistive transitions. This is especially important in case of defective interconnections which can jeopardize the operation of the whole LHC. In this paper we present a numerical model of the interconnections between the main dipole and quadrupole magnets, validated against experimental tests of an interconnection sample with a purposely built-in defect. We consider defective interconnections featuring a lack of bonding among the superconducting cables and the copper stabilizer components, such as those that could be present in the machine. We evaluate the critical defect length limiting the maximum allowable current for powering the magnets. We determine the dependence of the critical defect length on different parameters as the heat transfer towards the cooling helium bath, the quality of manufacturing, the operating conditions and the protection system parameters, and discuss the relevant mechanisms.

  20. 75 FR 6020 - Electrical Interconnection of the Lower Snake River Wind Energy Project

    Science.gov (United States)

    2010-02-05

    ... DEPARTMENT OF ENERGY Bonneville Power Administration Electrical Interconnection of the Lower Snake River Wind Energy Project AGENCY: Bonneville Power Administration (BPA), Department of Energy (DOE... (BPA) has decided to offer Puget Sound Energy Inc., a Large Generator Interconnection Agreement for...

  1. The situation-specific theory of pain experience for Asian American cancer patients.

    Science.gov (United States)

    Im, Eun-Ok

    2008-01-01

    Studies have indicated the need for theories that explain and target ethnic-specific cancer pain experiences, including those of Asian Americans. In this article, I present a situation-specific theory that explains the unique cancer pain experience of Asian Americans. Unlike other existing theories, this situation-specific theory was developed on the basis of evidence, including a systematic literature review and research findings, making it comprehensive and highly applicable to research and practice with Asian American patients with cancer. Thus, this theory would strengthen the interconnections among theory, evidence, and practice in pain management for Asian American cancer patients.

  2. Electrical transport and electromigration studies on nickel encapsulated carbon nanotubes: possible future interconnects

    International Nuclear Information System (INIS)

    Kulshrestha, Neha; Misra, D S; Misra, Abhishek

    2013-01-01

    We nominate the nickel filled multiwalled carbon nanotubes (MWNTs) as potential candidates to cope with challenges in persistent scaling for future interconnect technology. The insights into electrical transport through nickel filled carbon nanotubes provide an effective solution for major performance and reliability issues such as the increasing resistivity of metals at reduced scales, electromigration at high current densities and the problem of diffusion and corrosion faced by the existing copper interconnect technology. Furthermore, the nickel filled MWNTs outperform their hollow counterparts, the unfilled MWNTs, carrying at least one order higher current density, with increased time to failure. The results suggest that metal filled carbon nanotubes can provide a twofold benefit: (1) the metal filling provides an increased density of states for the system leading to a higher current density compared to hollow MWNTs, (2) metal out-diffusion and corrosion is prevented by the surrounding graphitic walls. (paper)

  3. Report on electricity interconnection management and use. June 2008

    International Nuclear Information System (INIS)

    2008-06-01

    Apart from some concrete advances in interconnection management, the most striking event of 2007 has to be the emergence of a consensus at European level on the general principles of the target mechanisms for interconnection management. Three major projects currently undergoing development by the TSOs and the exchanges - the setting up of a single auction platform for allocating long- and medium-term products and of 'flow-based' market coupling in the Central-West region (Belgium, Luxemburg, the Netherlands, Germany, France), and in the France-UK-Ireland region, the introduction of reciprocal balancing exchanges on the France-England interconnection - should lay the foundations for the future management of congestion on interconnections in Europe. The completion of these projects, planned for the end of 2008 for the single auction platform project in the Central-West region and for mid-2009 for the other two, will be an important turning point in the construction of the European electricity market. This will be one of the successes of the Regional Initiatives process launched by ERGEG just over two years ago. However, this should not hide the fact that the regulators have experienced many difficulties during the regional integration of the markets and that the market operators have the general impression that this process could progress much more quickly. These difficulties and this relative slowness are mainly explained by: - a lack of consensus on the target market design the national markets would gradually tend towards, - a lack of harmonisation of the powers and competencies of the regulators when it comes to cross-border trades, the immediate consequence of which is a lack of incentives for TSOs to accelerate market integration. Several sizeable challenges await all the stakeholders over the coming months and years if market integration is to be a success: - How can the 'third legislative package' give all the necessary competencies

  4. Determining optimal interconnection capacity on the basis of hourly demand and supply functions of electricity

    International Nuclear Information System (INIS)

    Keppler, Jan Horst; Meunier, William; Coquentin, Alexandre

    2017-01-01

    Interconnections for cross-border electricity flows are at the heart of the project to create a common European electricity market. At the time, increase in production from variable renewables clustered during a limited numbers of hours reduces the availability of existing transport infrastructures. This calls for higher levels of optimal interconnection capacity than in the past. In complement to existing scenario-building exercises such as the TYNDP that respond to the challenge of determining optimal levels of infrastructure provision, the present paper proposes a new empirically-based methodology to perform Cost-Benefit analysis for the determination of optimal interconnection capacity, using as an example the French-German cross-border trade. Using a very fine dataset of hourly supply and demand curves (aggregated auction curves) for the year 2014 from the EPEX Spot market, it constructs linearized net export (NEC) and net import demand curves (NIDC) for both countries. This allows assessing hour by hour the welfare impacts for incremental increases in interconnection capacity. Summing these welfare increases over the 8 760 hours of the year, this provides the annual total for each step increase of interconnection capacity. Confronting welfare benefits with the annual cost of augmenting interconnection capacity indicated the socially optimal increase in interconnection capacity between France and Germany on the basis of empirical market micro-data. (authors)

  5. Systems theory of interconnected port contact systems

    NARCIS (Netherlands)

    Eberard, D.; Maschke, B.M.; Schaft, A.J. van der

    2005-01-01

    Port-based network modeling of a large class of complex physical systems leads to dynamical systems known as port-Hamiltonian systems. The key ingredient of any port-Hamiltonian system is a power-conserving interconnection structure (mathematically formalized by the geometric notion of a Dirac

  6. Southern California Edison High Penetration Photovoltaic Project - Year 1

    Energy Technology Data Exchange (ETDEWEB)

    Mather, B.; Kroposki, B.; Neal, R.; Katiraei, F.; Yazdani, A.; Aguero, J. R.; Hoff, T. E.; Norris, B. L.; Parkins, A.; Seguin, R.; Schauder, C.

    2011-06-01

    This report discusses research efforts from the first year of a project analyzing the impacts of high penetration levels of photovoltaic (PV) resources interconnected onto Southern California Edison's (SCE's) distribution system. SCE will be interconnecting a total of 500 MW of commercial scale PV within their service territory by 2015. This Year 1 report describes the need for investigating high-penetration PV scenarios on the SCE distribution system; discusses the necessary PV system modeling and distribution system simulation advances; describes the available distribution circuit data for the two distribution circuits identified in the study; and discusses the additional inverter functionality that could be implemented in order to specifically mitigate some of the undesirable distribution system impacts caused by high-penetration PV installations.

  7. Scalable synthesis of interconnected porous silicon/carbon composites by the Rochow reaction as high-performance anodes of lithium ion batteries.

    Science.gov (United States)

    Zhang, Zailei; Wang, Yanhong; Ren, Wenfeng; Tan, Qiangqiang; Chen, Yunfa; Li, Hong; Zhong, Ziyi; Su, Fabing

    2014-05-12

    Despite the promising application of porous Si-based anodes in future Li ion batteries, the large-scale synthesis of these materials is still a great challenge. A scalable synthesis of porous Si materials is presented by the Rochow reaction, which is commonly used to produce organosilane monomers for synthesizing organosilane products in chemical industry. Commercial Si microparticles reacted with gas CH3 Cl over various Cu-based catalyst particles to substantially create macropores within the unreacted Si accompanying with carbon deposition to generate porous Si/C composites. Taking advantage of the interconnected porous structure and conductive carbon-coated layer after simple post treatment, these composites as anodes exhibit high reversible capacity and long cycle life. It is expected that by integrating the organosilane synthesis process and controlling reaction conditions, the manufacture of porous Si-based anodes on an industrial scale is highly possible. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. X-Ray Microdiffraction as a Probe to Reveal Flux Divergences in Interconnects

    Science.gov (United States)

    Spolenak, R.; Tamura, N.; Patel, J. R.

    2006-02-01

    Most reliability issues in interconnect systems occur at a local scale and many of them include the local build-up of stresses. Typical failure mechanisms are electromigration and stress voiding in interconnect lines and fatigue in surface acoustic wave devices. Thus a local probe is required for the investigation of these phenomena. In this paper the application of the Laue microdiffraction technique to investigate flux divergences in interconnect systems will be described. The deviatoric strain tensor of single grains can be correlated with the local microstructure, orientation and defect density. Especially the latter led to recent results about the correlation of stress build-up and orientation in Cu lines and electromigration-induced grain rotation in Cu and Al lines.

  9. FDTD based transition time dependent crosstalk analysis for coupled RLC interconnects

    International Nuclear Information System (INIS)

    Sharma, Devendra Kumar; Kaushik, Brajesh Kumar; Sharma, R. K.

    2014-01-01

    The performance of high density chips operating in the GHz range is mostly affected by on-chip interconnects. The interconnect delay depends on many factors, a few of them are inputs toggling patterns, line and coupling parasitics, input rise/fall time and source/load characteristics. The transition time of the input is of prime importance in high speed circuits. This paper addresses the FDTD based analysis of transition time effects on functional and dynamic crosstalk. The analysis is carried out for equal and unequal transition times of coupled inputs. The analysis of the effects of unequal rise time is equally important because practically, it is quite common to have mismatching in the rise time of the signals transmitting through different length wires. To demonstrate the effects, two distributed RLC lines coupled inductively and capacitively are taken into consideration. The FDTD technique is used because it gives accurate results and carries time domain analysis of coupled lines. The number of lumps in SPICE simulations is considered the same as those of spatial segments. To validate the FDTD computed results, SPICE simulations are run and results are compared. A good agreement of the computed results has been observed with respect to SPICE simulated results. An average error of less than 3.2% is observed in the computation of the performance parameters using the proposed method. (semiconductor integrated circuits)

  10. Natural gas and electrical interconnections in the Mediterranean Basin

    International Nuclear Information System (INIS)

    Grenon, M.

    1992-01-01

    Intermediate and long term socio-economical and energetic scenarios have shown that mediterranean basin countries will know a great growth of energy demand, particularly power demand. The first part of this paper describes the main projects for the establishment of interconnected natural gas systems through Mediterranean sea, by pipelines (Algeria-Tunisia-Libya project, Algeria-Morocco-Spain project, Libya-Italy project). The second part describes the main projects of electrical networks with the establishment of undersea links between Spain and Morocco, and between Italy and Tunisia; beefing up the interconnections between the North African countries; and developing ties in the Near East (from Egypt to Turkey)

  11. Supplemental Information for New York State Standardized Interconnection Requirements

    Energy Technology Data Exchange (ETDEWEB)

    Ingram, Michael [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Narang, David J. [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Mather, Barry A. [National Renewable Energy Laboratory (NREL), Golden, CO (United States); Kroposki, Benjamin D. [National Renewable Energy Laboratory (NREL), Golden, CO (United States)

    2017-10-24

    This document is intended to aid in the understanding and application of the New York State Standardized Interconnection Requirements (SIR) and Application Process for New Distributed Generators 5 MW or Less Connected in Parallel with Utility Distribution Systems, and it aims to provide supplemental information and discussion on selected topics relevant to the SIR. This guide focuses on technical issues that have to date resulted in the majority of utility findings within the context of interconnecting photovoltaic (PV) inverters. This guide provides background on the overall issue and related mitigation measures for selected topics, including substation backfeeding, anti-islanding and considerations for monitoring and controlling distributed energy resources (DER).

  12. Development of a Ni-based superalloy with cellular structure and interconnected micro porosity

    International Nuclear Information System (INIS)

    Bernabe, A.; Lopez, E.; Gil-Sevillano, J.

    1998-01-01

    A cellular metallic material with interconnected porosity of controlled size of an order of 10 μm has been developed by electrochemical dissolution of tungsten grains in a W-Ni-Fe heavy alloy. The nickel superalloy with sponge structure and high surface/volume ratio can also be processed recycling chips from heavy metal machining (Patent number p9700191, 1997). Applications for the new materials could be found as support for catalysts, high temperature filters for corrosive fluids, burners, etc. (Author) 10 refs

  13. Efficient modeling of interconnects and capacitive discontinuities in high-speed digital circuits. Thesis

    Science.gov (United States)

    Oh, K. S.; Schutt-Aine, J.

    1995-01-01

    Modeling of interconnects and associated discontinuities with the recent advances high-speed digital circuits has gained a considerable interest over the last decade although the theoretical bases for analyzing these structures were well-established as early as the 1960s. Ongoing research at the present time is focused on devising methods which can be applied to more general geometries than the ones considered in earlier days and, at the same time, improving the computational efficiency and accuracy of these methods. In this thesis, numerically efficient methods to compute the transmission line parameters of a multiconductor system and the equivalent capacitances of various strip discontinuities are presented based on the quasi-static approximation. The presented techniques are applicable to conductors embedded in an arbitrary number of dielectric layers with two possible locations of ground planes at the top and bottom of the dielectric layers. The cross-sections of conductors can be arbitrary as long as they can be described with polygons. An integral equation approach in conjunction with the collocation method is used in the presented methods. A closed-form Green's function is derived based on weighted real images thus avoiding nested infinite summations in the exact Green's function; therefore, this closed-form Green's function is numerically more efficient than the exact Green's function. All elements associated with the moment matrix are computed using the closed-form formulas. Various numerical examples are considered to verify the presented methods, and a comparison of the computed results with other published results showed good agreement.

  14. Optimization of Silicon MZM Fabrication Parameters for High Speed Short Reach Interconnects at 1310 nm

    Directory of Open Access Journals (Sweden)

    Alexis Abraham

    2016-11-01

    Full Text Available Optical modulators are key components to realize photonic circuits, and Mach-Zehnder modulators (MZM are often used for high speed short reach interconnects. In order to maximize the tolerable path loss of a transmission link at a given bitrate, the MZM needs to be optimized. However, the optimization can be complex since the overall link performance depends on various parameters, and, for the MZM in particular, implies several trade-offs between efficiency, losses, and bandwidth. In this work, we propose a general and rigorous method to optimize silicon MZM. We first describe the optical link, and the numerical method used for this study. Then we present the results associated to the active region for 1310 nm applications. An analytical model is generated, and allows us to quickly optimize the p-n junction depending of the targeted performances for the MZM. Taking into account the required optical link parameters, the maximum tolerable path losses for different length of MZM is determined. By applying this method, simulations show that the optimum MZM length for 25 Gbps applications is 4 mm with an efficiency of 1.87 V·cm, 0.52 dB/mm of losses. A tolerable path loss of more than 25 dB is obtained.

  15. Knowledge Access in Rural Inter-connected Areas Network ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Knowledge Access in Rural Inter-connected Areas Network (KariaNet) - Phase II ... the existing network to include two thematic networks on food security and rural ... Woman conquering male business in Yemen : Waleya's micro-enterprise.

  16. Knowledge Access in Rural Inter-connected Areas Network ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Knowledge Access in Rural Inter-connected Areas Network (KariaNet) - Phase II ... poor by sharing innovations, best practices and indigenous knowledge using ... A third thematic network - on knowledge management strategies - will play an ...

  17. Security analysis of interconnected AC/DC systems

    DEFF Research Database (Denmark)

    Eriksson, Robert

    2015-01-01

    This paper analyses N-1 security in an interconnected ac/dc transmission system using power transfer distribution factors (PTDFs). In the case of a dc converter outage the power needs to be redistributed among the remaining converter to maintain power balance and operation of the dc grid...... any line or transformer limits. Simulations were performed in a model of the Nordic power system where a dc grid is placed on top. The simulation supports the method as a tool to consider transfer limits in the grid to avoid violate the same and increase the security after a converter outage........ The redistribution of power has a sudden effect on the power-flow in the interconnected ac system. This may cause overloading of lines and transformers resulting in disconnection of equipment, and as a consequence cascading failure. The PTDF is used as a method to analyze and avoid violating limits by in the dc...

  18. Minimum short-circuit ratios for grid interconnection of wind farms with induction generators

    Energy Technology Data Exchange (ETDEWEB)

    Reginatto, Romeu; Rocha, Carlos [Western Parana State University (UNIOESTE), Foz do Iguacu, PR (Brazil). Center for Engineering and Exact Sciences], Emails: romeu@unioeste.br, croberto@unioeste.br

    2009-07-01

    This paper concerns the problem of determining the minimum value for the short-circuit ratio which is adequate for the interconnection of a given wind farms to a given grid point. First, a set of 3 criteria is defined in order to characterize the quality/safety of the interconnection: acceptable terminal voltage variations, a minimum active power margin, and an acceptable range for the internal voltage angle. Then, the minimum short circuit ratio requirement is determined for 6 different induction generator based wind turbines, both fixed-speed (with and without reactive power compensation) and variable-speed (with the following control policies: reactive power, power factor, and terminal voltage regulation). The minimum short-circuit ratio is determined and shown in graphical results for the 6 wind turbines considered, for X/R in the range 0-15, also analyzing the effect of more/less stringent tolerances for the interconnection criteria. It is observed that the tighter the tolerances the larger the minimum short-circuit ratio required. For the same tolerances in the interconnection criteria, a comparison of the minimum short circuit ratio required for the interconnection of both squirrel-cage and doubly-fed induction generators is presented, showing that the last requires much smaller values for the short-circuit ratio. (author)

  19. Hexagonal boron nitride intercalated multi-layer graphene: a possible ultimate solution to ultra-scaled interconnect technology

    Science.gov (United States)

    Li, Yong-Jun; Sun, Qing-Qing; Chen, Lin; Zhou, Peng; Wang, Peng-Fei; Ding, Shi-Jin; Zhang, David Wei

    2012-03-01

    We proposed intercalation of hexagonal boron nitride (hBN) in multilayer graphene to improve its performance in ultra-scaled interconnects for integrated circuit. The effect of intercalated hBN layer in bilayer graphene is investigated using non-equilibrium Green's functions. We find the hBN intercalated bilayer graphene exhibit enhanced transport properties compared with pristine bilayer ones, and the improvement is attributed to suppression of interlayer scattering and good planar bonding condition of inbetween hBN layer. Based on these results, we proposed a via structure that not only benefits from suppressed interlayer scattering between multilayer graphene, but also sustains the unique electrical properties of graphene when many graphene layers are stacking together. The ideal current density across the structure can be as high as 4.6×109 A/cm2 at 1V, which is very promising for the future high-performance interconnect.

  20. Hexagonal boron nitride intercalated multi-layer graphene: a possible ultimate solution to ultra-scaled interconnect technology

    Directory of Open Access Journals (Sweden)

    Yong-Jun Li

    2012-03-01

    Full Text Available We proposed intercalation of hexagonal boron nitride (hBN in multilayer graphene to improve its performance in ultra-scaled interconnects for integrated circuit. The effect of intercalated hBN layer in bilayer graphene is investigated using non-equilibrium Green's functions. We find the hBN intercalated bilayer graphene exhibit enhanced transport properties compared with pristine bilayer ones, and the improvement is attributed to suppression of interlayer scattering and good planar bonding condition of inbetween hBN layer. Based on these results, we proposed a via structure that not only benefits from suppressed interlayer scattering between multilayer graphene, but also sustains the unique electrical properties of graphene when many graphene layers are stacking together. The ideal current density across the structure can be as high as 4.6×109 A/cm2 at 1V, which is very promising for the future high-performance interconnect.

  1. Optical interconnection network for parallel access to multi-rank memory in future computing systems.

    Science.gov (United States)

    Wang, Kang; Gu, Huaxi; Yang, Yintang; Wang, Kun

    2015-08-10

    With the number of cores increasing, there is an emerging need for a high-bandwidth low-latency interconnection network, serving core-to-memory communication. In this paper, aiming at the goal of simultaneous access to multi-rank memory, we propose an optical interconnection network for core-to-memory communication. In the proposed network, the wavelength usage is delicately arranged so that cores can communicate with different ranks at the same time and broadcast for flow control can be achieved. A distributed memory controller architecture that works in a pipeline mode is also designed for efficient optical communication and transaction address processes. The scaling method and wavelength assignment for the proposed network are investigated. Compared with traditional electronic bus-based core-to-memory communication, the simulation results based on the PARSEC benchmark show that the bandwidth enhancement and latency reduction are apparent.

  2. Fiscal 2000 report on investigation. Investigation of demonstrative test for harmonizing system interconnection (demonstrative test by simulation); 2000 nendo keito renkei enkatsuka jissho shiken chosa hokokusho. Simulation ni yoru jissho shiken

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-03-01

    With the purpose of promoting the spread of dispersed power generating facilities, study was conducted on technical problems concerning system interconnection of dispersed generating facilities using a simulation, with fiscal 2000 results compiled. In the validity verification of an active type islanding detection equipment in a low voltage system interconnection, the simulations were made for the duration of ten seconds after the start of the islanding, with the detection time examined. In the case of the interconnection with a single unit, islanding was generally detected within ten seconds of the simulation time; in the case of the interconnection with multiple units, however, a trend was observed in which a frequency shift method was liable to receive interference from other methods. In the validity verification of the active type islanding detection equipment in a high voltage system interconnection, islanding was detected within ten seconds in the case of the single unit interconnection; in the case of the two unit interconnection, however, it revealed difficult conditions for islanding detection in the event of interconnection with a large capacity synchronous generator and in the presence of interference of variable signals. Additionally, examination was made on such subjects as countermeasures against high/low voltage short-circuit accident in low voltage systems and limitation in introducing photovoltaic power generation on account of rise in voltage of a system line. (NEDO)

  3. A multi vector energy analysis for interconnected power and gas systems

    International Nuclear Information System (INIS)

    Devlin, Joseph; Li, Kang; Higgins, Paraic; Foley, Aoife

    2017-01-01

    Highlights: • The first multi vector energy system analysis for Britain and Ireland is performed. • Extreme weather driven gas demands were utilised to increase gas system stress. • GB gas system is capable of satisfying demand but restricts gas generator ramping. • Irish gas system congestion causes a 40% increase in gas generator short run cost. • Gas storage in Ireland relieved congestion reduced operational costs by 14%. - Abstract: This paper presents the first multi vector energy analysis for the interconnected energy systems of Great Britain (GB) and Ireland. Both systems share a common high penetration of wind power, but significantly different security of supply outlooks. Ireland is heavily dependent on gas imports from GB, giving significance to the interconnected aspect of the methodology in addition to the gas and power interactions analysed. A fully realistic unit commitment and economic dispatch model coupled to an energy flow model of the gas supply network is developed. Extreme weather events driving increased domestic gas demand and low wind power output were utilised to increase gas supply network stress. Decreased wind profiles had a larger impact on system security than high domestic gas demand. However, the GB energy system was resilient during high demand periods but gas network stress limited the ramping capability of localised generating units. Additionally, gas system entry node congestion in the Irish system was shown to deliver a 40% increase in short run costs for generators. Gas storage was shown to reduce the impact of high demand driven congestion delivering a reduction in total generation costs of 14% in the period studied and reducing electricity imports from GB, significantly contributing to security of supply.

  4. Process Developed for Generating Ceramic Interconnects With Low Sintering Temperatures for Solid Oxide Fuel Cells

    Science.gov (United States)

    Zhong, Zhi-Min; Goldsby, Jon C.

    2005-01-01

    Solid oxide fuel cells (SOFCs) have been considered as premium future power generation devices because they have demonstrated high energy-conversion efficiency, high power density, and extremely low pollution, and have the flexibility of using hydrocarbon fuel. The Solid-State Energy Conversion Alliance (SECA) initiative, supported by the U.S. Department of Energy and private industries, is leading the development and commercialization of SOFCs for low-cost stationary and automotive markets. The targeted power density for the initiative is rather low, so that the SECA SOFC can be operated at a relatively low temperature (approx. 700 C) and inexpensive metallic interconnects can be utilized in the SOFC stack. As only NASA can, the agency is investigating SOFCs for aerospace applications. Considerable high power density is required for the applications. As a result, the NASA SOFC will be operated at a high temperature (approx. 900 C) and ceramic interconnects will be employed. Lanthanum chromite-based materials have emerged as a leading candidate for the ceramic interconnects. The interconnects are expected to co-sinter with zirconia electrolyte to mitigate the interface electric resistance and to simplify the processing procedure. Lanthanum chromites made by the traditional method are sintered at 1500 C or above. They react with zirconia electrolytes (which typically sinter between 1300 and 1400 C) at the sintering temperature of lanthanum chromites. It has been envisioned that lanthanum chromites with lower sintering temperatures can be co-fired with zirconia electrolyte. Nonstoichiometric lanthanum chromites can be sintered at lower temperatures, but they are unstable and react with zirconia electrolyte during co-sintering. NASA Glenn Research Center s Ceramics Branch investigated a glycine nitrate process to generate fine powder of the lanthanum-chromite-based materials. By simultaneously doping calcium on the lanthanum site, and cobalt and aluminum on the

  5. SIDES - Segment Interconnect Diagnostic Expert System

    International Nuclear Information System (INIS)

    Booth, A.W.; Forster, R.; Gustafsson, L.; Ho, N.

    1989-01-01

    It is well known that the FASTBUS Segment Interconnect (SI) provides a communication path between two otherwise independent, asynchronous bus segments. The SI is probably the most important module in any FASTBUS data acquisition network since it's failure to function can cause whole segments of the network to be inaccessible and sometimes inoperable. This paper describes SIDES, an intelligent program designed to diagnose SI's both in situ as they operate in a data acquisition network, and in the laboratory in an acceptance/repair environment. The paper discusses important issues such as knowledge acquisition; extracting knowledge from human experts and other knowledge sources. SIDES can benefit high energy physics experiments, where SI problems can be diagnosed and solved more quickly. Equipment pool technicians can also benefit from SIDES, first by decreasing the number of SI's erroneously turned in for repair, and secondly as SIDES acts as an intelligent assistant to the technician in the diagnosis and repair process

  6. 78 FR 73239 - Small Generator Interconnection Agreements and Procedures

    Science.gov (United States)

    2013-12-05

    ... Electronics Engineers (IEEE) Standard 1547 for Interconnecting Distributed Resources with Electric Power... discriminatory manner.\\38\\ \\37\\ The Electricity Consumers Resource Council, American Chemistry Council, American...

  7. Nonlinear optical properties of interconnected gold nanoparticles on silicon

    Science.gov (United States)

    Lesuffleur, Antoine; Gogol, Philippe; Beauvillain, Pierre; Guizal, B.; Van Labeke, D.; Georges, P.

    2008-12-01

    We report second harmonic generation (SHG) measurements in reflectivity from chains of gold nanoparticles interconnected with metallic bridges. We measured more than 30 times a SHG enhancement when a surface plasmon resonance was excited in the chains of nanoparticles, which was influenced by coupling due to the electrical connectivity of the bridges. This enhancement was confirmed by rigorous coupled wave method calculations and came from high localization of the electric field at the bridge. The introduction of 10% random defects into the chains of nanoparticles dropped the SHG by a factor of 2 and was shown to be very sensitive to the fundamental wavelength.

  8. Chemically etched edges of YBa2Cu3O7 films for interconnects, crossovers and Josephson junctions

    International Nuclear Information System (INIS)

    Poppe, U.; Faley, M.I.; Urban, K.; Soltner, H.

    1993-01-01

    To produce damage-free edges is one of the main problems during the preparation of Josephson edge-type junctions and interconnects in multilayer structures including high temperature superconductors. The inherently short and anisotropic coherence length in high temperature superconductors makes it also difficult to fabricate Josephson junctions from these materials. One promising technique which helps to overcome such problems using a nonaqueous chemical etching with a Br-ethanol solution was first presented in a recent publication. Here we report results obtained with the use of this method: test of insulation properties of PrBa 2 Cu 3 O 7 , PrBa 2 Cu 2.85 Ga 0.15 O 7 , and SrTiO 3 used for crossovers and Josephson junctions. Some features of interconnects and Josephson junctions, prepared on the basis of the chemical technique are also discussed. (orig.)

  9. Planetary Sciences, Geodynamics, Impacts, Mass Extinctions, and Evolution: Developments and Interconnections

    Directory of Open Access Journals (Sweden)

    Jaime Urrutia-Fucugauchi

    2016-01-01

    Full Text Available Research frontiers in geophysics are being expanded, with development of new fields resulting from technological advances such as the Earth observation satellite network, global positioning system, high pressure-temperature physics, tomographic methods, and big data computing. Planetary missions and enhanced exoplanets detection capabilities, with discovery of a wide range of exoplanets and multiple systems, have renewed attention to models of planetary system formation and planet’s characteristics, Earth’s interior, and geodynamics, highlighting the need to better understand the Earth system, processes, and spatio-temporal scales. Here we review the emerging interconnections resulting from advances in planetary sciences, geodynamics, high pressure-temperature physics, meteorite impacts, and mass extinctions.

  10. Viewing Integrated-Circuit Interconnections By SEM

    Science.gov (United States)

    Lawton, Russel A.; Gauldin, Robert E.; Ruiz, Ronald P.

    1990-01-01

    Back-scattering of energetic electrons reveals hidden metal layers. Experiment shows that with suitable operating adjustments, scanning electron microscopy (SEM) used to look for defects in aluminum interconnections in integrated circuits. Enables monitoring, in situ, of changes in defects caused by changes in temperature. Gives truer picture of defects, as etching can change stress field of metal-and-passivation pattern, causing changes in defects.

  11. DIMACS Workshop on Interconnection Networks and Mapping, and Scheduling Parallel Computations

    CERN Document Server

    Rosenberg, Arnold L; Sotteau, Dominique; NSF Science and Technology Center in Discrete Mathematics and Theoretical Computer Science; Interconnection networks and mapping and scheduling parallel computations

    1995-01-01

    The interconnection network is one of the most basic components of a massively parallel computer system. Such systems consist of hundreds or thousands of processors interconnected to work cooperatively on computations. One of the central problems in parallel computing is the task of mapping a collection of processes onto the processors and routing network of a parallel machine. Once this mapping is done, it is critical to schedule computations within and communication among processor from universities and laboratories, as well as practitioners involved in the design, implementation, and application of massively parallel systems. Focusing on interconnection networks of parallel architectures of today and of the near future , the book includes topics such as network topologies,network properties, message routing, network embeddings, network emulation, mappings, and efficient scheduling. inputs for a process are available where and when the process is scheduled to be computed. This book contains the refereed pro...

  12. Characteristics of via-hole interconnections fabricated by using an inkjet printing method

    International Nuclear Information System (INIS)

    Yang, Yong Suk; You, In Kyu; Koo, Jae Bon; Lee, Sang Seok; Lim, Sang Chul; Kang, Seong Youl; Noh, Yong Young

    2010-01-01

    Inkjet printing is a familiar technique that creates and releases droplets of fluid on demand and precisely deposits those droplets on a substrate. It has received increased attention for its novelty and ability to produce patterned and template material structures. In the application of electronic interconnection fabrication, drop-on-demand inkjet printers especially offer the advantages of contactless printing and eliminat the use of a die or photomask. In this study, we created a via-hole interconnecting structure through a polymer insulator layer by using an inkjet printing. When the droplets of Ag ink were dropped onto a PMMA/Au/Cr/SiO 2 /Si area and the Ag film was annealed at high temperatures, the Ag ink containing solvents penetrated into the PMMA layer and generated the conducting paths between the top Ag and the bottom Au electrodes by partial dissolution and swelling of the polymer. The surface and the cross-sectional topologies of the formed via-holes were investigated by using an optical microscope and a field emission transmission electron microscope.

  13. The GIOD Project-Globally Interconnected Object Databases

    CERN Document Server

    Bunn, J J; Newman, H B; Wilkinson, R P

    2001-01-01

    The GIOD (Globally Interconnected Object Databases) Project, a joint effort between Caltech and CERN, funded by Hewlett Packard Corporation, has investigated the use of WAN-distributed Object Databases and Mass Storage systems for LHC data. A prototype small- scale LHC data analysis center has been constructed using computing resources at Caltechs Centre for advanced Computing Research (CACR). These resources include a 256 CPU HP Exemplar of ~4600 SPECfp95, a 600 TByte High Performance Storage System (HPSS), and local/wide area links based on OC3 ATM. Using the exemplar, a large number of fully simulated CMS events were produced, and used to populate an object database with a complete schema for raw, reconstructed and analysis objects. The reconstruction software used for this task was based on early codes developed in preparation for the current CMS reconstruction program, ORCA. (6 refs).

  14. Power System Study for Renewable Energy Interconnection in Malaysia

    International Nuclear Information System (INIS)

    Askar, O F; Ramachandaramurthy, V K

    2013-01-01

    The renewable energy (RE) sector has grown exponentially in Malaysia with the introduction of the Feed-In-Tariff (FIT) by the Ministry of Energy, Green Technology and Water. Photovoltaic, biogas, biomass and mini hydro are among the renewable energy sources which offer a lucrative tariff to incite developers in taking the green technology route. In order to receive the FIT, a developer is required by the utility company to perform a power system analysis which will determine the technical feasibility of an RE interconnection to the utility company's existing grid system. There are a number of aspects which the analysis looks at, the most important being the load flow and fault levels in the network after the introduction of an RE source. The analysis is done by modelling the utility company's existing network and simulating the network with the interconnection of an RE source. The results are then compared to the values before an interconnection is made as well as ensuring the voltage rise or the increase in fault levels do not violate any pre-existing regulations set by the utility company. This paper will delve into the mechanics of performing a load flow analysis and examining the results obtained.

  15. Power System Study for Renewable Energy Interconnection in Malaysia

    Science.gov (United States)

    Askar, O. F.; Ramachandaramurthy, V. K.

    2013-06-01

    The renewable energy (RE) sector has grown exponentially in Malaysia with the introduction of the Feed-In-Tariff (FIT) by the Ministry of Energy, Green Technology and Water. Photovoltaic, biogas, biomass and mini hydro are among the renewable energy sources which offer a lucrative tariff to incite developers in taking the green technology route. In order to receive the FIT, a developer is required by the utility company to perform a power system analysis which will determine the technical feasibility of an RE interconnection to the utility company's existing grid system. There are a number of aspects which the analysis looks at, the most important being the load flow and fault levels in the network after the introduction of an RE source. The analysis is done by modelling the utility company's existing network and simulating the network with the interconnection of an RE source. The results are then compared to the values before an interconnection is made as well as ensuring the voltage rise or the increase in fault levels do not violate any pre-existing regulations set by the utility company. This paper will delve into the mechanics of performing a load flow analysis and examining the results obtained.

  16. CWDM for very-short-reach and optical-backplane interconnections

    Science.gov (United States)

    Laha, Michael J.

    2002-06-01

    Course Wavelength Division Multiplexing (CWDM) provides access to next generation optical interconnect data rates by utilizing conventional electro-optical components that are widely available in the market today. This is achieved through the use of CWDM multiplexers and demultiplexers that integrate commodity type active components, lasers and photodiodes, into small optical subassemblies. In contrast to dense wavelength division multiplexing (DWDM), in which multiple serial data streams are combined to create aggregate data pipes perhaps 100s of gigabits wide, CWDM uses multiple laser sources contained in one module to create a serial equivalent data stream. For example, four 2.5 Gb/s lasers are multiplexed to create a 10 Gb/s data pipe. The advantages of CWDM over traditional serial optical interconnects include lower module power consumption, smaller packaging, and a superior electrical interface. This discussion will detail the concept of CWDM and design parameters that are considered when productizing a CWDM module into an industry standard optical interconnect. Additionally, a scalable parallel CWDM hybrid architecture will be described that allows the transport of large amounts of data from rack to rack in an economical fashion. This particular solution is targeted at solving optical backplane bottleneck problems predicted for the next generation terabit and petabit routers.

  17. InGaAs/InP Monolithic Interconnected Modules (MIM) for Thermophotovoltaic Applications

    Science.gov (United States)

    Wilt, David M.; Fatemi, Navid S.; Jenkins, Phillip P.; Weizer, Victor G.; Hoffman, Richard W., Jr.; Scheiman, David A.; Murray, Christopher S.; Riley, David R.

    2004-01-01

    There has been a traditional trade-off in thermophotovoltaic (TPV) energy conversion development between systems efficiency and power density. This trade-off originates from the use of front surface spectral controls such as selective emitters and various types of filters. A monolithic interconnected module (MIM) structure has been developed which allows for both high power densities and high system efficiencies. The MIM device consists of many individual indium gallium arsenide (InGaAs) devices series -connected on a single semi-insulating indium phosphide (InP) substrate. The MIMs are exposed to the entire emitter output, thereby maximizing output power density. An infrared (IR) reflector placed on the rear surface of the substrate returns the unused portion of the emitter output spectrum back to the emitter for recycling, thereby providing for high system efficiencies. Initial MIM development has focused on a 1 sq cm device consisting of eight series interconnected cells. MIM devices, produced from 0,74 eV InGAAs, have demonstrated V(sub infinity) = 3.23 volts, J(sub sc) = 70 mA/sq cm and a fill factor of 66% under flashlamp testing. Infrared (IR) reflectance measurement (less than 2 microns) of these devices indicate a reflectivity of less than 82%. MIM devices produced from 0.55 eV InGaAs have also been den=monstrated. In addition, conventional p/n InGaAs devices with record efficiencies (11.7% AM1) have been demonstrated.

  18. Lateral buckling and mechanical stretchability of fractal interconnects partially bonded onto an elastomeric substrate

    International Nuclear Information System (INIS)

    Fu, Haoran; Xu, Sheng; Rogers, John A.; Xu, Renxiao; Huang, Yonggang; Jiang, Jianqun; Zhang, Yihui

    2015-01-01

    Fractal-inspired designs for interconnects that join rigid, functional devices can ensure mechanical integrity in stretchable electronic systems under extreme deformations. The bonding configuration of such interconnects with the elastomer substrate is crucial to the resulting deformation modes, and therefore the stretchability of the entire system. In this study, both theoretical and experimental analyses are performed for postbuckling of fractal serpentine interconnects partially bonded to the substrate. The deformation behaviors and the elastic stretchability of such systems are systematically explored, and compared to counterparts that are not bonded at all to the substrate

  19. Energy Zones Study: A Comprehensive Web-Based Mapping Tool to Identify and Analyze Clean Energy Zones in the Eastern Interconnection

    Energy Technology Data Exchange (ETDEWEB)

    Koritarov, V.; Kuiper, J.; Hlava, K.; Orr, A.; Rollins, K.; Brunner, D.; Green, H.; Makar, J.; Ayers, A.; Holm, M.; Simunich, K.; Wang, J.; Augustine, C.; Heimiller, D.; Hurlbut, D. J.; Milbrandt, A.; Schneider, T. R.; et al.

    2013-09-01

    This report describes the work conducted in support of the Eastern Interconnection States’ Planning Council (EISPC) Energy Zones Study and the development of the Energy Zones Mapping Tool performed by a team of experts from three National Laboratories. The multi-laboratory effort was led by Argonne National Laboratory (Argonne), in collaboration with the National Renewable Energy Laboratory (NREL) and Oak Ridge National Laboratory (ORNL). In June 2009, the U.S. Department of Energy (DOE) and the National Energy Technology Laboratory published Funding Opportunity Announcement FOA-0000068, which invited applications for interconnection-level analysis and planning. In December 2009, the Eastern Interconnection Planning Collaborative (EIPC) and the EISPC were selected as two award recipients for the Eastern Interconnection. Subsequently, in 2010, DOE issued Research Call RC-BM-2010 to DOE’s Federal Laboratories to provide research support and assistance to FOA-0000068 awardees on a variety of key subjects. Argonne was selected as the lead laboratory to provide support to EISPC in developing a methodology and a mapping tool for identifying potential clean energy zones in the Eastern Interconnection. In developing the EISPC Energy Zones Mapping Tool (EZ Mapping Tool), Argonne, NREL, and ORNL closely collaborated with the EISPC Energy Zones Work Group which coordinated the work on the Energy Zones Study. The main product of the Energy Zones Study is the EZ Mapping Tool, which is a web-based decision support system that allows users to locate areas with high suitability for clean power generation in the U.S. portion of the Eastern Interconnection. The mapping tool includes 9 clean (low- or no-carbon) energy resource categories and 29 types of clean energy technologies. The EZ Mapping Tool contains an extensive geographic information system database and allows the user to apply a flexible modeling approach for the identification and analysis of potential energy zones

  20. Protocol and Topology Issues for Wide-Area Satellite Interconnection of Terrestrial Optical LANs

    Science.gov (United States)

    Parraga, N.

    2002-01-01

    Apart from broadcasting, the satellite business is targeting niche markets. Wide area interconnection is considered as one of these niche markets, since it addresses operators and business LANs (B2B, business to business) in remote areas where terrestrial infrastructure is not available. These LANs - if high-speed - are typically based on optical networks such as SONET. One of the advantages of SONET is its architecture flexibility and capacity to transport all kind of applications including multimedia with a range of different transmission rates. The applications can be carried by different protocols among which the Internet Protocol (IP) or the Asynchronous Transfer Mode (ATM) are the most prominent ones. Thus, the question arises how these protocols can be interconnected via the satellite segment. The paper addresses several solutions for interworking with different protocols. For this investigation we distinguish first of all between the topology and the switching technology of the satellites. In case of a star network with transparent satellite, the satellite protocol consists of physical layer and data layer which can be directly interconnected with layer 2 interworking function to their terrestrial counterparts in the SONET backbone. For regenerative satellites the situation is more complex: here we need to distinguish the types of transport protocols being used in the terrestrial and satellite segment. Whereas IP, ATM, MPEG dominate in the terrestrial networks, satellite systems usually do not follow these standards. Some might employ minor additions (for instance, satellite specific packet headers), some might be completely proprietary. In general, interworking must be done for the data plane on top of layer 2 (data link layer), whereas for the signaling plane the interworking is on top of layer 3. In the paper we will discuss the protocol stacks for ATM, IP, and MPEG with a regenerative satellite system. As an example we will use the EuroSkyWay satellite

  1. Utilizing HPC Network Technologies in High Energy Physics Experiments

    CERN Document Server

    AUTHOR|(CDS)2088631; The ATLAS collaboration

    2017-01-01

    Because of their performance characteristics high-performance fabrics like Infiniband or OmniPath are interesting technologies for many local area network applications, including data acquisition systems for high-energy physics experiments like the ATLAS experiment at CERN. This paper analyzes existing APIs for high-performance fabrics and evaluates their suitability for data acquisition systems in terms of performance and domain applicability. The study finds that existing software APIs for high-performance interconnects are focused on applications in high-performance computing with specific workloads and are not compatible with the requirements of data acquisition systems. To evaluate the use of high-performance interconnects in data acquisition systems a custom library, NetIO, is presented and compared against existing technologies. NetIO has a message queue-like interface which matches the ATLAS use case better than traditional HPC APIs like MPI. The architecture of NetIO is based on a interchangeable bac...

  2. Experimental demonstration of titanium nitride plasmonic interconnects

    DEFF Research Database (Denmark)

    Kinsey, N.; Ferrera, M.; Naik, G. V.

    2014-01-01

    An insulator-metal-insulator plasmonic interconnect using TiN, a CMOS-compatible material, is proposed and investigated experimentally at the telecommunication wavelength of 1.55 mu m. The TiN waveguide was shown to obtain propagation losses less than 0.8 dB/mm with a mode size of 9.8 mu m...

  3. Scandinavian interconnections as a means for an integrated and sustainable European system

    International Nuclear Information System (INIS)

    Hedenstedt, A.; Hansson, B.

    1996-01-01

    After the national grids had been established the comprehensive integration of the Nordic countries took place during a 20 year's period that started in early 1960's. A characteristic feature of the interconnection of the Nordic electric power systems is the need for long HVDC submarine cables for several of the interconnection links. Today the Nordel system is almost considered as one system. At present there are several ongoing, actively considered and planned projects for the integration of the Nordel system and the UCPTE system. The interconnection links offer a number of benefits for both the systems. One very important benefit is the stabilisation effect on the power supply security and the price for electricity. This is of special importance in view of the expected de-regulation of the electricity market. (author)

  4. Knowledge Access in Rural Inter-connected Areas Network ...

    International Development Research Centre (IDRC) Digital Library (Canada)

    Knowledge Access in Rural Inter-connected Areas Network (KariaNet) - Phase II ... and indigenous knowledge using information and communication technologies (ICTs) ... for research proposals on the aforementioned topics, action-research projects, ... Evaluating knowledge-sharing methods to improve land utilization and ...

  5. Interconnection France-England; Interconnexion France-Angleterre

    Energy Technology Data Exchange (ETDEWEB)

    NONE

    2001-07-01

    These documents defines the interconnection France-England rules for the 2000 MW DC submarine cable directly linking the transmission networks of England and Wales and France. Rights to use Interconnector capacity from 1 April 2001 are to be offered through competitive tenders and auctions, full details of which are set out in the Rules. The contract and a guide to the application form are provided. (A.L.B.)

  6. Cross-border electricity exchanges. Use and management of interconnections in 2012

    International Nuclear Information System (INIS)

    2013-06-01

    This report provides an overview of the use and management of interconnections between the French power transmission system and those in its border countries. This report measures the effectiveness of existing mechanisms and intends to provide an overview of the role of interconnections in the European Electricity Market and the manner in which they are actually used. Furthermore, this document provides an opportunity to reiterate recent and upcoming progress towards the implementation of target-models. Part 1 presents a set of indicators used to provide a general overview of capacities available on the market, the manner in which they are acquired and used by market players and the consequences of this use in terms of congestion income. Part 2 sets out a more detailed approach for each capacity acquisition time frame. It highlights the differences between mechanisms at each of the French interconnections and, more importantly, confirms the relevance of the target-models

  7. MATL : Canada's first merchant power transmission interconnection : experiences and future outlook

    International Nuclear Information System (INIS)

    Wilson, L.

    2006-01-01

    The current status of the Montana Alberta Tie Ltd. (MATL) merchant transmission project was outlined with reference to the business concept, the advantages of the project and market opportunities. Some of the challenges facing the project were discussed along with lessons learned and accomplishments thus far. MATL is preparing to construct a privately funded transmission line between Lethbridge, Alberta and Great Falls, Montana. The project represents the first direct power transmission inter-connection between Montana and Alberta. The 346 km, 230 kV AC transmission line with phase shifting transformer and 300 MW transfer capacity will be a synchronous interconnection and will improve the reliability of the entire electric systems in both Montana and Alberta. The benefits of the interconnected power system include increased reliability and stability of the existing power grids; better import/export capabilities; more competition and options in the marketplace; greater flexibility in scheduling generator maintenance; and, optimal allocation of generation resources. tabs., figs

  8. On Interconnections of Infinite-dimensional Port-Hamiltonian Systems

    NARCIS (Netherlands)

    Pasumarthy, Ramkrishna; Schaft, Arjan J. van der

    2004-01-01

    Network modeling of complex physical systems leads to a class of nonlinear systems called port-Hamiltonian systems, which are defined with respect to a Dirac structure (a geometric structure which formalizes the power-conserving interconnection structure of the system). A power conserving

  9. On interconnections of infinite-dimensional port-Hamiltonian systems

    NARCIS (Netherlands)

    Ramkrishna Pasumarthy, R.P.; van der Schaft, Arjan

    2004-01-01

    Network modeling of complex physical systems leads to a class of nonlinear systems called port-Hamiltonian systems, which are defined with respect to a Dirac structure (a geometric structure which formalizes the power-conserving interconnection structure of the system). A power conserving

  10. Optimal interconnect ATPG under a ground-bounce constraint

    NARCIS (Netherlands)

    Hollmann, H.D.L.; Marinissen, E.J.; Vermeulen, B.

    In order to prevent ground bounce, Automatic Test Pattern Generation (ATPG) algorithms for wire interconnects have recently been extended with the capability to restrict the maximal Hamming distance between any two consecutive test patterns to a user-defined integer, referred to as the

  11. Physical-layer network coding for passive optical interconnect in datacenter networks.

    Science.gov (United States)

    Lin, Rui; Cheng, Yuxin; Guan, Xun; Tang, Ming; Liu, Deming; Chan, Chun-Kit; Chen, Jiajia

    2017-07-24

    We introduce physical-layer network coding (PLNC) technique in a passive optical interconnect (POI) architecture for datacenter networks. The implementation of the PLNC in the POI at 2.5 Gb/s and 10Gb/s have been experimentally validated while the gains in terms of network layer performances have been investigated by simulation. The results reveal that in order to realize negligible packet drop, the wavelengths usage can be reduced by half while a significant improvement in packet delay especially under high traffic load can be achieved by employing PLNC over POI.

  12. Essays on optimal capacity and optimal regulation of interconnection infrastructures

    Science.gov (United States)

    Boffa, Federico

    The integration between geographically differentiated markets or between vertically related industries generate effects on welfare that depend on the structure of the underlying markets. My thesis investigates the impact of geographical interconnection on welfare, and illustrates welfare-enhancing modes of regulation of vertically integrated industries and of geographically integrated markets. The first chapter analyzes the effects of interconnection between two formerly fully-separated markets under the assumptions that producers in the two markets are capacity-constrained, and tacitly collude whenever it is rational for them to do so. I find that there exists a set of assumptions under which interconnection brings about greater collusion, hence it reduces overall welfare. The second chapter analyzes the optimal interconnection capacity allocation mechanism for a benevolent electricity regulator when generation is not competitive. The regulator's intervention should not only ensure that interconnection capacity is efficiently allocated to the most efficient firms, but it should also induce a higher welfare in the upstream generation market. In a two-node setting, with one firm per node, I show that the regulatory intervention becomes more effective as the cost asymmetries between the two firms become more pronounced. The third chapter illustrates a regulation mechanism for vertically related industries. Ownership shares of the upstream industry (that displays economies of scale) are allocated to the downstream (competitive) firms in proportion to their shares in the final goods market. I show that the mechanism combines the benefits of vertical integration with those of vertical separation. The advantages of vertical integration consist in avoiding double marginalization, and in internalizing the reduction in average cost resulting from the upstream increase in output; on the other hand, vertical separation allows to preserve the competitiveness of the downstream

  13. Three-dimensional interconnected nickel phosphide networks with hollow microstructures and desulfurization performance

    International Nuclear Information System (INIS)

    Zhang, Shuna; Zhang, Shujuan; Song, Limin; Wu, Xiaoqing; Fang, Sheng

    2014-01-01

    Graphical abstract: Three-dimensional interconnected nickel phosphide networks with hollow microstructures and desulfurization performance. - Highlights: • Three-dimensional Ni 2 P has been prepared using foam nickel as a template. • The microstructures interconnected and formed sponge-like porous networks. • Three-dimensional Ni 2 P shows superior hydrodesulfurization activity. - Abstract: Three-dimensional microstructured nickel phosphide (Ni 2 P) was fabricated by the reaction between foam nickel (Ni) and phosphorus red. The as-prepared Ni 2 P samples, as interconnected networks, maintained the original mesh structure of foamed nickel. The crystal structure and morphology of the as-synthesized Ni 2 P were characterized by X-ray diffraction, scanning electron microscopy, automatic mercury porosimetry and X-ray photoelectron spectroscopy. The SEM study showed adjacent hollow branches were mutually interconnected to form sponge-like networks. The investigation on pore structure provided detailed information for the hollow microstructures. The growth mechanism for the three-dimensionally structured Ni 2 P was postulated and discussed in detail. To investigate its catalytic properties, SiO 2 supported three-dimensional Ni 2 P was prepared successfully and evaluated for the hydrodesulfurization (HDS) of dibenzothiophene (DBT). DBT molecules were mostly hydrogenated and then desulfurized by Ni 2 P/SiO 2

  14. Carbon Nanotubes and Graphene Nanoribbons: Potentials for Nanoscale Electrical Interconnects

    Directory of Open Access Journals (Sweden)

    Swastik Kar

    2013-08-01

    Full Text Available Carbon allotropes have generated much interest among different scientific communities due to their peculiar properties and potential applications in a variety of fields. Carbon nanotubes and more recently graphene have shown very interesting electrical properties along with the possibility of being grown and/or deposited at a desired location. In this Review, we will focus our attention on carbon-based nanostructures (in particular, carbon nanotubes and graphene nanoribbons which could play an important role in the technological quest to replace copper/low-k for interconnect applications. We will provide the reader with a number of possible architectures, including single-wall as well as multi-wall carbon nanotubes, arranged in horizontal and vertical arrays, regarded as individual objects as well as bundles. Modification of their functional properties in order to fulfill interconnect applications requirements are also presented. Then, in the second part of the Review, recently discovered graphene and in particular graphene and few-graphene layers nanoribbons are introduced. Different architectures involving nanostructured carbon are presented and discussed in light of interconnect application in terms of length, chirality, edge configuration and more.

  15. Load Frequency Control of AC Microgrid Interconnected Thermal Power System

    Science.gov (United States)

    Lal, Deepak Kumar; Barisal, Ajit Kumar

    2017-08-01

    In this paper, a microgrid (MG) power generation system is interconnected with a single area reheat thermal power system for load frequency control study. A new meta-heuristic optimization algorithm i.e. Moth-Flame Optimization (MFO) algorithm is applied to evaluate optimal gains of the fuzzy based proportional, integral and derivative (PID) controllers. The system dynamic performance is studied by comparing the results with MFO optimized classical PI/PID controllers. Also the system performance is investigated with fuzzy PID controller optimized by recently developed grey wolf optimizer (GWO) algorithm, which has proven its superiority over other previously developed algorithm in many interconnected power systems.

  16. Interconnecting Carbon Fibers with the In-situ Electrochemically Exfoliated Graphene as Advanced Binder-free Electrode Materials for Flexible Supercapacitor.

    Science.gov (United States)

    Zou, Yuqin; Wang, Shuangyin

    2015-07-07

    Flexible energy storage devices are highly demanded for various applications. Carbon cloth (CC) woven by carbon fibers (CFs) is typically used as electrode or current collector for flexible devices. The low surface area of CC and the presence of big gaps (ca. micro-size) between individual CFs lead to poor performance. Herein, we interconnect individual CFs through the in-situ exfoliated graphene with high surface area by the electrochemical intercalation method. The interconnected CFs are used as both current collector and electrode materials for flexible supercapacitors, in which the in-situ exfoliated graphene act as active materials and conductive "binders". The in-situ electrochemical intercalation technique ensures the low contact resistance between electrode (graphene) and current collector (carbon cloth) with enhanced conductivity. The as-prepared electrode materials show significantly improved performance for flexible supercapacitors.

  17. Security challenges for cooperative and interconnected mobility systems

    NARCIS (Netherlands)

    Bijlsma, T.; Kievit, S. de; Sluis, H.J.D. van de; Nunen, E. van; Passchier, I.; Luiijf, H.A.M.

    2013-01-01

    Software is becoming an important part of the innovation for vehicles. In addition, the systems in vehicles become interconnected and also get external connections, to the internet and Vehicular Ad hoc NETworks (VANETs). These trends form a combined security and safety threat, because recent

  18. Optical interconnects based on VCSELs and low-loss silicon photonics

    Science.gov (United States)

    Aalto, Timo; Harjanne, Mikko; Karppinen, Mikko; Cherchi, Matteo; Sitomaniemi, Aila; Ollila, Jyrki; Malacarne, Antonio; Neumeyr, Christian

    2018-02-01

    Silicon photonics with micron-scale Si waveguides offers most of the benefits of submicron SOI technology while avoiding most of its limitations. In particular, thick silicon-on-insulator (SOI) waveguides offer 0.1 dB/cm propagation loss, polarization independency, broadband single-mode (SM) operation from 1.2 to >4 µm wavelength and ability to transmit high optical powers (>1 W). Here we describe the feasibility of Thick-SOI technology for advanced optical interconnects. With 12 μm SOI waveguides we demonstrate efficient coupling between standard single-mode fibers, vertical-cavity surface-emitting lasers (VCSELs) and photodetectors (PDs), as well as wavelength multiplexing in small footprint. Discrete VCSELs and PDs already support 28 Gb/s on-off keying (OOK), which shows a path towards 50-100 Gb/s bandwidth per wavelength by using more advanced modulation formats like PAM4. Directly modulated VCSELs enable very power-efficient optical interconnects for up to 40 km distance. Furthermore, with 3 μm SOI waveguides we demonstrate extremely dense and low-loss integration of numerous optical functions, such as multiplexers, filters, switches and delay lines. Also polarization independent and athermal operation is demonstrated. The latter is achieved by using short polymer waveguides to compensate for the thermo-optic effect in silicon. New concepts for isolator integration and polarization rotation are also explained.

  19. Interconnected TiO2 Nanowire Networks for PbS Quantum Dot Solar Cell Applications

    Directory of Open Access Journals (Sweden)

    Fan Xu

    2012-01-01

    Full Text Available We present a simple method for the fabrication of an interconnected porous TiO2 nanostructured film via dip coating in a colloidal suspension of ultrathin TiO2 nanowires followed by high-temperature annealing. The spheroidization of the nanowires and the fusing of the loosely packed nanowire films at the contact points lead to the formation of nanopores. Using this interconnected TiO2 nanowire network for electron transport, a PbS/TiO2 heterojunction solar cell with a large short-circuit current of 2.5 mA/cm2, a Voc of 0.6 V, and a power conversion efficiency of 5.4% is achieved under 8.5 mW/cm2 white light illumination. Compared to conventional planar TiO2 film structures, these results suggest superior electron transport properties while still providing the large interfacial area between PbS quantum dots and TiO2 required for efficient exciton dissociation.

  20. Scalability analysis methodology for passive optical interconnects in data center networks using PAM

    Science.gov (United States)

    Lin, R.; Szczerba, Krzysztof; Agrell, Erik; Wosinska, Lena; Tang, M.; Liu, D.; Chen, J.

    2017-11-01

    A framework is developed for modeling the fundamental impairments in optical datacenter interconnects, i.e., the power loss and the receiver noises. This framework makes it possible, to analyze the trade-offs between data rates, modulation order, and number of ports that can be supported in optical interconnect architectures, while guaranteeing that the required signal-to-noise ratios are satisfied. To the best of our knowledge, this important assessment methodology is not yet available. As a case study, the trade-offs are investigated for three coupler-based top-of-rack interconnect architectures, which suffer from serious insertion loss. The results show that using single-port transceivers with 10 GHz bandwidth, avalanche photodiode detectors, and quadratical pulse amplitude modulation, more than 500 ports can be supported.

  1. A low-cost, manufacturable method for fabricating capillary and optical fiber interconnects for microfluidic devices.

    Science.gov (United States)

    Hartmann, Daniel M; Nevill, J Tanner; Pettigrew, Kenneth I; Votaw, Gregory; Kung, Pang-Jen; Crenshaw, Hugh C

    2008-04-01

    Microfluidic chips require connections to larger macroscopic components, such as light sources, light detectors, and reagent reservoirs. In this article, we present novel methods for integrating capillaries, optical fibers, and wires with the channels of microfluidic chips. The method consists of forming planar interconnect channels in microfluidic chips and inserting capillaries, optical fibers, or wires into these channels. UV light is manually directed onto the ends of the interconnects using a microscope. UV-curable glue is then allowed to wick to the end of the capillaries, fibers, or wires, where it is cured to form rigid, liquid-tight connections. In a variant of this technique, used with light-guiding capillaries and optical fibers, the UV light is directed into the capillaries or fibers, and the UV-glue is cured by the cone of light emerging from the end of each capillary or fiber. This technique is fully self-aligned, greatly improves both the quality and the manufacturability of the interconnects, and has the potential to enable the fabrication of interconnects in a fully automated fashion. Using these methods, including a semi-automated implementation of the second technique, over 10,000 interconnects have been formed in almost 2000 microfluidic chips made of a variety of rigid materials. The resulting interconnects withstand pressures up to at least 800psi, have unswept volumes estimated to be less than 10 femtoliters, and have dead volumes defined only by the length of the capillary.

  2. A reference model for space data system interconnection services

    Science.gov (United States)

    Pietras, John; Theis, Gerhard

    1993-01-01

    The widespread adoption of standard packet-based data communication protocols and services for spaceflight missions provides the foundation for other standard space data handling services. These space data handling services can be defined as increasingly sophisticated processing of data or information received from lower-level services, using a layering approach made famous in the International Organization for Standardization (ISO) Open System Interconnection Reference Model (OSI-RM). The Space Data System Interconnection Reference Model (SDSI-RM) incorporates the conventions of the OSIRM to provide a framework within which a complete set of space data handling services can be defined. The use of the SDSI-RM is illustrated through its application to data handling services and protocols that have been defined by, or are under consideration by, the Consultative Committee for Space Data Systems (CCSDS).

  3. Health and the environment: Examining some interconnections

    International Nuclear Information System (INIS)

    Nair, G.; Castelino, J.; Parr, R.M.

    1994-01-01

    In various ways, the IAEA is working with national and international agencies to broaden scientific understanding of the interconnections between the environment and human health. Often nuclear and related technologies are applied in the search for answers to complex and puzzling questions. This article highlights some of that work, illustrating the dimensions of both the problems and the potential solutions

  4. Astrophysics Laboratory-Based Lecture Material Development of Solarscope with Integration and Interconnection

    Directory of Open Access Journals (Sweden)

    Asih Melati

    2015-12-01

    Full Text Available The development of laboratory-based lecture materials with integrated and interconnected value is a requirement for study and practical materials and in line with the vision and mission of UIN Sunan Kalijaga. As a result, the optimization of laboratory’s equipment is urgently needed. Although UIN Sunan Kalijaga Laboratory have had Solarscope telescope – which have a guidebook in German language – for six years, it was not optimally used even it can be used to satisfy the desires to observe astronomical objects economically, accurately and easy to operate. Based on above, this research propose to create a lab-work module for Solarscope with integration and interconnection value. This research used 4D methodology (Define, Design, Develop and Disseminate and have passed the assessment and validation phase from material, media and integrated-interconnected value experts. The data analysis of the module which was mapped by Sukarja into 5 scale mark resulted in good grade in the module assessment by material experts with 80% from the ideal mark with most of the complaint is in the formula typing which is not clear in its derivative. The module assessment by media experts scored very good grade with 88.89% from the ideal mark regarding the content and the figures of the module. Lastly, from the integrated-interconnected value experts marked in good grade with 73.50% from the ideal mark and suggested the addition of supported Al-Qur’an verses and relevant exclamation of the Al-Qur’an’s passages. With all of these assessment results, this module can be used as the material of astrophysics lab-work and for supporting students’ researches with integration-interconnection value and enhance the university’s book collection which will support the vision and mission of UIN Sunan Kalijaga

  5. Interconnection of psychology, color and design

    OpenAIRE

    Minchuk, A. M.; Kudryashova, Aleksandra Vladimirovna

    2016-01-01

    The paper presents the direct interconnection between color, design and psychology on the basis of theoretical and historical analysis. It describes the peculiarities of how peopleperceive color. In the paper some of the historical details concerning the way our ancestors used color are presented and the modern scientific discoveries in the field of psychology, which give the evidence of the great psychological, emotional and physical influence of color on a person are shown as well. The pape...

  6. Management and use of electric interconnections in 2008

    International Nuclear Information System (INIS)

    2009-07-01

    Major progress was made throughout 2008 in border congestion management and market integration. A number of projects were carried out, including the creation of a single auction platform for the Central-West region (CASC-CWE) and the first centre for regional coordination (Coreso SA) to better control real-time flow, thus laying the foundations for future network management on a regional scale. Such progress encourages more improvements still, which should take effect some time in 2009 and should constitute an important stage in creating an integrated European electricity market. The more significant expected advances notably include the implementation of a single, harmonised set of bidding rules covering the entire Central-West region, which would include introducing the principle of automatic resale of capacity (use-it-or-sell-it); the launch of the second phase of the BALIT project for reciprocal adjusting exchanges between France and England; the introduction of a compensation scheme for curtailments of capacity based on the differences in pricing at Power Exchanges over the France-Spain interconnection; and finally the elaboration of the very first regional reports by regulators on the management and use of interconnections. The launch of the market coupling in the Central-West region, planned for March 2010, will unquestionably be a key event in market integration. In addition to substantially improving the use of the region's interconnections, it will offer significant new perspectives in market organisation (such as the future role and status of organised markets as regards day-ahead activity). The work of network operators on the flow-based aspect of the project will also enable improvements in transparency and coordination when calculating interconnection capacities, and could, in the long-run, open debates on changing the market design. However, of the issues raised in CRE's second report on management and use of interconnections, several have

  7. 77 FR 63757 - Extension of the Commission's Rules Regarding Outage Reporting to Interconnected Voice Over...

    Science.gov (United States)

    2012-10-17

    ... telephone subscriptions in the United States were users of interconnected VoIP providers--an increase of 21... Commission's Rules Regarding Outage Reporting to Interconnected Voice Over Internet Protocol Service Providers and Broadband Internet Service Providers AGENCY: Federal Communications Commission. ACTION: Final...

  8. Epidemic spreading on interconnected networks.

    Science.gov (United States)

    Saumell-Mendiola, Anna; Serrano, M Ángeles; Boguñá, Marián

    2012-08-01

    Many real networks are not isolated from each other but form networks of networks, often interrelated in nontrivial ways. Here, we analyze an epidemic spreading process taking place on top of two interconnected complex networks. We develop a heterogeneous mean-field approach that allows us to calculate the conditions for the emergence of an endemic state. Interestingly, a global endemic state may arise in the coupled system even though the epidemics is not able to propagate on each network separately and even when the number of coupling connections is small. Our analytic results are successfully confronted against large-scale numerical simulations.

  9. Electricity and gas interconnections in France. A tool for the construction of an integrated European market

    International Nuclear Information System (INIS)

    2016-06-01

    The French Energy Regulator (CRE) is publishing its report on French electricity and gas interconnections. The report makes two main conclusions: French electricity and natural gas networks are well interconnected with their counterparts in neighbouring countries and the use of interconnections has been significantly improved over the last 10 years. In terms of electricity, France's average export capacity is 13.5 GW, i.e. more than 10% of its production capacity. France is very well integrated in the European gas market and is a transit country to Spain and Italy. It has boosted its interconnection capacity in gas by 40% in 10 years. Interconnections are vital to the internal energy market and help trade between Member States. They enable European consumers to benefit from cost-effective energy by diversifying sources of supply. Since it was created, the CRE has played a leading role in this area, by fostering the development of interconnections at the French borders and by making them more efficiently used. After major efforts, the question of creating new interconnections (which constitute complex and costly projects) is now being raised. In terms of gas, the Midcat Project (a new gas interconnection between France and Spain) provides a good illustration of this question. The project will cost almost 3 billion Euros, two billion of which is being funded by France, and the decision to launch it should not be taken lightly without robust cost-benefit analyses. These studies must, in particular, identify and quantify the benefits for each country concerned as well as for the European Union, and organise the project funding in relation to these benefits. As concerns the interconnection project in the Bay of Biscay between France and Spain, overcoming technical uncertainties is an essential prerequisite before commenting on the opportunities it offers in terms of the costs and benefits that it might generate. In compliance with the law, the CRE acts on behalf of

  10. Low resistivity Pt interconnects developed by electron beam assisted deposition using novel gas injector system

    International Nuclear Information System (INIS)

    Dias, R J; Romano-Rodriguez, A; O'Regan, C; Holmes, J D; Petkov, N; Thrompenaars, P; Mulder, J J L

    2012-01-01

    Electron beam-induced deposition (EBID) is a direct write process where an electron beam locally decomposes a precursor gas leaving behind non-volatile deposits. It is a fast and relatively in-expensive method designed to develop conductive (metal) or isolating (oxide) nanostructures. Unfortunately the EBID process results in deposition of metal nanostructures with relatively high resistivity because the gas precursors employed are hydrocarbon based. We have developed deposition protocols using novel gas-injector system (GIS) with a carbon free Pt precursor. Interconnect type structures were deposited on preformed metal architectures. The obtained structures were analysed by cross-sectional TEM and their electrical properties were analysed ex-situ using four point probe electrical tests. The results suggest that both the structural and electrical characteristics differ significantly from those of Pt interconnects deposited by conventional hydrocarbon based precursors, and show great promise for the development of low resistivity electrical contacts.

  11. Encoded low swing for ultra low power interconnect

    NARCIS (Netherlands)

    Krishnan, R.; Pineda de Gyvez, J.

    2003-01-01

    We present a novel encoded-low swing technique for ultra low power interconnect. Using this technique and an efficient circuit implementation, we achieve an average of 45.7% improvement in the power-delay product over the schemes utilizing low swing techniques alone, for random bit streams. Also, we

  12. Decentralized H∞ Control of Interconnected Systems with Time-varying Delays

    Directory of Open Access Journals (Sweden)

    Amal Zouhri

    2017-01-01

    Full Text Available This paper focuses on the problem of delay dependent stability/stabilization of interconnected systems with time-varying delays. The approach is based on a new Lyapunov-Krasovskii functional. A decentralized delay-dependent stability analysis is performed to characterize linear matrix inequalities (LMIs based on the conditions under which every local subsystem of the linear interconnected delay system is asymptotically stable. Then we design a decentralized state-feedback stabilization scheme such that the family of closedloop feedback subsystems enjoys the delay-dependent asymptotic stability for each subsystem. The decentralized feedback gains are determined by convex optimization over LMIs. All the developed results are tested on a representative example and compared with some recent previous ones.

  13. An Evaluation of One-Sided and Two-Sided Communication Paradigms on Relaxed-Ordering Interconnect

    Energy Technology Data Exchange (ETDEWEB)

    Ibrahim, Khaled Z. [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States); Hargrove, Paul H. [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States); Iancu, Costin [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States); Yelick, Katherine [Lawrence Berkeley National Lab. (LBNL), Berkeley, CA (United States)

    2014-08-14

    The Cray Gemini interconnect hardware provides multiple transfer mechanisms and out-of-order message delivery to improve communication throughput. In this paper we quantify the performance of one-sided and two-sided communication paradigms with respect to: 1) the optimal available hardware transfer mechanism, 2) message ordering constraints, 3) per node and per core message concurrency. In addition to using Cray native communication APIs, we use UPC and MPI micro-benchmarks to capture one- and two-sided semantics respectively. Our results indicate that relaxing the message delivery order can improve performance up to 4.6x when compared with strict ordering. When hardware allows it, high-level one-sided programming models can already take advantage of message reordering. Enforcing the ordering semantics of two-sided communication comes with a performance penalty. Furthermore, we argue that exposing out-of-order delivery at the application level is required for the next-generation programming models. Any ordering constraints in the language specifications reduce communication performance for small messages and increase the number of active cores required for peak throughput.

  14. Interconnected ponds operation for flood hazard distribution

    Science.gov (United States)

    Putra, S. S.; Ridwan, B. W.

    2016-05-01

    The climatic anomaly, which comes with extreme rainfall, will increase the flood hazard in an area within a short period of time. The river capacity in discharging the flood is not continuous along the river stretch and sensitive to the flood peak. This paper contains the alternatives on how to locate the flood retention pond that are physically feasible to reduce the flood peak. The flood ponds were designed based on flood curve number criteria (TR-55, USDA) with the aim of rapid flood peak capturing and gradual flood retuning back to the river. As a case study, the hydrologic condition of upper Ciliwung river basin with several presumed flood pond locations was conceptually designed. A fundamental tank model that reproducing the operation of interconnected ponds was elaborated to achieve the designed flood discharge that will flows to the downstream area. The flood hazard distribution status, as the model performance criteria, will be computed within Ciliwung river reach in Manggarai Sluice Gate spot. The predicted hazard reduction with the operation of the interconnected retention area result had been bench marked with the normal flow condition.

  15. Interconnections and market integration in the Irish Single Electricity Market

    International Nuclear Information System (INIS)

    Nepal, Rabindra; Jamasb, Tooraj

    2012-01-01

    Interconnections can be an effective way to increase competition and improve market integration in concentrated wholesale electricity markets with limited number of participants. This paper examines the potential for interconnections and increasing market integration in the Irish Single Electricity Market (SEM). We use a time-varying Kalman filter technique to assess the degree of market integration between SEM and other large, mature and interconnected wholesale electricity markets in Europe including Great Britain (GB). The results indicate no market integration between SEM and other European markets except for Elspot and GB. We show that the current state of market integration between SEM and GB is just 17% indicating potential to improve market integration via increased interconnector capacity. The results indicate that liquidity of wholesale markets might be a crucial factor in the market integration process while our results remain inconclusive in determining whether increased trade of renewables can improve market integration. - Highlights: ► We assess the degree of market integration between SEM and other EU electricity markets. ► Our results indicate no market integration between SEM and other European markets except for Elspot and GB. ► We show that the current state of market integration between SEM and GB is just 17%.

  16. Results on 3D interconnection from AIDA WP3

    Energy Technology Data Exchange (ETDEWEB)

    Moser, Hans-Günther, E-mail: hgm@hll.mpg.de

    2016-09-21

    From 2010 to 2014 the EU funded AIDA project established in one of its work packages (WP3) a network of groups working collaboratively on advanced 3D integration of electronic circuits and semiconductor sensors for applications in particle physics. The main motivation came from the severe requirements on pixel detectors for tracking and vertexing at future Particle Physics experiments at LHC, super-B factories and linear colliders. To go beyond the state-of-the-art, the main issues were studying low mass, high bandwidth applications, with radiation hardness capabilities, with low power consumption, offering complex functionality, with small pixel size and without dead regions. The interfaces and interconnects of sensors to electronic readout integrated circuits are a key challenge for new detector applications.

  17. Methodology for assessing the impacts of distributed generation interconnection

    Directory of Open Access Journals (Sweden)

    Luis E. Luna

    2011-06-01

    Full Text Available This paper proposes a methodology for identifying and assessing the impact of distributed generation interconnection on distribution systems using Monte Carlo techniques. This methodology consists of two analysis schemes: a technical analysis, which evaluates the reliability conditions of the distribution system; on the other hand, an economic analysis that evaluates the financial impacts on the electric utility and its customers, according to the system reliability level. The proposed methodology was applied to an IEEE test distribution system, considering different operation schemes for the distributed generation interconnection. The application of each one of these schemes provided significant improvements regarding the reliability and important economic benefits for the electric utility. However, such schemes resulted in negative profitability levels for certain customers, therefore, regulatory measures and bilateral contracts were proposed which would provide a solution for this kind of problem.

  18. 77 FR 9225 - Allegheny Electric Cooperative, Inc., et al. v. PJM Interconnection, L.L.C.; Organization of PJM...

    Science.gov (United States)

    2012-02-16

    ...-58-010] Allegheny Electric Cooperative, Inc., et al. v. PJM Interconnection, L.L.C.; Organization of PJM States, Inc., et al. v. PJM Interconnection, L.L.C.; Notice of Filing Take notice that on February... by section 18.17.4 of the Amended and Restated Operating Agreement of PJM Interconnection, L.L.C. and...

  19. 14 CFR 25.957 - Flow between interconnected tanks.

    Science.gov (United States)

    2010-01-01

    ... AIRCRAFT AIRWORTHINESS STANDARDS: TRANSPORT CATEGORY AIRPLANES Powerplant Fuel System § 25.957 Flow between interconnected tanks. If fuel can be pumped from one tank to another in flight, the fuel tank vents and the fuel transfer system must be designed so that no structural damage to the tanks can occur because of overfilling. ...

  20. 11.72-sq cm Active-Area Wafer Interconnected PiN Diode Pulsed at 64 kA Dissipates 382 J and Exhibits an Action of 1.7 MA(sup 2)-s

    Science.gov (United States)

    2012-01-30

    calculated action exceeded 1.7 MA2 -s. Preliminary efforts on high voltage diode interconnection have produced quarter wafer interconnected PiN...was packaged in a “hockey-puck” configuration and pulsed to 64 kA, dissipating 382 J with a calculated action exceeding 1.7 MA2 -s. II. FULL...epitaxial layers are utilized. 11.72-cm2 Active-area Wafer Interconnected PiN Diode pulsed at 64 kA dissipates 382 J and exhibits an action of 1.7 MA2 -s

  1. Exploring the interconnections between gender, health and nature.

    Science.gov (United States)

    MacBride-Stewart, S; Gong, Y; Antell, J

    2016-12-01

    Public health has recognized that nature is good for health but there are calls for a review of its gendered aspects. This review attempts to develop and explore a broad analytical theme - the differing interconnections between gender, health and nature. The paper summarizes the interconnections that have been subject to extensive academic enquiry between gender and health, health and space, and gender and space. A combination of key terms including place; gender; health; outdoor space; green space; natural environment; national parks; femininity; masculinity; recreation; physical activity; sustainability; ecofeminism; feminism; environmental degradation; and environmental justice were used to search the electronic databases Sociological Abstracts, Web of Science and Scopus to identify relevant articles. We took two approaches for this review to provide an overview and analysis of the range of research in the field, and to present a framework of research that is an analysis of the intersection of gender, health and nature. Four dimensions are distinguished: (1) evaluations of health benefits and 'toxicities' of nature; (2) dimensions and qualities of nature/space; (3) environmental justice including accessibility, availability and usability; and (4) identification of boundaries (symbolic/material) that construct differential relationships between nature, gender and health. This paper offers an understanding of how environmental and social conditions may differentially shape the health of women and men. The dimensions direct analytical attention to the diverse linkages that constitute overlapping and inseparable domains of knowledge and practice, to identify complex interconnections between gender, health and nature. This review therefore analyses assumptions about the health benefits of nature, and its risks, for gender from an in-depth, analytical perspective that can be used to inform policy. Copyright © 2016 The Royal Society for Public Health. Published by

  2. Early resistance change and stress/electromigrationmodeling in aluminium interconnects

    NARCIS (Netherlands)

    Petrescu, V.; Mouthaan, A.J.; Schoenmaker, W.

    1997-01-01

    A complete description for early resistance change and two dimensional simulation of mechanical stress evolution in confined Al interconnects, related to the electromigration, is given in this paper. The model, combines the stress/ vacancy concentration evolution with the early resistance change of

  3. Incorporation of in-plane interconnects to reflow bonding for electrical functionality

    International Nuclear Information System (INIS)

    Moğulkoç, B; Jansen, H V; Ter Brake, H J M; Elwenspoek, M C

    2011-01-01

    Incorporation of in-plane electrical interconnects to reflow bonding is studied to provide electrical functionality to lab-on-a-chip or microfluidic devices. Reflow bonding is the packaging technology, in which glass tubes are joined to silicon substrates at elevated temperatures. The tubes are used to interface the silicon-based fluidic devices and are directly compatible with standard Swagelok® connectors. After the bonding, the electrically conductive lines will allow probing into the volume confined by the tube, where the fluidic device operates. Therefore methods for fabricating electrical interconnects that survive the bonding procedure at elevated temperature and do not alter the properties of the bond interface are investigated

  4. Decentralized adaptive control of interconnected nonlinear systems with unknown control directions.

    Science.gov (United States)

    Huang, Jiangshuai; Wang, Qing-Guo

    2018-03-01

    In this paper, we propose a decentralized adaptive control scheme for a class of interconnected strict-feedback nonlinear systems without a priori knowledge of subsystems' control directions. To address this problem, a novel Nussbaum-type function is proposed and a key theorem is drawn which involves quantifying the interconnections of multiple Nussbaum-type functions of the subsystems with different control directions in a single inequality. Global stability of the closed-loop system and asymptotic stabilization of subsystems' output are proved and a simulation example is given to illustrate the effectiveness of the proposed control scheme. Copyright © 2018 ISA. Published by Elsevier Ltd. All rights reserved.

  5. Understanding price discovery in interconnected markets: Generalized Langevin process approach and simulation

    Science.gov (United States)

    Schenck, Natalya A.; Horvath, Philip A.; Sinha, Amit K.

    2018-02-01

    While the literature on price discovery process and information flow between dominant and satellite market is exhaustive, most studies have applied an approach that can be traced back to Hasbrouck (1995) or Gonzalo and Granger (1995). In this paper, however, we propose a Generalized Langevin process with asymmetric double-well potential function, with co-integrated time series and interconnected diffusion processes to model the information flow and price discovery process in two, a dominant and a satellite, interconnected markets. A simulated illustration of the model is also provided.

  6. Open system LANs and their global interconnection electronics and communications reference series

    CERN Document Server

    Houldsworth, Jack; Caves, Keith; Mazda, FF

    2014-01-01

    Open System LANs and Their Global Interconnection focuses on the OSI layer 1 to 4 standards (the OSI bearer service) and also introduces TCP/IP and some of the proprietary PC Local Area Network (LAN) standards.The publication first provides an introduction to Local Area Networks (LANs) and Wide Area Networks (WANs), Open Systems Interconnection (OSI), and LAN standards. Discussions focus on MAC bridging, token bus, slotted ring, MAC constraints and design considerations, OSI functional standards, OSI model, value of the transport model, benefits and origins of OSI, and significance of the tran

  7. Development of Readout Interconnections for the Si-W Calorimeter of SiD

    Energy Technology Data Exchange (ETDEWEB)

    Woods, M.; Fields, R.G.; Holbrook, B.; Lander, R.L.; Moskaleva, A.; Neher, C.; Pasner, J.; Tripathi, M.; /UC, Davis; Brau, J.E.; Frey, R.E.; Strom, D.; /Oregon U.; Breidenbach, M.; Freytag, D.; Haller, G.; Herbst, R.; Nelson, T.; /SLAC; Schier, S.; Schumm, B.; /UC, Santa Cruz

    2012-09-14

    The SiD collaboration is developing a Si-W sampling electromagnetic calorimeter, with anticipated application for the International Linear Collider. Assembling the modules for such a detector will involve special bonding technologies for the interconnections, especially for attaching a silicon detector wafer to a flex cable readout bus. We review the interconnect technologies involved, including oxidation removal processes, pad surface preparation, solder ball selection and placement, and bond quality assurance. Our results show that solder ball bonding is a promising technique for the Si-W ECAL, and unresolved issues are being addressed.

  8. A novel conductive-polymer-based integration process for high-performance flip-chip packages

    Science.gov (United States)

    Lohokare, Saurabh

    Conductive polymers have recently attracted considerable attention for low-temperature fabrication of lead-free, reworkable, and flexible flip-chip interconnects. Using these materials, I demonstrate in this thesis a process that enables low-cost and high-resolution flip-chip interconnects using conventional micro-fabrication techniques. This fabrication process offers improved performance as compared to conventional flip-chip techniques, such as screen-printing, and allows for definition of interconnects with excellent surface uniformity and control over the bump profile. In order to demonstrate the utility and wide applicability of this process, several test implementations that serve as case studies were investigated. Specifically, novel InGaAsSb avalanche photodiodes (APDs), operating around lambda = 2m and targeted for free-space communication and biomedical spectroscopy applications, were fabricated and flip-chip-integrated to test the static electrical characteristics of the polymer bumps. Additionally, the dynamic electrical performance characteristics of the polymer bumps were studied by using AlGaAsSb/AlGaSb p-i-n photodetectors as a case study. The fabrication of these photodetectors, operating around lambda = 1.55mum and targeted for optical communication applications, was accomplished using a customized inductively coupled plasma (ICP) etch process that resulted in a low dark current and excellent speed (3dB bandwidth of 10GHz) and, responsivity (60% external quantum efficiency) characteristics. Furthermore, flip-chip integration was used to demonstrate a three-dimensional, point-to-point micro-optical interconnect, which was 2.33mm-long in a system 15.27mm3 in volume. Lastly, high-speed parallel optical interconnects were demonstrated using polymer-flip-chip-integrated 10GHz vertical-cavity surface-emitting laser (VCSEL) and DOEs. Such interconnects offer the ability to alleviate the communication bottleneck that is projected to occur in future, high

  9. Fractal Characteristics Analysis of Blackouts in Interconnected Power Grid

    DEFF Research Database (Denmark)

    Wang, Feng; Li, Lijuan; Li, Canbing

    2018-01-01

    The power failure models are a key to understand the mechanism of large scale blackouts. In this letter, the similarity of blackouts in interconnected power grids (IPGs) and their sub-grids is discovered by the fractal characteristics analysis to simplify the failure models of the IPG. The distri......The power failure models are a key to understand the mechanism of large scale blackouts. In this letter, the similarity of blackouts in interconnected power grids (IPGs) and their sub-grids is discovered by the fractal characteristics analysis to simplify the failure models of the IPG....... The distribution characteristics of blackouts in various sub-grids are demonstrated based on the Kolmogorov-Smirnov (KS) test. The fractal dimensions (FDs) of the IPG and its sub-grids are then obtained by using the KS test and the maximum likelihood estimation (MLE). The blackouts data in China were used...

  10. Greenhouse gas emission factors of purchased electricity from interconnected grids

    International Nuclear Information System (INIS)

    Ji, Ling; Liang, Sai; Qu, Shen; Zhang, Yanxia; Xu, Ming; Jia, Xiaoping; Jia, Yingtao; Niu, Dongxiao; Yuan, Jiahai; Hou, Yong; Wang, Haikun; Chiu, Anthony S.F.; Hu, Xiaojun

    2016-01-01

    Highlights: • A new accounting framework is proposed for GHG emission factors of power grids. • Three cases are used to demonstrate the proposed framework. • Comparisons with previous system boundaries approve the necessity. - Abstract: Electricity trade among power grids leads to difficulties in measuring greenhouse gas (GHG) emission factors of purchased electricity. Traditional methods assume either electricity purchased from a grid is entirely produced locally (Boundary I) or imported electricity is entirely produced by the exporting grid (Boundary II) (in fact a blend of electricity produced by many grids). Both methods ignore the fact that electricity can be indirectly traded between grids. Failing to capture such indirect electricity trade can underestimate or overestimate GHG emissions of purchased electricity in interconnected grid networks, potentially leading to incorrectly accounting for the effects of emission reduction policies involving purchased electricity. We propose a “Boundary III” framework to account for emissions both directly and indirectly caused by purchased electricity in interconnected gird networks. We use three case studies on a national grid network, an Eurasian Continent grid network, and North Europe grid network to demonstrate the proposed Boundary III emission factors. We found that the difference on GHG emissions of purchased electricity estimated using different emission factors can be considerably large. We suggest to standardize the choice of different emission factors based on how interconnected the local grid is with other grids.

  11. Ontario's intertie capacity and electricity trade in the interconnected system

    International Nuclear Information System (INIS)

    Dorey, S.

    2002-01-01

    Hydro One's capacity of existing interconnections were described. The Ontario utility is within reach of about 320 GW electricity markets in neighbouring Quebec, New York, Michigan, Minnesota, and Manitoba. It is also within reach of 50 million customers, and 30 per cent of total U.S. energy consumption. The author emphasized the need for expanded interties and new interconnections. The status of new interconnections was described along with the rules regarding electric power import, export and wheeling. It was noted that compared to the United States, Canada has a higher proportion of clean hydro and nuclear power plants in its mix of power generation. Markets across North America are adopting electricity restructuring and open competition. However, the transmission grids were not designed to support market-driven electricity trading. Most transmission grids were built when utilities were tightly regulated and provided service only within their assigned regions. The current energy infrastructure is not equipped for large-scale swapping of power in competitive markets. It was also noted that growth in US power flows is outpacing transmission investment. This paper addressed the issue of license requirements, transmitter proposals for regulated investments, and non-rate base transmitter investments. It was concluded that while market rules are flexible enough to encourage inter-jurisdictional trade, the rules have to facilitate and encourage transmission investment. 8 figs

  12. Stabilized copper plating method by programmed electroplated current: Accumulation of densely packed copper grains in the interconnect

    Energy Technology Data Exchange (ETDEWEB)

    Kao, Li-Chi; Hsu, Li-Hsuan; Brahma, Sanjaya; Huang, Bo-Chia; Liu, Chun-Chu; Lo, Kuang-Yao, E-mail: kuanglo@mail.ncku.edu.tw

    2016-12-01

    Highlights: • Actual Cu interconnect experiences many times of annealing and then cause the stress. • Stack Cu grains with varying grain size successively to enhance packed density. • XRD and PBR analyze the residual stress of local and average area of plated Cu film. • High packed Cu grain with stable stress proved by texture of Cu(1 1 1) and Cu(2 0 0). - Abstract: In this work, we programmed the plating current to stack the different size of copper (Cu) grain and analyzed the relation between the sequence of different Cu grain size and the stability of the residual stress. The residual stress was measured with varying times of annealing process in order to reach the purpose of simulating the actual Cu interconnect process. We found that varied plating strategy will make different stabilization condition of residual stress through the proof of X-ray diffraction (XRD) and optical parallel beams reflection (PBR) method. The accumulation of Cu grains, formed by Cu grain with successive variation in grain size, would enhance the packing density better than only single grain size in the finite space. The high density of the grain boundary in the electroplated Cu film will be eliminated through annealing process and it will help to suppress the void formation in further interconnect process. The electroplated Cu film with the plating current of saw tooth wave can soon reach a stable tensile stress through annealing since the Cu grains with high packing density will be quickly eliminated to approach the minimum of the strain energy which reflects to variation in the texture of Cu (2 0 0). The result of this work illustrates the importance of how to stack different size of Cu grain, for achieving a densely packed Cu film which close to the Cu bulk.

  13. Analysis of Defective Interconnections of the 13 kA LHC Superconducting Bus Bars

    CERN Document Server

    Granieri, P P; Bianchi, M; Breschi, M; Bottura, L; Willering, G

    2012-01-01

    The interconnections between Large Hadron Collider (LHC) main dipole and quadrupole magnets are made of soldered joints of two superconducting cables stabilized by a copper bus bar. The 2008 incident revealed the possible presence of defects in the interconnections of the 13 kA circuits that could lead to unprotected resistive transitions. Since then thorough experimental and numerical investigations were undertaken to determine the safe operating conditions for the LHC. This paper reports the analysis of experimental tests reproducing defective interconnections between main quadrupole magnets. A thermo-electromagnetic model was developed taking into account the complicated sample geometry. Close attention was paid to the physical description of the heat transfer towards helium, one of the main unknown parameters. The simulation results are reported in comparison with the measurements in case of static He I cooling bath. The outcome of this study constitutes a useful input to improve the stability assessment ...

  14. Load frequency control of three area interconnected hydro-thermal ...

    African Journals Online (AJOL)

    This paper present analysis on dynamic performance of Load Frequency Control (LFC) of three area interconnected hydrothermal reheat power system by the use of Artificial Intelligent and PI Controller. In the proposed scheme, control methodology developed using conventional PI controller, Artificial Neural Network ...

  15. Interconnectivity and the Electronic Academic Library

    Directory of Open Access Journals (Sweden)

    Donald E. Riggs

    1988-03-01

    Full Text Available 無Due to the emphasis on the use of computing networks on campuses and to the very nature of more information being accessible to library users only via electronic means, we are witnessing a migration to electronic academic libraries. this new type of library is being required to have interconnections with the campus' other online information/data systems. Arizona State University libraries have been provided the opportunity to develop an electronic library that will be the focal point of a campus-wide information/data network.

  16. Three-dimensional crossbar interconnection using planar-integrated free-space optics and digital mirror-device

    Science.gov (United States)

    Lohmann, U.; Jahns, J.; Limmer, S.; Fey, D.

    2011-01-01

    We consider the implementation of a dynamic crossbar interconnect using planar-integrated free-space optics (PIFSO) and a digital mirror-device™ (DMD). Because of the 3D nature of free-space optics, this approach is able to solve geometrical problems with crossings of the signal paths that occur in waveguide optical and electrical interconnection, especially for large number of connections. The DMD device allows one to route the signals dynamically. Due to the large number of individual mirror elements in the DMD, different optical path configurations are possible, thus offering the chance for optimizing the network configuration. The optimization is achieved by using an evolutionary algorithm for finding best values for a skewless parallel interconnection. Here, we present results and experimental examples for the use of the PIFSO/DMD-setup.

  17. A General Micro-Level Modeling Approach to Analyzing Interconnected SDGs: Achieving SDG 6 and More through Multiple-Use Water Services (MUS

    Directory of Open Access Journals (Sweden)

    Ralph P. Hall

    2017-02-01

    Full Text Available The 2030 agenda presents an integrated set of Sustainable Development Goals (SDGs and targets that will shape development activities for the coming decade. The challenge now facing development organizations and governments is how to operationalize this interconnected set of goals and targets through effective projects and programs. This paper presents a micro-level modeling approach that can quantitatively assess the impacts associated with rural water interventions that are tailored to specific communities. The analysis focuses on how a multiple-use water services (MUS approach to SDG 6 could reinforce a wide range of other SDGs and targets. The multilevel modeling framework provides a generalizable template that can be used in multiple sectors. In this paper, we apply the methodology to a dataset on rural water services from Mozambique to show that community-specific equivalents of macro-level variables used in the literature such as Cost of Illness (COI avoided can provide a better indication of the impacts of a specific intervention. The proposed modeling framework presents a new frontier for designing projects in any sector that address the specific needs of communities, while also leveraging the knowledge gained from previous projects in any country. The approach also presents a way for agencies and organizations to design projects or programs that bridge sectors/disciplines (water, irrigation, health, energy, economic development, etc. to advance an interconnected set of SDGs and targets.

  18. Impact of Isothermal Aging and Testing Temperature on Large Flip-Chip BGA Interconnect Mechanical Shock Performance

    Science.gov (United States)

    Lee, Tae-Kyu; Chen, Zhiqiang; Guirguis, Cherif; Akinade, Kola

    2017-10-01

    The stability of solder interconnects in a mechanical shock environment is crucial for large body size flip-chip ball grid array (FCBGA) electronic packages. Additionally, the junction temperature increases with higher electric power condition, which brings the component into an elevated temperature environment, thus introducing another consideration factor for mechanical stability of interconnection joints. Since most of the shock performance data available were produced at room temperature, the effect of elevated temperature is of interest to ensure the reliability of the device in a mechanical shock environment. To achieve a stable␣interconnect in a dynamic shock environment, the interconnections must tolerate mechanical strain, which is induced by the shock wave input and reaches the particular component interconnect joint. In this study, large body size (52.5 × 52.5 mm2) FCBGA components assembled on 2.4-mm-thick boards were tested with various isothermal pre-conditions and testing conditions. With a heating element embedded in the test board, a test temperature range from room temperature to 100°C was established. The effects of elevated temperature on mechanical shock performance were investigated. Failure and degradation mechanisms are identified and discussed based on the microstructure evolution and grain structure transformations.

  19. Ballistic One-Dimensional InAs Nanowire Cross-Junction Interconnects.

    Science.gov (United States)

    Gooth, Johannes; Borg, Mattias; Schmid, Heinz; Schaller, Vanessa; Wirths, Stephan; Moselund, Kirsten; Luisier, Mathieu; Karg, Siegfried; Riel, Heike

    2017-04-12

    Coherent interconnection of quantum bits remains an ongoing challenge in quantum information technology. Envisioned hardware to achieve this goal is based on semiconductor nanowire (NW) circuits, comprising individual NW devices that are linked through ballistic interconnects. However, maintaining the sensitive ballistic conduction and confinement conditions across NW intersections is a nontrivial problem. Here, we go beyond the characterization of a single NW device and demonstrate ballistic one-dimensional (1D) quantum transport in InAs NW cross-junctions, monolithically integrated on Si. Characteristic 1D conductance plateaus are resolved in field-effect measurements across up to four NW-junctions in series. The 1D ballistic transport and sub-band splitting is preserved for both crossing-directions. We show that the 1D modes of a single injection terminal can be distributed into multiple NW branches. We believe that NW cross-junctions are well-suited as cross-directional communication links for the reliable transfer of quantum information as required for quantum computational systems.

  20. Financial viability of the Sonora-Baja California interconnection line; Viabilidad financiera de la linea de interconexion Sonora-Baja California

    Energy Technology Data Exchange (ETDEWEB)

    Alonso, G. [ININ, Carretera Mexico-Touca s/n, 52750 Ocoyoacac, Estado de Mexico (Mexico); Ortega, G., E-mail: gustavo.alonso@inin.gob.mx [Comision Federal de Electricidad, Rio Rodano No. 14, Col. Cuauhtemoc, 06500 Ciudad de Mexico (Mexico)

    2017-09-15

    In the Development Program of the National Electricity Sector 2015-2029, an electric interconnection line between Sonora and Baja California (Mexico) is proposed, this study analyzes the financial viability of this interconnection line based on the maximum hourly and seasonal energy demand between both regions and proposes alternatives for the supply of electric power that supports the economic convenience of this interconnection line. The results show that additional capacity is required in Sonora to cover the maximum demands of both regions since in the current condition of the National Electric System the interconnection line is not justified. (Author)