WorldWideScience

Sample records for high-vacuum chemical vapor

  1. Limitations of patterning thin films by shadow mask high vacuum chemical vapor deposition

    International Nuclear Information System (INIS)

    Reinke, Michael; Kuzminykh, Yury; Hoffmann, Patrik

    2014-01-01

    A key factor in engineering integrated devices such as electro-optic switches or waveguides is the patterning of high quality crystalline thin films into specific geometries. In this contribution high vacuum chemical vapor deposition (HV-CVD) was employed to grow titanium dioxide (TiO 2 ) patterns onto silicon. The directed nature of precursor transport – which originates from the high vacuum environment during the process – allows shading certain regions on the substrate by shadow masks and thus depositing patterned thin films. While the use of such masks is an emerging field in stencil or shadow mask lithography, their use for structuring thin films within HV-CVD has not been reported so far. The advantage of the employed technique is the precise control of lateral spacing and of the distance between shading mask and substrate surface which is achieved by manufacturing them directly on the substrate. As precursor transport takes place in the molecular flow regime, the precursor impinging rates (and therefore the film growth rates) on the surface can be simulated as function of the reactor and shading mask geometry using a comparatively simple mathematical model. In the current contribution such a mathematical model, which predicts impinging rates on plain or shadow mask structured substrates, is presented. Its validity is confirmed by TiO 2 -deposition on plain silicon substrates (450 °C) using titanium tetra isopropoxide as precursor. Limitations of the patterning process are investigated by the deposition of TiO 2 on structured substrates and subsequent shadow mask lift-off. The geometry of the deposits is according to the mathematical model. Shading effects due to the growing film enables to fabricate deposits with predetermined variations in topography and non-flat top deposits which are complicated to obtain by classical clean room processes. As a result of the enhanced residual pressure of decomposition products and titanium precursors and the

  2. Tetrasilane and digermane for the ultra-high vacuum chemical vapor deposition of SiGe alloys

    International Nuclear Information System (INIS)

    Hart, John; Hazbun, Ramsey; Eldridge, David; Hickey, Ryan; Fernando, Nalin; Adam, Thomas; Zollner, Stefan; Kolodzey, James

    2016-01-01

    Tetrasilane and digermane were used to grow epitaxial silicon germanium layers on silicon substrates in a commercial ultra-high vacuum chemical vapor deposition tool. Films with concentrations up to 19% germanium were grown at temperatures from 400 °C to 550 °C. For all alloy compositions, the growth rates were much higher compared to using mono-silane and mono-germane. The quality of the material was assessed using X-ray diffraction, atomic force microscopy, and spectroscopic ellipsometry; all indicating high quality epitaxial films with low surface roughness suitable for commercial applications. Studies of the decomposition kinetics with regard to temperature were performed, revealing an unusual growth rate maximum between the high and low temperature deposition regimes. - Highlights: • Higher order precursors tetrasilane and digermane • Low temperature deposition • Thorough film characterization with temperature • Arrhenius growth rate peak

  3. Interwell coupling effect in Si/SiGe quantum wells grown by ultra high vacuum chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Loh Ter-Hoe

    2007-01-01

    Full Text Available AbstractSi/Si0.66Ge0.34coupled quantum well (CQW structures with different barrier thickness of 40, 4 and 2 nm were grown on Si substrates using an ultra high vacuum chemical vapor deposition (UHV-CVD system. The samples were characterized using high resolution x-ray diffraction (HRXRD, cross-sectional transmission electron microscopy (XTEM and photoluminescence (PL spectroscopy. Blue shift in PL peak energy due to interwell coupling was observed in the CQWs following increase in the Si barrier thickness. The Si/SiGe heterostructure growth process and theoretical band structure model was validated by comparing the energy of the no-phonon peak calculated by the 6 + 2-bandk·pmethod with experimental PL data. Close agreement between theoretical calculations and experimental data was obtained.

  4. Comparative study of tantalum deposition by chemical vapor deposition and electron beam vacuum evaporation

    International Nuclear Information System (INIS)

    Spitz, J.; Chevallier, J.

    1975-01-01

    The coating by tantalum of steel parts has been carried out by the two following methods: chemical vapor deposition by hydrogen reduction of TaCl 5 (temperature=1100 deg C, pressure=200 mmHg, H 2 /TaCl 5 =10); electron beam vacuum evaporation. In this case Ta was firstly condensed by ion plating (P(Ar)=5x10 -3 up to 2x10 -2 mmHg; U(c)=3 to -4kV and J(c)=0.2 to 1mAcm -2 ) in order to ensure a good adhesion between deposit and substrate; then by vacuum condensation (substrate temperature: 300 to 650 deg C) to ensure that the coating is impervious to HCl an H 2 SO 4 acids. The advantages and inconveniences of each method are discussed [fr

  5. Direct growth of Ge1-xSnx films on Si using a cold-wall ultra-high-vacuum chemical-vapor-deposition system

    Directory of Open Access Journals (Sweden)

    Aboozar eMosleh

    2015-04-01

    Full Text Available Germanium tin alloys were grown directly on Si substrate at low temperatures using a cold-wall ultra-high vacuum chemical vapor deposition system. Epitaxial growth was achieved by adopting commercial gas precursors of germane and stannic chloride without any carrier gases. The X-ray diffraction analysis showed the incorporation of Sn and that the Ge1-xSnx films are fully epitaxial and strain relaxed. Tin incorporation in the Ge matrix was found to vary from 1% to 7%. The scanning electron microscopy images and energy dispersive X-ray spectra maps show uniform Sn incorporation and continuous film growth. Investigation of deposition parameters shows that at high flow rates of stannic chloride the films were etched due to the production of HCl. The photoluminescence study shows the reduction of bandgap from 0.8 eV to 0.55 eV as a result of Sn incorporation.

  6. Latent fingermark development using low-vacuum vaporization of ninhydrin.

    Science.gov (United States)

    Chen, Chun-Chieh; Yang, Chao-Kai; Liao, Jeh-Shane; Wang, Sheng-Meng

    2015-12-01

    The vacuum technique is a method of vaporizing a solid material to its gas phase, helping deposit reagents gently on target surfaces to develop latent fingermarks. However, this application is rarely reported in the literature. In this study, a homemade fume hood with a built-in vacuum control system and programmable heating system designed by the Taiwan Criminal Investigation Bureau is introduced. Factors that affect the instrument's performance in developing fingermarks are discussed, including the quantity of chemicals for vaporization, heating program arrangement, and paper of different materials. The results show that fingermarks are effectively developed by vaporizing solid ninhydrin. This would be an alternative application in selecting a solvent-free method for protecting the environment and reducing health hazards in the lab. In terms of the heating program, the result indicates that under a low-vacuum condition (50 mTorr), 80-90 °C is a suitable temperature range for ninhydrin vaporization, allowing ninhydrin to be vaporized without bumping and waste. In terms of the performance on different material papers, this instrument demonstrates its capacity by developing latent fingermarks on thermal paper without discoloration or damaging the original writing, and the same results are also observed on Taiwan and United States banknotes. However, a coherent result could be hardly obtained using the same vaporization setting because different banknotes have their own surface features and water absorption ability or other unique factors may influence the effect of ninhydrin deposition. This study provides a reliable application for developing latent fingermarks without using solvents, and it is also expected to contribute to environmental protection along with the trend of green chemistry technology. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  7. Vacuum distillation/vapor filtration water recovery

    Science.gov (United States)

    Honegger, R. J.; Neveril, R. B.; Remus, G. A.

    1974-01-01

    The development and evaluation of a vacuum distillation/vapor filtration (VD/VF) water recovery system are considered. As a functional model, the system converts urine and condensates waste water from six men to potable water on a steady-state basis. The system is designed for 180-day operating durations and for function on the ground, on zero-g aircraft, and in orbit. Preparatory tasks are summarized for conducting low gravity tests of a vacuum distillation/vapor filtration system for recovering water from urine.

  8. Multifunctional ultra-high vacuum apparatus for studies of the interactions of chemical warfare agents on complex surfaces

    International Nuclear Information System (INIS)

    Wilmsmeyer, Amanda R.; Morris, John R.; Gordon, Wesley O.; Mantooth, Brent A.; Lalain, Teri A.; Davis, Erin Durke

    2014-01-01

    A fundamental understanding of the surface chemistry of chemical warfare agents is needed to fully predict the interaction of these toxic molecules with militarily relevant materials, catalysts, and environmental surfaces. For example, rules for predicting the surface chemistry of agents can be applied to the creation of next generation decontaminants, reactive coatings, and protective materials for the warfighter. Here, we describe a multifunctional ultra-high vacuum instrument for conducting comprehensive studies of the adsorption, desorption, and surface chemistry of chemical warfare agents on model and militarily relevant surfaces. The system applies reflection-absorption infrared spectroscopy, x-ray photoelectron spectroscopy, and mass spectrometry to study adsorption and surface reactions of chemical warfare agents. Several novel components have been developed to address the unique safety and sample exposure challenges that accompany the research of these toxic, often very low vapor pressure, compounds. While results of vacuum-based surface science techniques may not necessarily translate directly to environmental processes, learning about the fundamental chemistry will begin to inform scientists about the critical aspects that impact real-world applications

  9. Multifunctional ultra-high vacuum apparatus for studies of the interactions of chemical warfare agents on complex surfaces.

    Science.gov (United States)

    Wilmsmeyer, Amanda R; Gordon, Wesley O; Davis, Erin Durke; Mantooth, Brent A; Lalain, Teri A; Morris, John R

    2014-01-01

    A fundamental understanding of the surface chemistry of chemical warfare agents is needed to fully predict the interaction of these toxic molecules with militarily relevant materials, catalysts, and environmental surfaces. For example, rules for predicting the surface chemistry of agents can be applied to the creation of next generation decontaminants, reactive coatings, and protective materials for the warfighter. Here, we describe a multifunctional ultra-high vacuum instrument for conducting comprehensive studies of the adsorption, desorption, and surface chemistry of chemical warfare agents on model and militarily relevant surfaces. The system applies reflection-absorption infrared spectroscopy, x-ray photoelectron spectroscopy, and mass spectrometry to study adsorption and surface reactions of chemical warfare agents. Several novel components have been developed to address the unique safety and sample exposure challenges that accompany the research of these toxic, often very low vapor pressure, compounds. While results of vacuum-based surface science techniques may not necessarily translate directly to environmental processes, learning about the fundamental chemistry will begin to inform scientists about the critical aspects that impact real-world applications.

  10. Multifunctional ultra-high vacuum apparatus for studies of the interactions of chemical warfare agents on complex surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Wilmsmeyer, Amanda R.; Morris, John R. [Department of Chemistry, Virginia Tech, Blacksburg, Virginia 24061 (United States); Gordon, Wesley O.; Mantooth, Brent A.; Lalain, Teri A. [Research and Technology Directorate, U.S. Army Edgewood Chemical Biological Center, Aberdeen Proving Ground, Maryland 21010 (United States); Davis, Erin Durke [OptiMetrics, Inc., Abingdon, Maryland 21009 (United States)

    2014-01-15

    A fundamental understanding of the surface chemistry of chemical warfare agents is needed to fully predict the interaction of these toxic molecules with militarily relevant materials, catalysts, and environmental surfaces. For example, rules for predicting the surface chemistry of agents can be applied to the creation of next generation decontaminants, reactive coatings, and protective materials for the warfighter. Here, we describe a multifunctional ultra-high vacuum instrument for conducting comprehensive studies of the adsorption, desorption, and surface chemistry of chemical warfare agents on model and militarily relevant surfaces. The system applies reflection-absorption infrared spectroscopy, x-ray photoelectron spectroscopy, and mass spectrometry to study adsorption and surface reactions of chemical warfare agents. Several novel components have been developed to address the unique safety and sample exposure challenges that accompany the research of these toxic, often very low vapor pressure, compounds. While results of vacuum-based surface science techniques may not necessarily translate directly to environmental processes, learning about the fundamental chemistry will begin to inform scientists about the critical aspects that impact real-world applications.

  11. Metal vapor vacuum arc ion sources

    International Nuclear Information System (INIS)

    Brown, I.G.; Dickinson, M.R.; Galvin, J.E.; Godechot, X.; MacGill, R.A.

    1990-06-01

    We have developed a family of metal vapor vacuum are (MEVVA) high current metal ion sources. The sources were initially developed for the production of high current beams of metal ions for heavy ion synchrotron injection for basic nuclear physics research; more recently they have also been used for metal ion implantation. A number of different embodiments of the source have been developed for these specific applications. Presently the sources operate in a pulsed mode, with pulse width of order 1 ms and repetition rate up to 100 pps. Beam extraction voltage is up to 100 kV, and since the ions produced in the vacuum arc plasma are in general multiply ionized the ion energy is up to several hundred keV. Beam current is up to several Amperes peak and around 10 mA time averaged delivered onto target. Nearly all of the solid metals of the Periodic Table have been use to produce beam. A number of novel features have been incorporated into the sources, including multiple cathodes and the ability to switch between up to 18 separate cathode materials simply and quickly, and a broad beam source version as well as miniature versions. here we review the source designs and their performance. 45 refs., 7 figs

  12. Boron mediation on the growth of Ge quantum dots on Si (1 0 0) by ultra high vacuum chemical vapor deposition system

    International Nuclear Information System (INIS)

    Chen, P.S.; Pei, Z.; Peng, Y.H.; Lee, S.W.; Tsai, M.-J.

    2004-01-01

    Self-assembled Ge quantum dots (QDs) with boron mediation are grown on Si (1 0 0) by an industrial hot wall ultra-high-vacuum chemical vapor deposition (UHV/CVD) system with different growth temperatures and dopant gas flow rates. Diborane (B 2 H 6 ) gas is applied as a surfactant on the Si (1 0 0) prior to the growth of Ge QDs. Small dome and pyramid shaped Ge QDs are observed after boron treatment as compared to the hut shaped Ge cluster without boron pre-treatment at 525 and 550 deg. C. The Ge QDs have a typical base width and height of about 30 and 6 nm, respectively, and the density is about 2.5x10 10 cm -2 for the growth temperature of 525 deg. C. Through weakening the Si-H bond during the epitaxy growth and changing the stress field on the surface of the Si (1 0 0) buffer, boron mediation can modify the growth mode of Ge QDs. When the growth temperature is low (525-550 deg. C), the former factor is dominate, as the growth temperature is raised (600 deg. C), the latter parameter may play an important role on the formation of Ge QDs. Optical transition from Ge QDs is demonstrated from photoluminescence (PL) spectra. Furthermore, multifold Ge/Si layers are also carried out to enhance the PL intensity with first Ge layer treated by B 2 H 6 and avoid the generation of threading dislocations

  13. Heteroepitaxial Growth of Germanium-on-Silicon Using Ultrahigh-Vacuum Chemical Vapor Deposition with RF Plasma Enhancement

    Science.gov (United States)

    Alharthi, Bader; Grant, Joshua M.; Dou, Wei; Grant, Perry C.; Mosleh, Aboozar; Du, Wei; Mortazavi, Mansour; Li, Baohua; Naseem, Hameed; Yu, Shui-Qing

    2018-05-01

    Germanium (Ge) films have been grown on silicon (Si) substrate by ultrahigh-vacuum chemical vapor deposition with plasma enhancement (PE). Argon plasma was generated using high-power radiofrequency (50 W) to assist in germane decomposition at low temperature. The growth temperature was varied in the low range of 250°C to 450°C to make this growth process compatible with complementary metal-oxide-semiconductor technology. The material and optical properties of the grown Ge films were investigated. The material quality was determined by Raman and x-ray diffraction techniques, revealing growth of crystalline films in the temperature range of 350°C to 450°C. Photoluminescence spectra revealed improved optical quality at growth temperatures of 400°C and 450°C. Furthermore, material quality study using transmission electron microscopy revealed existence of defects in the Ge layer grown at 400°C. Based on the etch pit density, the average threading dislocation density in the Ge layer obtained at this growth temperature was measured to be 4.5 × 108 cm-2. This result was achieved without any material improvement steps such as use of graded buffer or thermal annealing. Comparison between PE and non-plasma-enhanced growth, in the same machine at otherwise the same growth conditions, indicated increased growth rate and improved material and optical qualities for PE growth.

  14. Perspective: Chemical reactions in ionic liquids monitored through the gas (vacuum)/liquid interface.

    Science.gov (United States)

    Maier, F; Niedermaier, I; Steinrück, H-P

    2017-05-07

    This perspective analyzes the potential of X-ray photoelectron spectroscopy under ultrahigh vacuum (UHV) conditions to follow chemical reactions in ionic liquids in situ. Traditionally, only reactions occurring on solid surfaces were investigated by X-ray photoelectron spectroscopy (XPS) in situ. This was due to the high vapor pressures of common liquids or solvents, which are not compatible with the required UHV conditions. It was only recently realized that the situation is very different when studying reactions in Ionic Liquids (ILs), which have an inherently low vapor pressure, and first studies have been performed within the last years. Compared to classical spectroscopy techniques used to monitor chemical reactions, the advantage of XPS is that through the analysis of their core levels all relevant elements can be quantified and their chemical state can be analyzed under well-defined (ultraclean) conditions. In this perspective, we cover six very different reactions which occur in the IL, with the IL, or at an IL/support interface, demonstrating the outstanding potential of in situ XPS to gain insights into liquid phase reactions in the near-surface region.

  15. Chemically vapor-deposited tungsten: its high temperature strength and ductility

    International Nuclear Information System (INIS)

    Bryant, W.A.

    1977-01-01

    The high temperature tensile ductility (as measured by total elongation normal to the growth direction) of chemically vapor-deposited tungsten was found to be significantly greater than previously reported. A correlation was found between ductility and void content. However, voids were found to have essentially no effect on the high temperature strength of this material, which is considerably weaker than powder metallurgy tungsten. (Auth.)

  16. SiC nanofibers grown by high power microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Honda, Shin-ichi; Baek, Yang-Gyu; Ikuno, Takashi; Kohara, Hidekazu; Katayama, Mitsuhiro; Oura, Kenjiro; Hirao, Takashi

    2003-01-01

    Silicon carbide (SiC) nanofibers have been synthesized on Si substrates covered by Ni thin films using high power microwave chemical vapor deposition (CVD). Characterization using transmission electron microscopy (TEM) combined with electron energy-dispersive X-ray spectroscopy (EDX) revealed that the resultant fibrous nanostructures were assigned to β-SiC with high crystallinity. The formation of SiC nanofibers can be explained by the vapor liquid solid (VLS) mechanism in which precipitation of SiC occurs from the supersaturated Ni nanoparticle containing Si and C

  17. Leybold vacuum handbook

    CERN Document Server

    Diels, K; Diels, Kurt

    1966-01-01

    Leybold Vacuum Handbook presents a collection of data sets that are essential for numerical calculation of vacuum plants and vacuum processes. The title first covers vacuum physics, which includes gas kinetics, flow phenomena, vacuum gauges, and vapor removal. Next, the selection presents data on vacuum, high vacuum process technology, and gas desorption and gettering. The text also deals with materials, vapor pressure, boiling and melting points, and gas permeability. The book will be of great interest to engineers and technicians that deals with vacuum related technologies.

  18. Atomic beam formed by the vaporization of a high velocity pellet

    International Nuclear Information System (INIS)

    Foster, C.A.; Hendricks, C.D.

    1974-01-01

    A description of an atomic beam formed by vaporizing an electrostatically accelerated high velocity pellet is given. Uniformly sized droplets of neon will be formed by the mechanical disintegration of liquid jet and frozen by adiabatic vaporization in vacuum. The pellets produced will be charged and accelerated by contacting a needle held at high potential. The accelerated pellets will be vaporized forming a pulse of mono-energetic atoms. The advantages are that a wide range of energies will be possible. The beam will be mono-energetic. The beam is inheretly pulsed, allowing a detailed time of flight velocity distribution measurement. The beam will have a high instantaneous intensity. The beam will be able to operate into an ultra high vacuum chamber

  19. Vapor pressure and evaporation rate of certain heat-resistant compounds in a vacuum at high temperatures

    Science.gov (United States)

    Bolgar, A. S.; Verkhoglyadova, T. S.; Samsonov, G. V.

    1985-01-01

    The vapor pressure and evaporation rate of borides of titanium, zirconium, and chrome; and of strontium and carbides of titanium, zirconium, and chrome, molybdenum silicide; and nitrides of titanium, niobium, and tantalum in a vacuum were studied. It is concluded that all subject compounds evaporate by molecular structures except AlB sub 12' which dissociates, losing the aluminum.

  20. The use of high vacuum soil vapor extraction to improve contaminant recovery from ground water zones of low transmissivity

    International Nuclear Information System (INIS)

    Brown, A.; Farrow, J.R.C.; Burgess, W.

    1996-01-01

    This study examines the potential for enhancing hydrocarbon contaminant mass recovery from ground water using high vacuum soil vapor extraction (SVE). The effectiveness of this form of remediation is compared with the effectiveness of conventional pump-and-treat. This study focuses on the performance of a high vacuum SVE system at two ground water monitoring wells (MW-17 and MW-65b) at a site in Santa Barbara, California, US. The site is a highly characterized site with vadose zone and ground water petroleum hydrocarbon contamination (gasoline). The ground water wells are located beyond a defined area of vadose zone soil contamination. Ground water hydrocarbon contamination [light non-aqueous phase liquid (LNAPL) and dissolved phase] is present at each of the wells. the ground water wells have been part of a low-flow, pump-and-treat, ground water treatment system (GWTS) since August, 1986. The low transmissivity of the aquifer sediments prevent flow rates above approximately 0.02 gpm (0.01 l/min) per well

  1. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2014-01-01

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Atmospheric pressure chemical vapor deposition (APCVD) grown bi-layer graphene transistor characteristics at high temperature

    KAUST Repository

    Qaisi, Ramy M.

    2014-05-15

    We report the characteristics of atmospheric chemical vapor deposition grown bilayer graphene transistors fabricated on ultra-scaled (10 nm) high-κ dielectric aluminum oxide (Al2O3) at elevated temperatures. We observed that the drive current increased by >400% as temperature increased from room temperature to 250 °C. Low gate leakage was maintained for prolonged exposure at 100 °C but increased significantly at temperatures >200 °C. These results provide important insights for considering chemical vapor deposition graphene on aluminum oxide for high temperature applications where low power and high frequency operation are required. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Rapid and highly efficient growth of graphene on copper by chemical vapor deposition of ethanol

    Energy Technology Data Exchange (ETDEWEB)

    Lisi, Nicola, E-mail: nicola.lisi@enea.it [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Buonocore, Francesco; Dikonimos, Theodoros; Leoni, Enrico [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy); Faggio, Giuliana; Messina, Giacomo [Dipartimento di Ingegneria dell' Informazione, delle Infrastrutture e dell' Energia Sostenibile (DIIES), Università “Mediterranea” di Reggio Calabria, 89122 Reggio Calabria (Italy); Morandi, Vittorio; Ortolani, Luca [CNR-IMM Bologna, Via Gobetti 101, 40129 Bologna (Italy); Capasso, Andrea [ENEA, Materials Technology Unit, Surface Technology Laboratory, Casaccia Research Centre, Via Anguillarese 301, 00123 Rome (Italy)

    2014-11-28

    The growth of graphene by chemical vapor deposition on metal foils is a promising technique to deliver large-area films with high electron mobility. Nowadays, the chemical vapor deposition of hydrocarbons on copper is the most investigated synthesis method, although many other carbon precursors and metal substrates are used too. Among these, ethanol is a safe and inexpensive precursor that seems to offer favorable synthesis kinetics. We explored the growth of graphene on copper from ethanol, focusing on processes of short duration (up to one min). We investigated the produced films by electron microscopy, Raman and X-ray photoemission spectroscopy. A graphene film with high crystalline quality was found to cover the entire copper catalyst substrate in just 20 s, making ethanol appear as a more efficient carbon feedstock than methane and other commonly used precursors. - Highlights: • Graphene films were grown by fast chemical vapor deposition of ethanol on copper. • High-temperature/short-time growth produced highly crystalline graphene. • The copper substrate was entirely covered by a graphene film in just 20 s. • Addition of H{sub 2} had a negligible effect on the crystalline quality.

  4. Copper-vapor-catalyzed chemical vapor deposition of graphene on dielectric substrates

    Science.gov (United States)

    Yang, Chao; Wu, Tianru; Wang, Haomin; Zhang, Xuefu; Shi, Zhiyuan; Xie, Xiaoming

    2017-07-01

    Direct synthesis of high-quality graphene on dielectric substrates is important for its application in electronics. In this work, we report the process of copper-vapor-catalyzed chemical vapor deposition of high-quality and large graphene domains on various dielectric substrates. The copper vapor plays a vital role on the growth of transfer-free graphene. Both single-crystal domains that are much larger than previous reports and high-coverage graphene films can be obtained by adjusting the growth duration. The quality of the obtained graphene was verified to be comparable with that of graphene grown on Cu foil. The progress reported in this work will aid the development of the application of transfer-free graphene in the future.

  5. High temperature vapors science and technology

    CERN Document Server

    Hastie, John

    2012-01-01

    High Temperature Vapors: Science and Technology focuses on the relationship of the basic science of high-temperature vapors to some areas of discernible practical importance in modern science and technology. The major high-temperature problem areas selected for discussion include chemical vapor transport and deposition; the vapor phase aspects of corrosion, combustion, and energy systems; and extraterrestrial high-temperature species. This book is comprised of seven chapters and begins with an introduction to the nature of the high-temperature vapor state, the scope and literature of high-temp

  6. DuPont Chemical Vapor Technical Report

    International Nuclear Information System (INIS)

    MOORE, T.L.

    2003-01-01

    DuPont Safety Resources was tasked with reviewing the current chemical vapor control practices and providing preventive recommendations on best commercial techniques to control worker exposures. The increased focus of the tank closure project to meet the 2024 Tri-Party Agreement (TPA) milestones has surfaced concerns among some CH2MHill employees and other interested parties. CH2MHill is committed to providing a safe working environment for employees and desires to safely manage the tank farm operations using appropriate control measures. To address worker concerns, CH2MHill has chartered a ''Chemical Vapors Project'' to integrate the activities of multiple CH2MHill project teams, and solicit the expertise of external resources, including an independent Industrial Hygiene expert panel, a communications consultant, and DuPont Safety Resources. Over a three-month time period, DuPont worked with CH2MHill ESH and Q, Industrial Hygiene, Engineering, and the independent expert panel to perform the assessment. The process included overview presentations, formal interviews, informal discussions, documentation review, and literature review. DuPont Safety Resources concluded that it is highly unlikely that workers in the tank farms are exposed to chemicals above established standards. Additionally, the conventional and radiological chemistry is understood, the inherent chemical hazards are known, and the risk associated with chemical vapor exposure is properly managed. The assessment highlighted management's commitment to addressing chemical vapor hazards and controlling the associated risks. Additionally, we found the Industrial Hygiene staff to be technically competent and well motivated. The tank characterization data resides in a comprehensive database containing the tank chemical compositions and relevant airborne concentrations

  7. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W.; Ryu, Koungmin; Thompson, Mark E.; Zhou, Chongwu

    2010-01-01

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD

  8. Silicates materials of high vacuum technology

    CERN Document Server

    Espe, Werner

    2013-01-01

    Materials of High Vacuum Technology, Volume 2: Silicates covers silicate insulators of special importance to vacuum technology. The book discusses the manufacture, composition, and physical and chemical properties of technical glasses, quartz glass, quartzware, vycor glass, ceramic materials, mica, and asbestos.

  9. Distribution of Vapor Pressure in the Vacuum Freeze-Drying Equipment

    Directory of Open Access Journals (Sweden)

    Shiwei Zhang

    2012-01-01

    Full Text Available In the big vacuum freeze-drying equipment, the drying rate of materials is uneven at different positions. This phenomenon can be explained by the uneven distribution of vapor pressure in chamber during the freeze-drying process. In this paper, a mathematical model is developed to describe the vapor flow in the passageways either between material plates and in the channel between plate groups. The distribution of vapor pressure along flow passageway is given. Two characteristic factors of passageways are defined to express the effects of structural and process parameters on vapor pressure distribution. The affecting factors and their actions are quantitatively discussed in detail. Two examples are calculated and analyzed. The analysis method and the conclusions are useful to estimate the difference of material drying rate at different parts in equipment and to direct the choice of structural and process parameters.

  10. Detecting leaks in vacuum bags

    Science.gov (United States)

    Carlstrom, E. E.

    1980-01-01

    Small leaks in vacuum bag can be readily detected by eye, using simple chemical reaction: combination of ammonia and acetic acid vapors to produce cloudy white smoke. Technique has been successfully used to test seam integrity and to identify minute pinholes in vacuum bag used in assembly of ceramic-tile heat shield for Space Shuttle Orbiter.

  11. Patterned growth of carbon nanotubes obtained by high density plasma chemical vapor deposition

    Science.gov (United States)

    Mousinho, A. P.; Mansano, R. D.

    2015-03-01

    Patterned growth of carbon nanotubes by chemical vapor deposition represents an assembly approach to place and orient nanotubes at a stage as early as when they are synthesized. In this work, the carbon nanotubes were obtained at room temperature by High Density Plasmas Chemical Vapor Deposition (HDPCVD) system. This CVD system uses a new concept of plasma generation, where a planar coil coupled to an RF system for plasma generation was used with an electrostatic shield for plasma densification. In this mode, high density plasmas are obtained. We also report the patterned growth of carbon nanotubes on full 4-in Si wafers, using pure methane plasmas and iron as precursor material (seed). Photolithography processes were used to pattern the regions on the silicon wafers. The carbon nanotubes were characterized by micro-Raman spectroscopy, the spectra showed very single-walled carbon nanotubes axial vibration modes around 1590 cm-1 and radial breathing modes (RBM) around 120-400 cm-1, confirming that high quality of the carbon nanotubes obtained in this work. The carbon nanotubes were analyzed by atomic force microscopy and scanning electron microscopy too. The results showed that is possible obtain high-aligned carbon nanotubes with patterned growth on a silicon wafer with high reproducibility and control.

  12. Surface conductivity of the single crystal aluminum oxide in vacuum and caesium vapors

    International Nuclear Information System (INIS)

    Vasilchenko, A.V.; Izhvanov, O.L.

    1996-01-01

    Results of measurements of surface conductivity of single-crystal aluminum oxide samples in vacuum and cesium vapors at T=620 endash 830 K and P Cs =0.13 endash 2 Pa are shown in the paper. Analysis of caesium vapor influence is carried out and ultimate characteristics of samples conductivity under operation conditions in thermionic nuclear power system (NPP) TFE are estimated. copyright 1996 American Institute of Physics

  13. In situ preparation of biomimetic thin films and their surface-shielding effect for organisms in high vacuum.

    Directory of Open Access Journals (Sweden)

    Hiroshi Suzuki

    Full Text Available Self-standing biocompatible films have yet to be prepared by physical or chemical vapor deposition assisted by plasma polymerization because gaseous monomers have thus far been used to create only polymer membranes. Using a nongaseous monomer, we previously found a simple fabrication method for a free-standing thin film prepared from solution by plasma polymerization, and a nano-suit made by polyoxyethylene (20 sorbitan monolaurate can render multicellular organisms highly tolerant to high vacuum. Here we report thin films prepared by plasma polymerization from various monomer solutions. The films had a flat surface at the irradiated site and were similar to films produced by vapor deposition of gaseous monomers. However, they also exhibited unique characteristics, such as a pinhole-free surface, transparency, solvent stability, flexibility, and a unique out-of-plane molecular density gradient from the irradiated to the unirradiated surface of the film. Additionally, covering mosquito larvae with the films protected the shape of the organism and kept them alive under the high vacuum conditions in a field emission-scanning electron microscope. Our method will be useful for numerous applications, particularly in the biological sciences.

  14. Controlled assembly of organic whispering-gallery-mode microlasers as highly sensitive chemical vapor sensors.

    Science.gov (United States)

    Gao, Miaomiao; Wei, Cong; Lin, Xianqing; Liu, Yuan; Hu, Fengqin; Zhao, Yong Sheng

    2017-03-09

    We demonstrate the fabrication of organic high Q active whispering-gallery-mode (WGM) resonators from π-conjugated polymer by a controlled emulsion-solvent-evaporation method, which can simultaneously provide optical gain and act as an effective resonant cavity. By measuring the shift of their lasing modes on exposure to organic vapor, we successfully monitored the slight concentration variation in the chemical gas. These microlaser sensors demonstrated high detection sensitivity and good signal repeatability under continuous chemical gas treatments. The results offer an effective strategy to design miniaturized optical sensors.

  15. HANFORD CHEMICAL VAPORS WORKER CONCERNS and EXPOSURE EVALUATION

    International Nuclear Information System (INIS)

    ANDERSON, T.J.

    2006-01-01

    Chemical vapor emissions from underground hazardous waste storage tanks on the Hanford site in eastern Washington State are a potential concern because workers enter the tank farms on a regular basis for waste retrievals, equipment maintenance, and surveillance. Tank farm contractors are in the process of retrieving all remaining waste from aging single-shell tanks, some of which date to World War II, and transferring it to newer double-shell tanks. During the waste retrieval process, tank farm workers are potentially exposed to fugitive chemical vapors that can escape from tank headspaces and other emission points. The tanks are known to hold more than 1,500 different species of chemicals, in addition to radionuclides. Exposure assessments have fully characterized the hazards from chemical vapors in half of the tank farms. Extensive sampling and analysis has been done to characterize the chemical properties of hazardous waste and to evaluate potential health hazards of vapors at the ground surface, where workers perform maintenance and waste transfer activities. Worker concerns. risk communication, and exposure assessment are discussed, including evaluation of the potential hazards of complex mixtures of chemical vapors. Concentrations of vapors above occupational exposure limits-(OEL) were detected only at exhaust stacks and passive breather filter outlets. Beyond five feet from the sources, vapors disperse rapidly. No vapors have been measured above 50% of their OELs more than five feet from the source. Vapor controls are focused on limited hazard zones around sources. Further evaluations of vapors include analysis of routes of exposure and thorough analysis of nuisance odors

  16. Vacuum technology in the chemical industry

    CERN Document Server

    Jorisch, Wolfgang

    2015-01-01

    Based on the very successful German edition and a seminar held by the German Engineers` Association (VDI) on a regular basis for years now, this English edition has been thoroughly updated and revised to reflect the latest developments. It supplies in particular the special aspects of vacuum technology, applied vacuum pump types and vacuum engineering in the chemical, pharmaceutical and process industry application-segments. The text includes chapters dedicated to latest European regulations for operating in hazardous zones with vacuum systems, methods for process pressure control and regulati

  17. An evaluation of absorption spectroscopy to monitor YBa2Cu3O7-x precursors for metal organics chemical vapor deposition processing

    International Nuclear Information System (INIS)

    Matthew Edward Thomas

    1999-01-01

    Absorption spectroscopy was evaluated as a technique to monitor the metal organics chemical vapor deposition (MOCVD) process for forming YBa 2 Cu 3 O 7-x superconducting coated conductors. Specifically, this study analyzed the feasibility of using absorption spectroscopy to monitor the MOCVD supply vapor concentrations of the organic ligand 2,2,6,6-tetramethyl-3,5-heptanedionate (TMHD) metal chelates of barium, copper, and yttrium. Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 compounds have successfully been vaporized in the MOCVD processing technique to form high temperature superconducting ''coated conductors,'' a promising technology for wire fabrication. The absorption study of the barium, copper, and yttrium (TMHD) precursors was conducted in the ultraviolet wavelength region from 200nm to 400nm. To simulate the MOCVD precursor flows the Ba(TMHD) 2 , Cu(TMHD) 2 , and Y(TMHD) 3 complexes were vaporized at vacuum pressures of (0.03--10)Torr. Spectral absorption scans of each precursor were conducted to examine potential measurement wavelengths for determining vapor concentrations of each precursor via Beer's law. The experimental results show that under vacuum conditions the barium, copper, and yttrium (TMHD) precursors begin to vaporize between 90 C and 135 C, which are considerably lower vaporization temperatures than atmospheric thermal gravimetric analyses indicate. Additionally, complete vaporization of the copper and yttrium (TMHD) precursors occurred during rapid heating at temperatures between 145 C and 195 C and after heating at constant temperatures between 90 C and 125 C for approximately one hour, whereas the Ba(TMHD) 2 precursor did not completely vaporize. At constant temperatures, near constant vaporization levels for each precursor were observed for extended periods of time. Detailed spectroscopic scans at stable vaporization conditions were conducted

  18. SiO2 coating of silver nanoparticles by photoinduced chemical vapor deposition

    International Nuclear Information System (INIS)

    Boies, Adam M; Girshick, Steven L; Roberts, Jeffrey T; Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane

    2009-01-01

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO 2 ) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO 2 precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO 2 coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 0 C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10 7 particles cm -3 .

  19. High intensity vacuum ultraviolet and extreme ultraviolet production by noncollinear mixing in laser vaporized media

    Energy Technology Data Exchange (ETDEWEB)

    Todt, Michael A.; Albert, Daniel R.; Davis, H. Floyd, E-mail: hfd1@cornell.edu [Baker Laboratory, Department of Chemistry and Chemical Biology, Cornell University, Ithaca, New York 14853-1301 (United States)

    2016-06-15

    A method is described for generating intense pulsed vacuum ultraviolet (VUV) and extreme ultraviolet (XUV) laser radiation by resonance enhanced four-wave mixing of commercial pulsed nanosecond lasers in laser vaporized mercury under windowless conditions. By employing noncollinear mixing of the input beams, the need of dispersive elements such as gratings for separating the VUV/XUV from the residual UV and visible beams is eliminated. A number of schemes are described, facilitating access to the 9.9–14.6 eV range. A simple and convenient scheme for generating wavelengths of 125 nm, 112 nm, and 104 nm (10 eV, 11 eV, and 12 eV) using two dye lasers without the need for dye changes is described.

  20. Vapor vacuum extraction treatability study at the Idaho National Engineering Laboratory

    International Nuclear Information System (INIS)

    Herd, M.D.; Matthern, G.; Michael, D.L.; Spang, N.; Downs, W.; Weidner, J.; Cleary, P.

    1993-01-01

    During the 1960s and early 1970s, barreled mixed waste containing volatile organic compounds (VOCS) and radioactive waste was buried at the Subsurface Disposal Area (SDA) at the Idaho National Engineering Laboratory (INEL) Radioactive Waste Management Complex (RWMC). Over time, some of the barrels have deteriorated allowing, VOC vapors to be released into the vadose zone. The primary VOC contaminates of concern are CCl 4 and trichloroethylene; however, chloroform, tetrachloroethylene, and 1,1,1-trichloroethane have also been detected. Vapor Vacuum Extraction (VVE) is one alternative being considered for remediation of the RWMC SDA vadose zone. A proposed pilot-scale treatability study (TS) will provide operation and maintenance costs for the design of the potential scale-up of the system

  1. Chemical vapor deposited fiber coatings and chemical vapor infiltrated ceramic matrix composites

    Energy Technology Data Exchange (ETDEWEB)

    Kmetz, M.A.

    1992-01-01

    Conventional Chemical Vapor Deposition (CVD) and Organometallic Chemical Vapor Deposition (MOCVD) were employed to deposit a series of interfacial coatings on SiC and carbon yarn. Molybdenum, tungsten and chromium hexacarbonyls were utilized as precursors in a low temperature (350[degrees]C) MOCVD process to coat SiC yarn with Mo, W and Cr oxycarbides. Annealing studies performed on the MoOC and WOC coated SiC yarns in N[sub 2] to 1,000[degrees]C establish that further decomposition of the oxycarbides occurred, culminating in the formation of the metals. These metals were then found to react with Si to form Mo and W disilicide coatings. In the Cr system, heating in N[sub 2] above 800[degrees]C resulted in the formation of a mixture of carbides and oxides. Convention CVD was also employed to coat SiC and carbon yarn with C, Bn and a new interface designated BC (a carbon-boron alloy). The coated tows were then infiltrated with SiC, TiO[sub 2], SiO[sub 2] and B[sub 4]C by a chemical vapor infiltration process. The B-C coatings were found to provide advantageous interfacial properties over carbon and BN coatings in several different composite systems. The effectiveness of these different coatings to act as a chemically inert barrier layer and their relationship to the degree of interfacial debonding on the mechanical properties of the composites were examined. The effects of thermal stability and strength of the coated fibers and composites were also determined for several difference atmospheres. In addition, a new method for determining the tensile strength of the as-received and coated yarns was also developed. The coated fibers and composites were further characterized by AES, SEM, XPS, IR and X-ray diffraction analysis.

  2. Microstructure of vapor deposited coatings on curved substrates

    Energy Technology Data Exchange (ETDEWEB)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G., E-mail: haydn@virginia.edu [Department of Materials Science and Engineering, University of Virginia, 395 McCormick Rd., P.O. Box 400745, Charlottesville, Virginia 22904 (United States)

    2015-09-15

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness.

  3. Microstructure of vapor deposited coatings on curved substrates

    International Nuclear Information System (INIS)

    Rodgers, Theron M.; Zhao, Hengbei; Wadley, Haydn N. G.

    2015-01-01

    Thermal barrier coating systems consisting of a metallic bond coat and ceramic over layer are widely used to extend the life of gas turbine engine components. They are applied using either high-vacuum physical vapor deposition techniques in which vapor atoms rarely experience scattering collisions during propagation to a substrate, or by gas jet assisted (low-vacuum) vapor deposition techniques that utilize scattering from streamlines to enable non-line-of-sight deposition. Both approaches require substrate motion to coat a substrate of complex shape. Here, direct simulation Monte Carlo and kinetic Monte Carlo simulation methods are combined to simulate the deposition of a nickel coating over the concave and convex surfaces of a model airfoil, and the simulation results are compared with those from experimental depositions. The simulation method successfully predicted variations in coating thickness, columnar growth angle, and porosity during both stationary and substrate rotated deposition. It was then used to investigate a wide range of vapor deposition conditions spanning high-vacuum physical vapor deposition to low-vacuum gas jet assisted vapor deposition. The average coating thickness was found to increase initially with gas pressure reaching a maximum at a chamber pressure of 8–10 Pa, but the best coating thickness uniformity was achieved under high vacuum deposition conditions. However, high vacuum conditions increased the variation in the coatings pore volume fraction over the surface of the airfoil. The simulation approach was combined with an optimization algorithm and used to investigate novel deposition concepts to tailor the local coating thickness

  4. Ceramic composites by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Stinton, D.P.

    1987-01-01

    Composites consisting of silicon carbide matrices reinforced with continuous ceramic fibers are being developed for high-temperature structural applications. Chemical vapor deposition (CVD) techniques are very effective in fabricating composites with high strengths and exceptional fracture toughness. Mechanical properties of infiltrated composites are controlled by the strength of the interfacial bond between the fibers and matrix. This paper describes two CVD techniques and reviews the models being developed to better understand and control the infiltration process

  5. High index of refraction films for dielectric mirrors prepared by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Brusasco, R.M.

    1989-01-01

    A wide variety of metal oxides with high index of refraction can be prepared by Metal-Organic Chemical Vapor Deposition. We present some recent optical and laser damage results on oxide films prepared by MOCVD which could be used in a multilayer structure for highly reflecting (HR) dielectric mirror applications. The method of preparation affects both optical properties and laser damage threshold. 10 refs., 8 figs., 4 tabs

  6. The chemical vapor deposition of zirconium carbide onto ceramic substrates

    International Nuclear Information System (INIS)

    Glass A, John Jr.; Palmisiano, Nick Jr.; Welsh R, Edward

    1999-01-01

    Zirconium carbide is an attractive ceramic material due to its unique properties such as high melting point, good thermal conductivity, and chemical resistance. The controlled preparation of zirconium carbide films of superstoichiometric, stoichiometric, and substoichiometric compositions has been achieved utilizing zirconium tetrachloride and methane precursor gases in an atmospheric pressure high temperature chemical vapor deposition system

  7. Improvement in high-voltage and high rate cycling performance of nickel-rich layered cathode materials via facile chemical vapor deposition with methane

    International Nuclear Information System (INIS)

    Hyuk Son, In; Park, Kwangjin; Hwan Park, Jong

    2017-01-01

    Nickel-rich layered-oxide materials are considered promising candidates for application as cathode material in high-energy lithium ion batteries. However, their cycling performance at high voltages and rate conditions require further improvement for the purpose of commercialization. Here, we report on the facile surface modification of nickel-rich layered oxide by chemical vapor deposition with methane which yields a conductive and protective artificial solid electrolyte interphase layer consisting of amorphous carbon, alkyl lithium carbonate, and lithium carbonate. We examine the mechanism of the protective layer formation and structural deformation of the nickel-rich layered oxide during chemical vapor deposition with methane. Via optimizing the reaction conditions, we improve the electrical conductivity as well as the interfacial stability of the nickel-rich layered oxide without inducing structural deformation. The surface-modified nickel-rich layered oxide exhibits an improved performance due to the resulting enhanced rate capability, high initial efficiency, and long cycle life at high voltage (>4.5 V).

  8. Research on chemical vapor deposition processes for advanced ceramic coatings

    Science.gov (United States)

    Rosner, Daniel E.

    1993-01-01

    Our interdisciplinary background and fundamentally-oriented studies of the laws governing multi-component chemical vapor deposition (VD), particle deposition (PD), and their interactions, put the Yale University HTCRE Laboratory in a unique position to significantly advance the 'state-of-the-art' of chemical vapor deposition (CVD) R&D. With NASA-Lewis RC financial support, we initiated a program in March of 1988 that has led to the advances described in this report (Section 2) in predicting chemical vapor transport in high temperature systems relevant to the fabrication of refractory ceramic coatings for turbine engine components. This Final Report covers our principal results and activities for the total NASA grant of $190,000. over the 4.67 year period: 1 March 1988-1 November 1992. Since our methods and the technical details are contained in the publications listed (9 Abstracts are given as Appendices) our emphasis here is on broad conclusions/implications and administrative data, including personnel, talks, interactions with industry, and some known applications of our work.

  9. SiO{sub 2} coating of silver nanoparticles by photoinduced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Boies, Adam M; Girshick, Steven L [Department of Mechanical Engineering, University of Minnesota, 111 Church Street SE, Minneapolis, MN 55455 (United States); Roberts, Jeffrey T [Department of Chemistry, University of Minnesota, 207 Pleasant Street SE, Minneapolis, MN 55455 (United States); Zhang Bin; Nakamura, Toshitaka; Mochizuki, Amane, E-mail: jtrob@umn.ed, E-mail: slg@umn.ed [Nitto Denko Technical Corporation, 501 Via Del Monte, Oceanside, CA 92058 (United States)

    2009-07-22

    Gas-phase silver nanoparticles were coated with silicon dioxide (SiO{sub 2}) by photoinduced chemical vapor deposition (photo-CVD). Silver nanoparticles, produced by inert gas condensation, and a SiO{sub 2} precursor, tetraethylorthosilicate (TEOS), were exposed to vacuum ultraviolet (VUV) radiation at atmospheric pressure and varying temperatures. The VUV photons dissociate the TEOS precursor, initiating a chemical reaction that forms SiO{sub 2} coatings on the particle surfaces. Coating thicknesses were measured for a variety of operation parameters using tandem differential mobility analysis and transmission electron microscopy. The chemical composition of the particle coatings was analyzed using energy dispersive x-ray spectrometry and Fourier transform infrared spectroscopy. The highest purity films were produced at 300-400 {sup 0}C with low flow rates of additional oxygen. The photo-CVD coating technique was shown to effectively coat nanoparticles and limit core particle agglomeration at concentrations up to 10{sup 7} particles cm{sup -3}.

  10. High performance emitter for thermionic diode obtained by chemical vapor deposition

    International Nuclear Information System (INIS)

    Faron, R.; Bargues, M.; Durand, J.P.; Gillardeau, J.

    1973-01-01

    Vapor deposition process conditions presently known for tungsten and molybdenum (specifically the range of high temperatures and low pressures) permit the achievement of high performance thermionic emitters when used with an appropriate technology. One example of this uses the following series of successive vapor deposits, the five last vapor deposits constituting the fabrication of the emitting layer: Mo deposit for the formation of the nuclear fuel mechanical support; Mo deposit, which constitutes the sheath of the nuclear fuel; epitaxed Mo--W alloy deposit; epitaxed tungsten deposit; fine-grained tungsten deposit; and tungsten deposit with surface orientation according to plane (110)W. In accordance with vapor deposition techniques previously developed, such a sequence of deposits can easily be achieved with the same equipment, even without having to take out the part during the course of the process. (U.S.)

  11. Field installed brazed thermocouple feedthroughs for high vacuum experiments

    International Nuclear Information System (INIS)

    Anderson, P.; Messick, C.

    1983-01-01

    In order to reduce the occurrence of vacuum leaks and to increase the availability of the DIII vacuum vessel for experimental operation, effort was applied to developing a vacuum-tight brazed feedthrough system for sheathed thermocouples, stainless steel sheathed conductor cables and tubes for cooling fluids. This brazed technique is a replacement for elastomer ''O'' ring sealed feedthroughs that have proven vulnerable to leaks caused by thermal cycling, etc. To date, about 200 feedthroughs have been used. Up to 91 were grouped on a single conflat flange mounted in a bulkhead connector configuration which facilitates installation and removal. Investigation was required to select a suitable braze alloy, flux and installation procedure. Braze alloy selection was challenging since the alloy was required to have: 1) Melting temperature in excess of the 250 0 C (482 0 F) bakeout temperature. 2) No high vapor pressure elements. 3) Good wetting properties when used in air with acceptable flux. 4) Good wettability to 300 series stainless steel and inconel

  12. Understanding the chemical vapor deposition of diamond: recent progress

    International Nuclear Information System (INIS)

    Butler, J E; Mankelevich, Y A; Cheesman, A; Ma, Jie; Ashfold, M N R

    2009-01-01

    In this paper we review and provide an overview to the understanding of the chemical vapor deposition (CVD) of diamond materials with a particular focus on the commonly used microwave plasma-activated chemical vapor deposition (MPCVD). The major topics covered are experimental measurements in situ to diamond CVD reactors, and MPCVD in particular, coupled with models of the gas phase chemical and plasma kinetics to provide insight into the distribution of critical chemical species throughout the reactor, followed by a discussion of the surface chemical process involved in diamond growth.

  13. Modification of Ultra-High Vacuum Surfaces Using Free Radicals

    CERN Document Server

    Vorlaufer, G

    2002-01-01

    In ultra-high vacuum systems outgassing from vacuum chamber walls and desorption of surface adsorbates are usually the factors which determine pressure and residual gas composition. In particular in beam vacuum systems of accelerators like the LHC, where surfaces are exposed to intense synchrotron radiation and bombardment by energetic ions and electrons, surface properties like the molecular desorption yield or secondary electron yield can strongly influence the performance of the accelerator. Well-established treatment methods like vacuum bake-out or glow-discharge cleaning have been successfully applied in the past to condition ultra-high vacuum surfaces, but these methods are sometimes difficult to carry out, for example if the vacuum chambers are not accessible. In this work, an alternative treatment method is investigated. This method is based on the strong chemical reactivity of free radicals, electrically neutral fragments of molecules. Free radicals (in the case of this work, nitrogen and oxygen radi...

  14. Experimental setup for producing tungsten coated graphite tiles using plasma enhanced chemical vapor deposition technique for fusion plasma applications

    International Nuclear Information System (INIS)

    Chauhan, Sachin Singh; Sharma, Uttam; Choudhary, K.K.; Sanyasi, A.K.; Ghosh, J.; Sharma, Jayshree

    2013-01-01

    Plasma wall interaction (PWI) in fusion grade machines puts stringent demands on the choice of materials in terms of high heat load handling capabilities and low sputtering yields. Choice of suitable material still remains a challenge and open topic of research for the PWI community. Carbon fibre composites (CFC), Beryllium (Be), and Tungsten (W) are now being considered as first runners for the first wall components of future fusion machines. Tungsten is considered to be one of the suitable materials for the job because of its superior properties than carbon like low physical sputtering yield and high sputter energy threshold, high melting point, fairly high re-crystallization temperature, low fuel retention capabilities, low chemical sputtering with hydrogen and its isotopes and most importantly the reparability with various plasma techniques both ex-situ and in-situ. Plasma assisted chemical vapour deposition is considered among various techniques as the most preferable technique for fabricating tungsten coated graphite tiles to be used as tokamak first wall and target components. These coated tiles are more favourable compared to pure tungsten due to their light weight and easier machining. A system has been designed, fabricated and installed at SVITS, Indore for producing tungsten coated graphite tiles using Plasma Enhanced Chemical Vapor Deposition (PE-CVD) technique for Fusion plasma applications. The system contains a vacuum chamber, a turbo-molecular pump, two electrodes, vacuum gauges, mass analyzer, mass flow controllers and a RF power supply for producing the plasma using hydrogen gas. The graphite tiles will be put on one of the electrodes and WF6 gas will be inserted in a controlled manner in the hydrogen plasma to achieve the tungsten-coating with WF6 dissociation. The system is integrated at SVITS, Indore and a vacuum of the order of 3*10 -6 is achieved and glow discharge plasma has been created to test all the sub-systems. The system design with

  15. Laser-assisted chemical vapor deposition setup for fast synthesis of graphene patterns

    Science.gov (United States)

    Zhang, Chentao; Zhang, Jianhuan; Lin, Kun; Huang, Yuanqing

    2017-05-01

    An automatic setup based on the laser-assisted chemical vapor deposition method has been developed for the rapid synthesis of graphene patterns. The key components of this setup include a laser beam control and focusing unit, a laser spot monitoring unit, and a vacuum and flow control unit. A laser beam with precision control of laser power is focused on the surface of a nickel foil substrate by the laser beam control and focusing unit for localized heating. A rapid heating and cooling process at the localized region is induced by the relative movement between the focalized laser spot and the nickel foil substrate, which causes the decomposing of gaseous hydrocarbon and the out-diffusing of excess carbon atoms to form graphene patterns on the laser scanning path. All the fabrication parameters that affect the quality and number of graphene layers, such as laser power, laser spot size, laser scanning speed, pressure of vacuum chamber, and flow rates of gases, can be precisely controlled and monitored during the preparation of graphene patterns. A simulation of temperature distribution was carried out via the finite element method, providing a scientific guidance for the regulation of temperature distribution during experiments. A multi-layer graphene ribbon with few defects was synthesized to verify its performance of the rapid growth of high-quality graphene patterns. Furthermore, this setup has potential applications in other laser-based graphene synthesis and processing.

  16. Vacuum distillation/vapor filtration water recovery, phases 1 and 2

    Science.gov (United States)

    Honegger, R. J.; Remus, G. A.; Krug, E. K.

    1973-01-01

    The research is reported on the development of an evaporator for vacuum distillation/vapor filtration VD/VF water reclamation system for use on manned space flights. The design, fabrication, and tests of a six-man evaporator are described. It is concluded that: (1) A condenser with an internal rotating impeller and coolant surfaces directly opposite the condensing surfaces is an effective condenser. (2) The VD/VF evaporator, catalyst unit and condenser function satisfactorily based on thermal, mechanical and recovery performance during a 145-hour evaluation test. (3) The quality of recovered water, as measured by analyses for total organic carbon, pH, conductivity, turbidity, and viable bacteria density was within established limits for potability.

  17. Stretchable Electronic Sensors of Nanocomposite Network Films for Ultrasensitive Chemical Vapor Sensing.

    Science.gov (United States)

    Yan, Hong; Zhong, Mengjuan; Lv, Ze; Wan, Pengbo

    2017-11-01

    A stretchable, transparent, and body-attachable chemical sensor is assembled from the stretchable nanocomposite network film for ultrasensitive chemical vapor sensing. The stretchable nanocomposite network film is fabricated by in situ preparation of polyaniline/MoS 2 (PANI/MoS 2 ) nanocomposite in MoS 2 suspension and simultaneously nanocomposite deposition onto prestrain elastomeric polydimethylsiloxane substrate. The assembled stretchable electronic sensor demonstrates ultrasensitive sensing performance as low as 50 ppb, robust sensing stability, and reliable stretchability for high-performance chemical vapor sensing. The ultrasensitive sensing performance of the stretchable electronic sensors could be ascribed to the synergistic sensing advantages of MoS 2 and PANI, higher specific surface area, the reliable sensing channels of interconnected network, and the effectively exposed sensing materials. It is expected to hold great promise for assembling various flexible stretchable chemical vapor sensors with ultrasensitive sensing performance, superior sensing stability, reliable stretchability, and robust portability to be potentially integrated into wearable electronics for real-time monitoring of environment safety and human healthcare. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Mass-Spectrometric Studies of Catalytic Chemical Vapor Deposition Processes of Organic Silicon Compounds Containing Nitrogen

    Science.gov (United States)

    Morimoto, Takashi; Ansari, S. G.; Yoneyama, Koji; Nakajima, Teppei; Masuda, Atsushi; Matsumura, Hideki; Nakamura, Megumi; Umemoto, Hironobu

    2006-02-01

    The mechanism of catalytic chemical vapor deposition (Cat-CVD) processes for hexamethyldisilazane (HMDS) and trisdimethylaminosilane (TDMAS), which are used as source gases to prepare SiNx or SiCxNy films, was studied using three different mass spectrometric techniques: ionization by Li+ ion attachment, vacuum-ultraviolet radiation and electron impact. The results for HMDS show that Si-N bonds dissociate selectively, although Si-C bonds are weaker, and (CH3)3SiNH should be one of the main precursors of deposited films. This decomposition mechanism did not change when NH3 was introduced, but the decomposition efficiency was slightly increased. Similar results were obtained for TDMAS.

  19. Near-equilibrium chemical vapor deposition of high-quality single-crystal graphene directly on various dielectric substrates.

    Science.gov (United States)

    Chen, Jianyi; Guo, Yunlong; Jiang, Lili; Xu, Zhiping; Huang, Liping; Xue, Yunzhou; Geng, Dechao; Wu, Bin; Hu, Wenping; Yu, Gui; Liu, Yunqi

    2014-03-05

    By using near-equilibrium chemical vapor deposition, it is demonstrated that high-quality single-crystal graphene can be grown on dielectric substrates. The maximum size is about 11 μm. The carrier mobility can reach about 5650 cm(2) V(-1) s(-1) , which is comparable to those of some metal-catalyzed graphene crystals, reflecting the good quality of the graphene lattice. © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, Yuping; Li, Chengchen [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Mingming, E-mail: andychain@live.cn [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Yu, Xiao; Chang, Yunwei [Faculty of Science, Jiangsu University, Zhenjiang, Jiangsu, 212013 (China); Chen, Anqi [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Zhu, Hai, E-mail: zhuhai5@mail.sysu.edu.cn [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); Tang, Zikang, E-mail: zktang@umac.mo [State Key Laboratory of Optoelectronic Materials and Technologies, School of Electronics & Information Technology, Sun Yat-Sen University, Guangzhou Higher Education Mega Center (University Town), Guangzhou, 510006 (China); The Institute of Applied Physics and Materials Engineering, University of Macau, Avenida da Universidade, Taipa, Macau (China)

    2016-12-09

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  1. Growth of aligned ZnO nanowires via modified atmospheric pressure chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhao, Yuping; Li, Chengchen; Chen, Mingming; Yu, Xiao; Chang, Yunwei; Chen, Anqi; Zhu, Hai; Tang, Zikang

    2016-01-01

    In this work, we report the growth of high-quality aligned ZnO nanowires via a facile atmospheric pressure chemical vapor deposition (CVD) method. The CVD reactor chamber used was more complicated than a conventional one due to the quartz boats loaded with sources (ZnO/C) and substrates being inserted into a semi-open quartz tube, and then placed inside the CVD reactor. The semi-open quartz tube played a very important role in growing the ZnO nanowires, and demonstrated that the transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber. Aligned ZnO nanowires were successfully obtained, though they were only found at substrates located upstream. The very high crystalline quality of the obtained ZnO nanowires was demonstrated by high-resolution transmission electron microscopy and room temperature photoluminescence investigations. Such ZnO nanowires with high crystalline quality may provide opportunities for the fabrication of ZnO-based nano-devices in future. - Highlights: • High-quality aligned ZnO nanowires were obtained via modified chemical vapor deposition under atmospheric pressure. • The semi-open quartz tube plays very important roles in growing ZnO nanowires. • The transportation properties of Zn and O vapor differ from those in the conventional CVD reactor chamber.

  2. Organometallic vapor-phase epitaxy theory and practice

    CERN Document Server

    Stringfellow, Gerald B

    1989-01-01

    Here is one of the first single-author treatments of organometallic vapor-phase epitaxy (OMVPE)--a leading technique for the fabrication of semiconductor materials and devices. Also included are metal-organic molecular-beam epitaxy (MOMBE) and chemical-beam epitaxy (CBE) ultra-high-vacuum deposition techniques using organometallic source molecules. Of interest to researchers, students, and people in the semiconductor industry, this book provides a basic foundation for understanding the technique and the application of OMVPE for the growth of both III-V and II-VI semiconductor materials and the

  3. Plasma-enhanced chemical vapor deposition for YBCO film fabrication of superconducting fault-current limiter

    Energy Technology Data Exchange (ETDEWEB)

    Jun, Byung Hyuk; Kim, Chan Joong

    2006-05-15

    Since the high-temperature superconductor of oxide type was founded, many researches and efforts have been performed for finding its application field. The YBCO superconducting film fabricated on economic metal substrate with uniform critical current density is considered as superconducting fault-current limiter (SFCL). There are physical and chemical processes to fabricate superconductor film, and it is understood that the chemical methods are more economic to deposit large area. Among them, chemical vapor deposition (CVD) is a promising deposition method in obtaining film uniformity. To solve the problems due to the high deposition temperature of thermal CVD, plasma-enhanced chemical vapor deposition (PECVD) is suggested. This report describes the principle and fabrication trend of SFCL, example of YBCO film deposition by PECVD method, and principle of plasma deposition.

  4. Half-sandwich cobalt complexes in the metal-organic chemical vapor deposition process

    Energy Technology Data Exchange (ETDEWEB)

    Georgi, Colin [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Hapke, Marko; Thiel, Indre [Leibniz-Institut für Katalyse e.V. an der Universität Rostock (LIKAT), Albert-Einstein-Straße 29a, Rostock 18059 (Germany); Hildebrandt, Alexander [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany); Waechtler, Thomas; Schulz, Stefan E. [Fraunhofer Institute of Electronic Nano Systems (ENAS), Technologie-Campus 3, Chemnitz 09126 (Germany); Technische Universität Chemnitz, Center for Microtechnologies (ZfM), Chemnitz 09107 (Germany); Lang, Heinrich, E-mail: heinrich.lang@chemie.tu-chemnitz.de [Technische Universität Chemnitz, Faculty of Natural Science, Institute of Chemistry, Inorganic Chemistry, Chemnitz 09107 (Germany)

    2015-03-02

    A series of cobalt half-sandwich complexes of type [Co(η{sup 5}-C{sub 5}H{sub 5})(L)(L′)] (1: L, L′ = 1,5-hexadiene; 2: L = P(OEt){sub 3}, L′ = H{sub 2}C=CHSiMe{sub 3}; 3: L = L′ = P(OEt){sub 3}) has been studied regarding their physical properties such as the vapor pressure, decomposition temperature and applicability within the metal-organic chemical vapor deposition (MOCVD) process, with a focus of the influence of the phosphite ligands. It could be shown that an increasing number of P(OEt){sub 3} ligands increases the vapor pressure and thermal stability of the respective organometallic compound. Complex 3 appeared to be a promising MOCVD precursor with a high vapor pressure and hence was deposited onto Si/SiO{sub 2} (100 nm) substrates. The resulting reflective layer is closed, dense and homogeneous, with a slightly granulated surface morphology. X-ray photoelectron spectroscopy (XPS) studies demonstrated the formation of metallic cobalt, cobalt phosphate, cobalt oxide and cobalt carbide. - Highlights: • Thermal studies and vapor pressure measurements of cobalt half-sandwich complexes was carried out. • Chemical vapor deposition with cobalt half-sandwich complexes is reported. • The use of Co-phosphites results in significant phosphorous-doped metallic layers.

  5. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    International Nuclear Information System (INIS)

    Shirahata, Takahiro; Kawaharamura, Toshiyuki; Fujita, Shizuo; Orita, Hiroyuki

    2015-01-01

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10"−"4 Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac)_2], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH_3 to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10"−"3 Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac)_2] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10"−"3 Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  6. Transparent conductive zinc-oxide-based films grown at low temperature by mist chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Shirahata, Takahiro [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan); Kawaharamura, Toshiyuki [Research Institute, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); School of Systems Engineering, Kochi University of Technology, Kami, Kochi 780-8502 (Japan); Fujita, Shizuo, E-mail: fujitasz@kuee.kyoto-u.ac.jp [Photonics and Electronics Science and Engineering Center, Kyoto University, Katsura, Nishikyo-ku, Kyoto 615-8520 (Japan); Orita, Hiroyuki [New Energy and Environmental Business Division, Toshiba Mitsubishi-Electric Industrial Systems Corporation, Kobe International Business Center (KIBC) 509, 5-5-2 Minatojima-Minami, Chuo-Ku, Kobe 650-0047 (Japan)

    2015-12-31

    Atmospheric pressure mist chemical vapor deposition (Mist–CVD) systems have been developed to grow zinc-oxide-based (ZnO-based) transparent conductive oxide (TCO) films. Low-resistive aluminum-doped ZnO (AZO) TCOs, showing resistivity of the order on 10{sup −4} Ωcm, previously were grown using a safe source material zinc acetate [Zn(ac){sub 2}], at a growth temperature as high as 500 °C. To grow superior TCOs at lower temperatures, we proposed the addition of NH{sub 3} to accelerate the reaction of acetylacetonate compounds. As the result, we could grow gallium-doped ZnO (GZO) TCOs with a resistivity of 2.7 × 10{sup −3} Ω cm and transmittance higher than 90% at 300 °C by using zinc acetylacetonate [Zn(acac){sub 2}] as the Zn source. To grow boron-doped ZnO (BZO) TCOs at a lower growth temperature of 200 °C, we used boron doping along with a toluene solution of diethylzinc (DEZ), that maintained high reactivity without being flammable. These BZO TCOs showed a resistivity of 1.5 × 10{sup −3} Ω cm and transmittance higher than 90%, despite the use of a non-vacuum-based open-air technology. - Highlights: • Introduction of Mist–CVD as a non-vacuum-based, safe, and cost-effective growth technology • Process evolution of the growth technology to lower the growth temperature. • Achievement of low resistive ZnO films at 200oC.

  7. Development of a large lithium coolant system for operation under vacuum

    International Nuclear Information System (INIS)

    Kolowith, R.; Schwartz, K.E.; Meadows, G.E.; Berg, J.D.

    1983-11-01

    Argon and vacuum systems for the Experimental Lithium System (ELS) were tested to demonstrate vacuum-break capability, vacuum pumping performance, and vacuum sensor compatibility with a hostile liquid metal vapor/aerosol environment. Mechanical, diffusion and cryogenic vacuum pumps were evaluated. High-vacuum levels in the 10 -3 Pa range were achieved over a 270 0 C flowing lithium system. Ionization, thermal conductivity, capacitance manometer, and compound-type pressure sensors were evaluated to determine the effects of this potentially deleterious environment. Screening elbows were evaluated as pressure sensor protective devices. A dual-purpose vacuum-level/nitrogen partial-pressure sensor was evaluated as a means of detecting air in-leakage. Several types of static mechanical vacuum seals were also evaluated. Measurements of the vapor/aerosol generation were made at several system locations and operating conditions

  8. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.; Sevilla, Galo T.; Rader, Kelly; Hussain, Muhammad Mustafa

    2013-01-01

    electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace

  9. Relationship between the evaporation rate and vapor pressure of moderately and highly volatile chemicals.

    Science.gov (United States)

    van Wesenbeeck, Ian; Driver, Jeffrey; Ross, John

    2008-04-01

    Volatilization of chemicals can be an important form of dissipation in the environment. Rates of evaporative losses from plant and soil surfaces are useful for estimating the potential for food-related dietary residues and operator and bystander exposure, and can be used as source functions for screening models that predict off-site movement of volatile materials. A regression of evaporation on vapor pressure from three datasets containing 82 pesticidal active ingredients and co-formulants, ranging in vapor pressure from 0.0001 to >30,000 Pa was developed for this purpose with a regression correlation coefficient of 0.98.

  10. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    International Nuclear Information System (INIS)

    Zhao, W.; Steidl, M.; Paszuk, A.; Brückner, S.; Dobrich, A.; Supplie, O.; Kleinschmidt, P.; Hannappel, T.

    2017-01-01

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H_2. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H_2-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H_2 ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  11. Chemical vapor deposition of aminopropyl silanes in microfluidic channels for highly efficient microchip capillary electrophoresis-electrospray ionization-mass spectrometry.

    Science.gov (United States)

    Batz, Nicholas G; Mellors, J Scott; Alarie, Jean Pierre; Ramsey, J Michael

    2014-04-01

    We describe a chemical vapor deposition (CVD) method for the surface modification of glass microfluidic devices designed to perform electrophoretic separations of cationic species. The microfluidic channel surfaces were modified using aminopropyl silane reagents. Coating homogeneity was inferred by precise measurement of the separation efficiency and electroosmotic mobility for multiple microfluidic devices. Devices coated with (3-aminopropyl)di-isopropylethoxysilane (APDIPES) yielded near diffusion-limited separations and exhibited little change in electroosmotic mobility between pH 2.8 and pH 7.5. We further evaluated the temporal stability of both APDIPES and (3-aminopropyl)triethoxysilane (APTES) coatings when stored for a total of 1 week under vacuum at 4 °C or filled with pH 2.8 background electrolyte at room temperature. Measurements of electroosmotic flow (EOF) and separation efficiency during this time confirmed that both coatings were stable under both conditions. Microfluidic devices with a 23 cm long, serpentine electrophoretic separation channel and integrated nanoelectrospray ionization emitter were CVD coated with APDIPES and used for capillary electrophoresis (CE)-electrospray ionization (ESI)-mass spectrometry (MS) of peptides and proteins. Peptide separations were fast and highly efficient, yielding theoretical plate counts over 600,000 and a peak capacity of 64 in less than 90 s. Intact protein separations using these devices yielded Gaussian peak profiles with separation efficiencies between 100,000 and 400,000 theoretical plates.

  12. Growth of highly oriented carbon nanotubes by plasma-enhanced hot filament chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Huang, Z.P.; Xu, J.W.; Ren, Z.F.; Wang, J.H. [Materials Synthesis Laboratory, Departments of Physics and Chemistry, and Center for Advanced Photonic and Electronic Materials (CAPEM), State University of New York at Buffalo, Buffalo, New York 14260 (United States); Siegal, M.P.; Provencio, P.N. [Sandia National Laboratories, Albuquerque, New Mexico 87185-1421 (United States)

    1998-12-01

    Highly oriented, multiwalled carbon nanotubes were grown on polished polycrystalline and single crystal nickel substrates by plasma enhanced hot filament chemical vapor deposition at temperatures below 666 {degree}C. The carbon nanotubes range from 10 to 500 nm in diameter and 0.1 to 50 {mu}m in length depending on growth conditions. Acetylene is used as the carbon source for the growth of the carbon nanotubes and ammonia is used for dilution gas and catalysis. The plasma intensity, acetylene to ammonia gas ratio, and their flow rates, etc. affect the diameters and uniformity of the carbon nanotubes. {copyright} {ital 1998 American Institute of Physics.}

  13. MgB2 thin films by hybrid physical-chemical vapor deposition

    International Nuclear Information System (INIS)

    Xi, X.X.; Pogrebnyakov, A.V.; Xu, S.Y.; Chen, K.; Cui, Y.; Maertz, E.C.; Zhuang, C.G.; Li, Qi; Lamborn, D.R.; Redwing, J.M.; Liu, Z.K.; Soukiassian, A.; Schlom, D.G.; Weng, X.J.; Dickey, E.C.; Chen, Y.B.; Tian, W.; Pan, X.Q.; Cybart, S.A.; Dynes, R.C.

    2007-01-01

    Hybrid physical-chemical vapor deposition (HPCVD) has been the most effective technique for depositing MgB 2 thin films. It generates high magnesium vapor pressures and provides a clean environment for the growth of high purity MgB 2 films. The epitaxial pure MgB 2 films grown by HPCVD show higher-than-bulk T c due to tensile strain in the films. The HPCVD films are the cleanest MgB 2 materials reported, allowing basic research, such as on magnetoresistance, that reveals the two-band nature of MgB 2 . The carbon-alloyed HPCVD films demonstrate record-high H c2 values promising for high magnetic field applications. The HPCVD films and multilayers have enabled the fabrication of high quality MgB 2 Josephson junctions

  14. Radiation stable, hybrid, chemical vapor infiltration/preceramic polymer joining of silicon carbide components

    Energy Technology Data Exchange (ETDEWEB)

    Khalifa, Hesham E., E-mail: hesham.khalifa@ga.com [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States); Koyanagi, Takaaki [Oak Ridge National Laboratory, P.O. Box 2008, Oak Ridge 37831, TN (United States); Jacobsen, George M.; Deck, Christian P.; Back, Christina A. [General Atomics, 3550 General Atomics Ct., San Diego 92121, CA (United States)

    2017-04-15

    This paper reports on a nuclear-grade joining material for bonding of silicon carbide-based components. The joint material is fabricated via a hybrid preceramic polymer, chemical vapor infiltration process. The joint is comprised entirely of β-SiC and results in excellent mechanical and permeability performance. The joint strength, composition, and microstructure have been characterized before and after irradiation to 4.5 dpa at 730 °C in the High Flux Isotope Reactor. The hybrid preceramic polymer-chemical vapor infiltrated joint exhibited complete retention of shear strength and no evidence of microstructural evolution or damage was detected following irradiation.

  15. Mass spectrometric study of vaporization of (U,Pu)O2 fuel simulating high burnup

    International Nuclear Information System (INIS)

    Maeda, Atsushi; Ohmichi, Toshihiko; Fukushima, Susumu; Handa, Muneo

    1985-08-01

    The vaporization behavior of (U,Pu)O 2 fuel simulatig high burnup was studied in the temperature range of 1,573 -- 2,173 K by high temperature mass spectrometry. The phases in the simulated fuel were examined by X-ray microprobe analysis. The relationship between chemical form and vaporization behavior of simulated fission product elements was discussed. Pd, Sr, Ba, Ce and actinide-bearing vapor species were observed, and it was clarified that Pd vapor originated from metallic inclusion and Sr and Ce vapors, from mixed oxide fuel matrix. The vaporization behavior of the actinide elements was somewhat similar to that of hypostoichiometric mixed oxide fuel. The behavior of Ba-bearing vapor species changed markedly over about 2,000 K. From the determination of BaO vapor pressures over simulated fuel and BaZrO 3 , it was revealed thermodynamically that the transformation of the chemical form of Ba about 2,000 K, i.e., dissolution of BaZrO 3 phase into fuel matrix, might be the reason of the observed vapor pressure change. (author)

  16. Oxide vapor distribution from a high-frequency sweep e-beam system

    Science.gov (United States)

    Chow, R.; Tassano, P. L.; Tsujimoto, N.

    1995-03-01

    Oxide vapor distributions have been determined as a function of operating parameters of a high frequency sweep e-beam source combined with a programmable sweep controller. We will show which parameters are significant, the parameters that yield the broadest oxide deposition distribution, and the procedure used to arrive at these conclusions. A design-of-experimental strategy was used with five operating parameters: evaporation rate, sweep speed, sweep pattern (pre-programmed), phase speed (azimuthal rotation of the pattern), profile (dwell time as a function of radial position). A design was chosen that would show which of the parameters and parameter pairs have a statistically significant effect on the vapor distribution. Witness flats were placed symmetrically across a 25 inches diameter platen. The stationary platen was centered 24 inches above the e-gun crucible. An oxide material was evaporated under 27 different conditions. Thickness measurements were made with a stylus profilometer. The information will enable users of the high frequency e-gun systems to optimally locate the source in a vacuum system and understand which parameters have a major effect on the vapor distribution.

  17. Chemical Vapor Transport Deposition of Molybdenum Disulfide Layers Using H2O Vapor as the Transport Agent

    Directory of Open Access Journals (Sweden)

    Shichao Zhao

    2018-02-01

    Full Text Available Molybdenum disulfide (MoS2 layers show excellent optical and electrical properties and have many potential applications. However, the growth of high-quality MoS2 layers is a major bottleneck in the development of MoS2-based devices. In this paper, we report a chemical vapor transport deposition method to investigate the growth behavior of monolayer/multi-layer MoS2 using water (H2O as the transport agent. It was shown that the introduction of H2O vapor promoted the growth of MoS2 by increasing the nucleation density and continuous monolayer growth. Moreover, the growth mechanism is discussed.

  18. Synthesis and analysis of silicon nanowire below Si-Au eutectic temperatures using very high frequency plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Hamidinezhad, Habib; Wahab, Yussof; Othaman, Zulkafli; Ismail, Abd Khamim

    2011-01-01

    Silicon nanowires (SiNWs) were synthesized from pure silane precursor gas and Au nanoparticles catalyst at below Au-Si eutectic temperature. The SiNWs were grown onto Si (1 1 1) substrates using very high frequency plasma enhanced chemical vapor deposition via a vapor-solid-solid mechanism at temperatures ranging from 363 to 230 deg. C. The morphology of the synthesized SiNWs was characterized by means of field emission scanning electron microscope equipped with energy dispersive X-ray, high resolution transmission electron microscopy, X-ray diffraction technique and Raman spectroscope. Results demonstrated that the SiNWs can be grown at the temperature as low as 250 deg. C. In addition, it was revealed that the grown wires were silicon-crystallized.

  19. Analysis of the Si(111) surface prepared in chemical vapor ambient for subsequent III-V heteroepitaxy

    Energy Technology Data Exchange (ETDEWEB)

    Zhao, W.; Steidl, M.; Paszuk, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Brückner, S. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Dobrich, A. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Supplie, O. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany); Kleinschmidt, P. [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Hannappel, T., E-mail: thomas.hannappel@tu-ilmenau.de [Technische Universität Ilmenau, Institut für Physik, 98693 Ilmenau (Germany); Helmholtz-Zentrum Berlin, Institut für Solare Brennstoffe, 14109 Berlin (Germany)

    2017-01-15

    Highlights: • We investigate the Si(111) surface prepared in CVD ambient at 1000 °C in 950 mbar H{sub 2}. • UHV-based XPS, LEED, STM and FTIR as well as ambient AFM are applied. • After processing the Si(111) surface is free of contamination and atomically flat. • The surface exhibits a (1 × 1) reconstruction and monohydride termination. • Wet-chemical pretreatment and homoepitaxy are required for a regular step structure. - Abstract: For well-defined heteroepitaxial growth of III-V epilayers on Si(111) substrates the atomic structure of the silicon surface is an essential element. Here, we study the preparation of the Si(111) surface in H{sub 2}-based chemical vapor ambient as well as its atomic structure after contamination-free transfer to ultrahigh vacuum (UHV). Applying complementary UHV-based techniques, we derive a complete picture of the atomic surface structure and its chemical composition. X-ray photoelectron spectroscopy measurements after high-temperature annealing confirm a Si surface free of any traces of oxygen or other impurities. The annealing in H{sub 2} ambient leads to a monohydride surface termination, as verified by Fourier-transform infrared spectroscopy. Scanning tunneling microscopy confirms a well ordered, atomically smooth surface, which is (1 × 1) reconstructed, in agreement with low energy electron diffraction patterns. Atomic force microscopy reveals a significant influence of homoepitaxy and wet-chemical pretreatment on the surface morphology. Our findings show that wet-chemical pretreatment followed by high-temperature annealing leads to contamination-free, atomically flat Si(111) surfaces, which are ideally suited for subsequent III-V heteroepitaxy.

  20. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    International Nuclear Information System (INIS)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-01-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm 3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  1. A comparison of diamond growth rate using in-liquid and conventional plasma chemical vapor deposition methods

    Science.gov (United States)

    Takahashi, Yoshiyuki; Toyota, Hiromichi; Nomura, Shinfuku; Mukasa, Shinobu; Inoue, Toru

    2009-06-01

    In order to make high-speed deposition of diamond effective, diamond growth rates for gas-phase microwave plasma chemical vapor deposition and in-liquid microwave plasma chemical vapor deposition are compared. A mixed gas of methane and hydrogen is used as the source gas for the gas-phase deposition, and a methanol solution of ethanol is used as the source liquid for the in-liquid deposition. The experimental system pressure is in the range of 60-150 kPa. While the growth rate of diamond increases as the pressure increases, the amount of input microwave energy per unit volume of diamond is 1 kW h/mm3 regardless of the method used. Since the in-liquid deposition method provides a superior cooling effect through the evaporation of the liquid itself, a higher electric input power can be applied to the electrodes under higher pressure environments. The growth rate of in-liquid microwave plasma chemical vapor deposition process is found to be greater than conventional gas-phase microwave plasma chemical vapor deposition process under the same pressure conditions.

  2. A Carbon Nanotube Electron Source Based Ionization Vacuum Gauge

    Energy Technology Data Exchange (ETDEWEB)

    Changkun Dong; Ganapati Myneni

    2003-10-01

    The results of fabrication and performance of an ionization vacuum gauge using a carbon nanotube (CNT) electron source are presented. The electron source was constructed with multi-wall nanotubes (MWNT), which were grown using thermal chemical vapor deposition (CVD) process. The electron emission of the source was stable in vacuum pressure up to 10-7 Torr, which is better than the metal field emitters. The measurement linearity of the gauge was better than {+-}10% from 10-6 to 10-10 Torr. The gauge sensitivity of 4 Torr-1 was achieved under 50 {micro}A electron emission in nitrogen. The gauge is expected to find applications in vacuum measurements from 10-7 Torr to below 10-11 Torr.

  3. Directed Vapor Deposition: Low Vacuum Materials Processing Technology

    National Research Council Canada - National Science Library

    Groves, J. F; Mattausch, G; Morgner, H; Hass, D. D; Wadley, H. N

    2000-01-01

    Directed vapor deposition (DVD) is a recently developed electron beam-based evaporation technology designed to enhance the creation of high performance thick and thin film coatings on small area surfaces...

  4. Removal of salt from rare earth precipitates by vacuum distillation

    International Nuclear Information System (INIS)

    Yang, Hee-Chul; Eun, Hee-Chul; Cho, Yong-Zun; Park, Hwan-Seo; Kim, In-Tae

    2008-01-01

    This study investigated the distillation rates of LiCl-KCl eutectic salt from the rare earth (RE) precipitates originating from the oxygen-sparging RE precipitation process. The first part study investigated distillation rates of eutectic salt under different vacuums at high temperatures by using thermo-gravimetric furnace system. The second part study tested the removal efficiency of eutectic salt from RE precipitates by using the laboratory vacuum distillation furnace system. Investigated variables were the temperature, the degree of vacuum and the time. Salt distillation operation with a moderated distillation rate of 10 -4 - 10 -5 mole sec -1 cm -2 is possible at temperature less than 1300 K and vacuums of 5-50 Torr, by minimizing the potentials of the RE particle entrainment. An increase in the vaporizing surface area is relatively effective for removing the residual salt in pores of bulk of the precipitated RE particles, when compared to that for the vaporizing time. Over 99.9% of the salt removal from the salt-RE precipitate mixture could be achieved by increasing the vaporizing surface area under moderate vacuum conditions of 50 Torr at 1200 K. (author)

  5. Environmental effects on the tensile strength of chemically vapor deposited silicon carbide fibers

    Science.gov (United States)

    Bhatt, R. T.; Kraitchman, M. D.

    1985-01-01

    The room temperature and elevated temperature tensile strengths of commercially available chemically vapor-deposited (CVD) silicon carbide fibers were measured after 15 min heat treatment to 1600 C in various environments. These environments included oxygen, air, argon and nitrogen at one atmosphere and vacuum at 10/9 atmosphere. Two types of fibers were examined which differed in the SiC content of their carbon-rich coatings. Threshold temperature for fiber strength degradation was observed to be dependent on the as-received fiber-flaw structure, on the environment and on the coating. Fractographic analyses and flexural strength measurements indicate that tensile strength losses were caused by surface degradation. Oxidation of the surface coating is suggested as one possible degradation mechanism. The SiC fibers containing the higher percentage of SiC near the surface of the carbon-rich coating show better strength retention and higher elevated temperature strength.

  6. New perspectives in vacuum high voltage insulation. II. Gas desorption

    CERN Document Server

    Diamond, W T

    1998-01-01

    An examination has been made of gas desorption from unbaked electrodes of copper, niobium, aluminum, and titanium subjected to high voltage in vacuum. It has been shown that the gas is composed of water vapor, carbon monoxide, and carbon dioxide, the usual components of vacuum outgassing, plus an increased yield of hydrogen and light hydrocarbons. The gas desorption was driven by anode conditioning as the voltage was increased between the electrodes. The gas is often desorbed as microdischarges-pulses of a few to hundreds of microseconds-and less frequently in a more continuous manner without the obvious pulsed structure characteristic of microdischarge activity. The quantity of gas released was equivalent to many monolayers and consisted mostly of neutral molecules with an ionic component of a few percent. A very significant observation was that the gas desorption was more dependent on the total voltage between the electrodes than on the electric field. It was not triggered by field-emitted electrons but oft...

  7. Effect of vapor-phase oxygen on chemical vapor deposition growth of graphene

    Science.gov (United States)

    Terasawa, Tomo-o.; Saiki, Koichiro

    2015-03-01

    To obtain a large-area single-crystal graphene, chemical vapor deposition (CVD) growth on Cu is considered the most promising. Recently, the surface oxygen on Cu has been found to suppress the nucleation of graphene. However, the effect of oxygen in the vapor phase was not elucidated sufficiently. Here, we investigate the effect of O2 partial pressure (PO2) on the CVD growth of graphene using radiation-mode optical microscopy. The nucleation density of graphene decreases monotonically with PO2, while its growth rate reaches a maximum at a certain pressure. Our results indicate that PO2 is an important parameter to optimize in the CVD growth of graphene.

  8. High-pressure catalytic chemical vapor deposition of ferromagnetic ruthenium-containing carbon nanostructures

    Energy Technology Data Exchange (ETDEWEB)

    Khavrus, Vyacheslav O., E-mail: V.Khavrus@ifw-dresden.de; Ibrahim, E. M. M.; Bachmatiuk, Alicja; Ruemmeli, Mark H.; Wolter, A. U. B.; Hampel, Silke; Leonhardt, Albrecht [IFW Dresden (Germany)

    2012-06-15

    We report on the high-pressure catalytic chemical vapor deposition (CCVD) of ruthenium nanoparticles (NPs) and single-walled carbon nanotubes (SWCNTs) by means of gas-phase decomposition of acetonitrile and ruthenocene in a tubular quartz flow reactor at 950 Degree-Sign C and at elevated pressures (between 2 and 8 bar). The deposited material consists of Ru metal cores with sizes ranging between 1 and 3 nm surrounded by a carbon matrix. The high-pressure CCVD seems to be an effective route to obtain composite materials containing metallic NPs, Ru in this work, inside a nanostructured carbon matrix protecting them from oxidation in ambient air. We find that in contradiction to the weak paramagnetic properties characterizing bulk ruthenium, the synthesized samples are ferromagnetic as predicted for nanosized particles of nonmagnetic materials. At low pressure, the very small ruthenium catalyst particles are able to catalyze growth of SWCNTs. Their yield decreases with increasing reaction pressure. Transmission electron microscopy, selected area energy-dispersive X-ray analysis, Raman spectroscopy, and magnetic measurements were used to analyze and confirm properties of the synthesized NPs and nanotubes. A discussion on the growth mechanism of the Ru-containing nanostructures is presented.

  9. Development of Single Crystal Chemical Vapor Deposition Diamonds for Detector Applications

    International Nuclear Information System (INIS)

    Kagan, Harris; Gan, K.K.; Kass, Richard

    2009-01-01

    Diamond was studied as a possible radiation hard technology for use in future high radiation environments. With the commissioning of the LHC expected in 2009, and the LHC upgrades expected in 2013, all LHC experiments are planning for detector upgrades which require radiation hard technologies. Chemical Vapor Deposition (CVD) diamond has now been used extensively in beam conditions monitors as the innermost detectors in the highest radiation areas of BaBar, Belle and CDF and is installed in all LHC experiments. As a result, this material is now being discussed as an alternative sensor material for tracking very close to the interaction region of the super-LHC where the most extreme radiation conditions will exist. Our work addressed the further development of the new material, single-crystal Chemical Vapor Deposition diamond, towards reliable industrial production of large pieces and new geometries needed for detector applications.

  10. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    Science.gov (United States)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  11. Estimated vapor pressure for WTP process streams

    Energy Technology Data Exchange (ETDEWEB)

    Pike, J. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL); Poirier, M. [Savannah River Site (SRS), Aiken, SC (United States). Savannah River National Lab. (SRNL)

    2015-01-01

    Design assumptions during the vacuum refill phase of the Pulsed Jet Mixers (PJMs) in the Hanford Waste Treatment and Immobilization Plant (WTP) equate the vapor pressure of all process streams to that of water when calculating the temperature at which the vacuum refill is reduced or eliminated. WTP design authority asked the authors to assess this assumption by performing calculations on proposed feed slurries to calculate the vapor pressure as a function of temperature. The vapor pressure was estimated for each WTP waste group. The vapor pressure suppression caused by dissolved solids is much greater than the increase caused by organic components such that the vapor pressure for all of the waste group compositions is less than that of pure water. The vapor pressure for each group at 145°F ranges from 81% to 98% of the vapor pressure of water. If desired, the PJM could be operated at higher temperatures for waste groups with high dissolved solids that suppress vapor pressure. The SO4 group with the highest vapor pressure suppression could be operated up to 153°F before reaching the same vapor pressure of water at 145°F. However, most groups would reach equivalent vapor pressure at 147 to 148°F. If any of these waste streams are diluted, the vapor pressure can exceed the vapor pressure of water at mass dilution ratios greater than 10, but the overall effect is less than 0.5%.

  12. Advances in the chemical vapor deposition (CVD) of Tantalum

    DEFF Research Database (Denmark)

    Mugabi, James Atwoki; Eriksen, Søren; Christensen, Erik

    2014-01-01

    The chemical stability of tantalum in hot acidic media has made it a key material in the protection of industrial equipment from corrosion under such conditions. The Chemical Vapor Deposition of tantalum to achieve such thin corrosion resistant coatings is one of the most widely mentioned examples...

  13. Thermal plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Heberlein, J.; Pfender, E.

    1993-01-01

    Thermal plasmas, with temperatures up to and even exceeding 10 4 K, are capable of producing high density vapor phase precursors for the deposition of relatively thick films. Although this technology is still in its infancy, it will fill the void between the relatively slow deposition processes such as physical vapor deposition and the high rate thermal spray deposition processes. In this chapter, the present state-of-the-art of this field is reviewed with emphasis on the various types of reactors proposed for this emerging technology. Only applications which attracted particular attention, namely diamond and high T c superconducting film deposition, are discussed in greater detail. (orig.)

  14. Some novel design features of the LBL metal vapor vacuum arc ion sources

    International Nuclear Information System (INIS)

    MacGill, R.A.; Brown, I.G.; Galvin, J.E.

    1990-01-01

    The family of MEVVA (metal vapor vacuum arc) high current metal ion sources developed at LBL over the past several years has grown to include a number of different source versions with a wide range of some of the design and operational parameters. The MicroMEVVA source is a particularly compact version, about 2 cm diam and 10 cm long, while the MEVVA IV weighs some 30 kG. MEVVAs IV and V incorporate multiple cathode assemblies (16 and 18 separate cathodes, respectively), and the operating cathode can be switched rapidly and without downtime. The new MEVVA V embodiment is quite compact considering its broad beam (10 cm), high voltage (100 kV), and multiple cathode features. The large-area extractor grids used in MEVVA V were fabricated using a particularly simple technique, and they are clamped into position and can thus be changed simply and quickly. The electrical system used to drive the arc is particularly simple and incorporates several attractive features. In this article we review and describe a number of the mechanical and electrical design features that have been developed for these sources

  15. Fabrication of Cf/SiC composite by chemical vapor infiltration

    International Nuclear Information System (INIS)

    Park, Ji Yeon; Kim, Weon Ju

    2003-07-01

    This technical report reviewed the fabrication process of fiber reinforced ceramic composites, characteristics of the chemical vapor infiltration process, and applications for C f /SiC composite to develop a carbon fiber reinforced silicon carbide composite. Infiltration process was performed by the chemical vapor infiltration process using methyltrichlorosilane and hydrogen gas as a source and a diluent, respectively. Infiltration behavior, phase analysis, microstructure observation were carried out. Parameter study results of C f /SiC composite fabricated with some variables such as reaction pressure, reaction temperature, input gas ratio and preform thickness were described

  16. High Yield Chemical Vapor Deposition Growth of High Quality Large-Area AB Stacked Bilayer Graphene

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Yu, Woo Jong; Liu, Yuan; Chen, Yu; Shaw, Jonathan; Zhong, Xing; Huang, Yu; Duan, Xiangfeng

    2012-01-01

    Bernal stacked (AB stacked) bilayer graphene is of significant interest for functional electronic and photonic devices due to the feasibility to continuously tune its band gap with a vertical electrical field. Mechanical exfoliation can be used to produce AB stacked bilayer graphene flakes but typically with the sizes limited to a few micrometers. Chemical vapor deposition (CVD) has been recently explored for the synthesis of bilayer graphene but usually with limited coverage and a mixture of AB and randomly stacked structures. Herein we report a rational approach to produce large-area high quality AB stacked bilayer graphene. We show that the self-limiting effect of graphene growth on Cu foil can be broken by using a high H2/CH4 ratio in a low pressure CVD process to enable the continued growth of bilayer graphene. A high temperature and low pressure nucleation step is found to be critical for the formation of bilayer graphene nuclei with high AB stacking ratio. A rational design of a two-step CVD process is developed for the growth of bilayer graphene with high AB stacking ratio (up to 90 %) and high coverage (up to 99 %). The electrical transport studies demonstrated that devices made of the as-grown bilayer graphene exhibit typical characteristics of AB stacked bilayer graphene with the highest carrier mobility exceeding 4,000 cm2/V·s at room temperature, comparable to that of the exfoliated bilayer graphene. PMID:22906199

  17. Vaporization of a mixed precursors in chemical vapor deposition for YBCO films

    Science.gov (United States)

    Zhou, Gang; Meng, Guangyao; Schneider, Roger L.; Sarma, Bimal K.; Levy, Moises

    1995-01-01

    Single phase YBa2Cu3O7-delta thin films with T(c) values around 90 K are readily obtained by using a single source chemical vapor deposition technique with a normal precursor mass transport. The quality of the films is controlled by adjusting the carrier gas flow rate and the precursor feed rate.

  18. Plasma-enhanced chemical vapor deposition of aluminum oxide using ultrashort precursor injection pulses

    NARCIS (Netherlands)

    Dingemans, G.; Sanden, van de M.C.M.; Kessels, W.M.M.

    2012-01-01

    An alternative plasma-enhanced chemical vapor deposition (PECVD) method is developed and applied for the deposition of high-quality aluminum oxide (AlOx) films. The PECVD method combines a continuous plasma with ultrashort precursor injection pulses. We demonstrate that the modulation of the

  19. Integrating atomic layer deposition and ultra-high vacuum physical vapor deposition for in situ fabrication of tunnel junctions

    Energy Technology Data Exchange (ETDEWEB)

    Elliot, Alan J., E-mail: alane@ku.edu, E-mail: jwu@ku.edu; Malek, Gary A.; Lu, Rongtao; Han, Siyuan; Wu, Judy Z., E-mail: alane@ku.edu, E-mail: jwu@ku.edu [Department of Physics and Astronomy, The University of Kansas, Lawrence, Kansas 66045 (United States); Yu, Haifeng; Zhao, Shiping [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2014-07-15

    Atomic Layer Deposition (ALD) is a promising technique for growing ultrathin, pristine dielectrics on metal substrates, which is essential to many electronic devices. Tunnel junctions are an excellent example which require a leak-free, ultrathin dielectric tunnel barrier of typical thickness around 1 nm between two metal electrodes. A challenge in the development of ultrathin dielectric tunnel barriers using ALD is controlling the nucleation of dielectrics on metals with minimal formation of native oxides at the metal surface for high-quality interfaces between the tunnel barrier and metal electrodes. This poses a critical need for integrating ALD with ultra-high vacuum (UHV) physical vapor deposition. In order to address these challenges, a viscous-flow ALD chamber was designed and interfaced to an UHV magnetron sputtering chamber via a load lock. A sample transportation system was implemented for in situ sample transfer between the ALD, load lock, and sputtering chambers. Using this integrated ALD-UHV sputtering system, superconductor-insulator-superconductor (SIS) Nb-Al/Al{sub 2}O{sub 2}/Nb Josephson tunnel junctions were fabricated with tunnel barriers of thickness varied from sub-nm to ∼1 nm. The suitability of using an Al wetting layer for initiation of the ALD Al{sub 2}O{sub 3} tunnel barrier was investigated with ellipsometry, atomic force microscopy, and electrical transport measurements. With optimized processing conditions, leak-free SIS tunnel junctions were obtained, demonstrating the viability of this integrated ALD-UHV sputtering system for the fabrication of tunnel junctions and devices comprised of metal-dielectric-metal multilayers.

  20. Classification Characteristics of Carbon Nanotube Polymer Composite Chemical Vapor Detectors

    National Research Council Canada - National Science Library

    Hinshaw, Huynh A

    2006-01-01

    .... This is accomplished by the detection and identification of chemical agents. The Air Force has several instruments to detect chemical vapors, but is always looking for lighter, faster, and more accurate technology for a better capability...

  1. Rapid synthesis of tantalum oxide dielectric films by microwave microwave-assisted atmospheric chemical vapor deposition

    International Nuclear Information System (INIS)

    Ndiege, Nicholas; Subramanian, Vaidyanathan; Shannon, Mark A.; Masel, Richard I.

    2008-01-01

    Microwave-assisted chemical vapor deposition has been used to generate high quality, high-k dielectric films on silicon at high deposition rates with film thicknesses varying from 50 nm to 110 μm using inexpensive equipment. Characterization of the post deposition products was performed by scanning electron microscopy, X-ray diffraction, X-ray photoelectron spectroscopy, Auger electron spectroscopy and Raman spectroscopy. Film growth was determined to occur via rapid formation and accumulation of tantalum oxide clusters from tantalum (v) ethoxide (Ta(OC 2 H 5 ) 5 ) vapor on the deposition surface

  2. Handbook of vacuum physics

    CERN Document Server

    1964-01-01

    Handbook of Vacuum Physics, Volume 3: Technology is a handbook of vacuum physics, with emphasis on the properties of miscellaneous materials such as mica, oils, greases, waxes, and rubber. Accurate modern tables of physical constants, properties of materials, laboratory techniques, and properties of commercial pumps, gauges, and leak detectors are presented. This volume is comprised of 12 chapters and begins with a discussion on pump oils, divided into rotary pump oils and vapor pump oils. The next chapter deals with the properties and applications of greases, including outgassing and vapor pr

  3. HIGH-TEMPERATURE VACUUM CEMENTATION – THE RESERVE TO REDUCE THE ENERGY INTENSITY OF MANUFACTURE AND IMPROVE THE QUALITY OF TRANSMISSIONS GEARWHEELS OF HIGH-ENERGY MACHINES

    OpenAIRE

    A. A. Shipko; S. P. Rudenko; A. L. Valko; A. N. Chichin

    2016-01-01

    Results of research of influence of high-temperature vacuum chemical heat treatment on the amount of grain structural steels are presented. The efficiency of hereditary fine-grained steel for high temperature vacuum carburizing are shown.

  4. Vapor-Gas Bubble Evolution and Growth in Extremely Viscous Fluids Under Vacuum

    Science.gov (United States)

    Kizito, John; Balasubramaniam, R.; Nahra, Henry; Agui, Juan; Truong, Duc

    2008-01-01

    Formation of vapor and gas bubbles and voids is normal and expected in flow processes involving extremely viscous fluids in normal gravity. Practical examples of extremely viscous fluids are epoxy-like filler materials before the epoxy fluids cure to their permanent form to create a mechanical bond between two substrates. When these fluids flow with a free liquid interface exposed to vacuum, rapid bubble expansion process may ensue. Bubble expansion might compromise the mechanical bond strength. The potential sources for the origin of the gases might be incomplete out-gassing process prior to filler application; regasification due to seal leakage in the filler applicator; and/or volatiles evolved from cure reaction products formed in the hardening process. We embarked on a study that involved conducting laboratory experiments with imaging diagnostics in order to deduce the seriousness of bubbling caused by entrained air and volatile fluids under space vacuum and low gravity environment. We used clear fluids with the similar physical properties as the epoxy-like filler material to mimic the dynamics of bubbles. Another aspect of the present study was to determine the likelihood of bubbling resulting from dissolved gases nucleating from solution. These experimental studies of the bubble expansion are compared with predictions using a modified Rayleigh- Plesset equation, which models the bubble expansion.

  5. Fabrication and evaluation of chemically vapor deposited tungsten heat pipe.

    Science.gov (United States)

    Bacigalupi, R. J.

    1972-01-01

    A network of lithium-filled tungsten heat pipes is being considered as a method of heat extraction from high temperature nuclear reactors. The need for material purity and shape versatility in these applications dictates the use of chemically vapor deposited (CVD) tungsten. Adaptability of CVD tungsten to complex heat pipe designs is shown. Deposition and welding techniques are described. Operation of two lithium-filled CVD tungsten heat pipes above 1800 K is discussed.

  6. Continuous, Highly Flexible, and Transparent Graphene Films by Chemical Vapor Deposition for Organic Photovoltaics

    KAUST Repository

    Gomez De Arco, Lewis

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4- ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness (∼ 0.9 nm) and offered sheet resistance down to 230 Ω/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (η) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138°, whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60°. Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications. © 2010 American Chemical Society.

  7. High-voltage high-current triggering vacuum switch

    International Nuclear Information System (INIS)

    Alferov, D.F.; Bunin, R.A.; Evsin, D.V.; Sidorov, V.A.

    2012-01-01

    Experimental investigations of switching and breaking capacities of the new high current triggered vacuum switch (TVS) are carried out at various parameters of discharge current. It has been shown that the high current triggered vacuum switch TVS can switch repeatedly a current from units up to ten kiloampers with duration up to ten millisecond [ru

  8. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei; Fan, Zhongli; Zeng, Gaofeng; Lai, Zhiping

    2013-01-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found

  9. Sub-band gap photo-enhanced secondary electron emission from high-purity single-crystal chemical-vapor-deposited diamond

    International Nuclear Information System (INIS)

    Yater, J. E.; Shaw, J. L.; Pate, B. B.; Feygelson, T. I.

    2016-01-01

    Secondary-electron-emission (SEE) current measured from high-purity, single-crystal (100) chemical-vapor-deposited diamond is found to increase when sub-band gap (3.06 eV) photons are incident on the hydrogenated surface. Although the light does not produce photoemission directly, the SEE current increases by more than a factor of 2 before saturating with increasing laser power. In energy distribution curves (EDCs), the emission peak shows a corresponding increase in intensity with increasing laser power. However, the emission-onset energy in the EDCs remains constant, indicating that the bands are pinned at the surface. On the other hand, changes are observed on the high-energy side of the distribution as the laser power increases, with a well-defined shoulder becoming more pronounced. From an analysis of this feature in the EDCs, it is deduced that upward band bending is present in the near-surface region during the SEE measurements and this band bending suppresses the SEE yield. However, sub-band gap photon illumination reduces the band bending and thereby increases the SEE current. Because the bands are pinned at the surface, we conclude that the changes in the band levels occur below the surface in the electron transport region. Sample heating produces similar effects as observed with sub-band gap photon illumination, namely, an increase in SEE current and a reduction in band bending. However, the upward band bending is not fully removed by either increasing laser power or temperature, and a minimum band bending of ∼0.8 eV is established in both cases. The sub-band gap photo-excitation mechanism is under further investigation, although it appears likely at present that defect or gap states play a role in the photo-enhanced SEE process. In the meantime, the study demonstrates the ability of visible light to modify the electronic properties of diamond and enhance the emission capabilities, which may have potential impact for diamond-based vacuum electron

  10. Ion spectra of the metal vapor vacuum arc ion source with compound and alloy cathodes

    Science.gov (United States)

    Sasaki, Jun; Brown, Ian G.

    1990-01-01

    In metal vapor vacuum arc (MEVVA) ion sources, vacuum arc plasma with cathodes of single, pure elements has been utilized for the production of metal ions. In this study, we have investigated the charge state distributions of ions produced in vacuum arc plasmas in a MEVVA ion source for the case when the cathode is an alloy or a compound material. The ion charge state spectra were analyzed by means of a time-of-flight apparatus. We have compared the ion spectra for a cathode of an alloy or a compound material with its constituent elements: TiC/TiN/TiO2/Ti/C, SiC/Si/C, WC/W/C U/UN/(UN-ZrC)/Zr/C, and brass/Zn/Cu. We find that the MEVVA produces ions of all constituent elements in the compound and the alloy cathodes. The charge state distribution of each element differs, however, from the charge state distribution obtained in the vacuum arc with a cathode made of the pure, single constituent element. Fractional values of the total ion numbers of each constituent element in the extracted beam depart from the stoichiometry of the elements in the cathode material. In an operation with a TiC cathode, we irradiated a 304 stainless-steel plate with the extracted beam. Results from glow-discharge spectroscopy (GDS) of the surface show that both titanium and carbon are implanted in the substrate after the irradiation.

  11. Microwave assisted chemical vapor infiltration

    International Nuclear Information System (INIS)

    Devlin, D.J.; Currier, R.P.; Barbero, R.S.; Espinoza, B.F.; Elliott, N.

    1991-01-01

    A microwave assisted process for production of continuous fiber reinforced ceramic matrix composites is described. A simple apparatus combining a chemical vapor infiltration reactor with a conventional 700 W multimode oven is described. Microwave induced inverted thermal gradients are exploited with the ultimate goal of reducing processing times on complex shapes. Thermal gradients in stacks of SiC (Nicalon) cloths have been measured using optical thermometry. Initial results on the ''inside out'' deposition of SiC via decomposition of methyltrichlorosilane in hydrogen are presented. Several key processing issues are identified and discussed. 5 refs

  12. Overview of chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; Stinton, D.P.; Lowden, R.A.

    1993-06-01

    Chemical vapor infiltration (CVI) is developing into a commercially important method for the fabrication of continuous filament ceramic composites. Current efforts are focused on the development of an improved understanding of the various processes in CVI and its modeling. New approaches to CVI are being explored, including pressure pulse infiltration and microwave heating. Material development is also proceeding with emphasis on improving the oxidation resistance of the interfacial layer between the fiber and matrix. This paper briefly reviews these subjects, indicating the current state of the science and technology.

  13. Direct dry transfer of chemical vapor deposition graphene to polymeric substrates

    OpenAIRE

    Fechine, Guilhermino J. M.; Martin-Fernandez, Inigo; Yiapanis, George; de Oliveira, Ricardo V. Bof; Hu, Xiao; Yarovsky, Irene; Neto, Antonio H. Castro; Ozyilmaz, Barbaros

    2014-01-01

    We demonstrate the direct dry transfer of large area Chemical Vapor Deposition graphene to several polymers (low density polyethylene, high density polyethylene, polystyrene, polylactide acid and poly(vinylidenefluoride-co-trifluoroethylene) by means of only moderate heat and pressure, and the later mechanical peeling of the original graphene substrate. Simulations of the graphene-polymer interactions, rheological tests and graphene transfer at various experimental conditions show that contro...

  14. Selective metal-vapor deposition on solvent evaporated polymer surfaces

    Energy Technology Data Exchange (ETDEWEB)

    Yamaguchi, Koji; Tsujioka, Tsuyoshi, E-mail: tsujioka@cc.osaka-kyoiku.ac.jp

    2015-12-31

    We report a selective metal-vapor deposition phenomenon based on solvent printing and evaporation on polymer surfaces and propose a method to prepare fine metal patterns using maskless vacuum deposition. Evaporation of the solvent molecules from the surface caused large free volumes between surface polymer chains and resulted in high mobility of the chains, enhancing metal-vapor atom desorption from the surface. This phenomenon was applied to prepare metal patterns on the polymer surface using solvent printing and maskless metal vacuum deposition. Metal patterns with high resolution of micron scale were obtained for various metal species and semiconductor polymer substrates including poly[2-methoxy-5-(2-ethylhexyloxy)-1,4-phenylenevinylene] and poly(3-hexylthiophene-2,5-diyl). - Highlights: • Selective metal-vapor deposition using solvent evaporation on polymer was attained. • Metal patterns with high resolution were obtained for various metal species. • This method can be applied to achieve fine metal-electrodes for polymer electronics.

  15. High-aspect-ratio and high-flatness Cu3(SiGe) nanoplatelets prepared by chemical vapor deposition.

    Science.gov (United States)

    Klementová, Mariana; Palatinus, Lukás; Novotný, Filip; Fajgar, Radek; Subrt, Jan; Drínek, Vladislav

    2013-06-01

    Cu3(SiGe) nanoplatelets were synthesized by low-pressure chemical vapor deposition of a SiH3C2H5/Ge2(CH3)6 mixture on a Cu-substrate at 500 degrees C, total pressure of 110-115 Pa, and Ge/Si molar ratio of 22. The nanoplatelets with composition Cu76Si15Ge12 are formed by the 4'-phase, and they are flattened perpendicular to the [001] direction. Their lateral dimensions reach several tens of micrometers in size, but they are only about 50 nm thick. Their surface is extremely flat, with measured root mean square roughness R(q) below 0.2 nm. The nanoplatelets grow via the non-catalytic vapor-solid mechanism and surface growth. In addition, nanowires and nanorods of various Cu-Si-Ge alloys were also obtained depending on the experimental conditions. Morphology of the resulting Cu-Si-Ge nanoobjects is very sensitive to the experimental parameters. The formation of nanoplatelets is associated with increased amount of Ge in the alloy.

  16. HIGH-TEMPERATURE VACUUM CEMENTATION – THE RESERVE TO REDUCE THE ENERGY INTENSITY OF MANUFACTURE AND IMPROVE THE QUALITY OF TRANSMISSIONS GEARWHEELS OF HIGH-ENERGY MACHINES

    Directory of Open Access Journals (Sweden)

    A. A. Shipko

    2016-01-01

    Full Text Available Results of research of influence of high-temperature vacuum chemical heat treatment on the amount of grain structural steels are presented. The efficiency of hereditary fine-grained steel for high temperature vacuum carburizing are shown.

  17. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    Science.gov (United States)

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  18. Aerosol-assisted chemical vapor deposition of V2O5 cathodes with high rate capabilities for magnesium-ion batteries

    Science.gov (United States)

    Drosos, Charalampos; Jia, Chenglin; Mathew, Shiny; Palgrave, Robert G.; Moss, Benjamin; Kafizas, Andreas; Vernardou, Dimitra

    2018-04-01

    The growth of orthorhombic vanadium pentoxide nanostructures was accomplished using an aerosol-assisted chemical vapor deposition process. These materials showed excellent electrochemical performance for magnesium-ion storage in an aqueous electrolyte; showing specific discharge capacities of up to 427 mAh g-1 with a capacity retention of 82% after 2000 scans under a high specific current of 5.9 A g-1. The high rate capability suggested good structural stability and high reversibility. We believe the development of low-cost and large-area coating methods, such as the technique used herein, will be essential for the upscalable fabrication of next-generation rechargeable battery technologies.

  19. Combustion chemical vapor desposited coatings for thermal barrier coating systems

    Energy Technology Data Exchange (ETDEWEB)

    Hampikian, J.M.; Carter, W.B. [Georgia Institute of Technology, Atlanta, GA (United States)

    1995-10-01

    The new deposition process, combustion chemical vapor deposition, shows a great deal of promise in the area of thermal barrier coating systems. This technique produces dense, adherent coatings, and does not require a reaction chamber. Coatings can therefore be applied in the open atmosphere. The process is potentially suitable for producing high quality CVD coatings for use as interlayers between the bond coat and thermal barrier coating, and/or as overlayers, on top of thermal barrier coatings.

  20. Fabrication of fiber-reinforced composites by chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M.; McLaughlin, J.C. [Oak Ridge National Lab., TN (United States). Metals and Ceramics Div.; Probst, K.J.; Anderson, T.J. [Univ. of Florida, Gainesville, FL (United States). Dept. of Chemical Engineering; Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). Dept. of Materials Science and Engineering

    1997-12-01

    Silicon carbide-based heat exchanger tubes are of interest to energy production and conversion systems due to their excellent high temperature properties. Fiber-reinforced SiC is of particular importance for these applications since it is substantially tougher than monolithic SiC, and therefore more damage and thermal shock tolerant. This paper reviews a program to develop a scaled-up system for the chemical vapor infiltration of tubular shapes of fiber-reinforced SiC. The efforts include producing a unique furnace design, extensive process and system modeling, and experimental efforts to demonstrate tube fabrication.

  1. Influence of thin film nickel pretreatment on catalytic thermal chemical vapor deposition of carbon nanofibers

    Energy Technology Data Exchange (ETDEWEB)

    Tiggelaar, R.M. [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Thakur, D.B.; Nair, H.; Lefferts, L.; Seshan, K. [Catalytic Processes and Materials, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands); Gardeniers, J.G.E., E-mail: j.g.e.gardeniers@utwente.nl [Mesoscale Chemical Systems, MESA" + Institute for Nanotechnology, University of Twente, P.O. Box 217, 7500 AE Enschede (Netherlands)

    2013-05-01

    Nickel and other metal nanoparticles are known to be active as catalysts in the synthesis of carbon nanofibers. In this paper we investigate how dewetting and break-up of nickel thin films depends on film thickness, film–substrate interaction and pretreatment conditions. This is evaluated for films evaporated on oxidized silicon and fused silica substrates with or without tantalum coating, which were subsequently exposed to different pretreatment atmospheres (vacuum, nitrogen, air and hydrogen; 1 h, 650 °C). Atomic force microscopy, scanning electron microscopy and energy dispersive X-ray analysis were used to characterize the films. Pretreated Ni films were subjected to a thermal catalytic chemical vapor deposition procedure with brief ethylene exposures (0.5–3 min, 635 °C). It was found that only on the spherical nanoparticles originating from a hydrogen pretreatment of a Ni film with Ta adhesion layer, homogeneously distributed, randomly-oriented, well-attached, and semi-crystalline carbon nanofibers be synthesized. - Highlights: • On the formation of nanoparticles required for carbon nanofiber (CNF) synthesis • Various evaporated thin films on oxidized silicon and fused silica: Ni and Ni/Ta • Pretreatment of nickel-based thin films in vacuum, nitrogen, air and hydrogen • Only on reduced Ni/Ta fast – within 3 min – initiation of CNF nucleation and growth.

  2. Conformal coating of amorphous silicon and germanium by high pressure chemical vapor deposition for photovoltaic fabrics

    Science.gov (United States)

    Ji, Xiaoyu; Cheng, Hiu Yan; Grede, Alex J.; Molina, Alex; Talreja, Disha; Mohney, Suzanne E.; Giebink, Noel C.; Badding, John V.; Gopalan, Venkatraman

    2018-04-01

    Conformally coating textured, high surface area substrates with high quality semiconductors is challenging. Here, we show that a high pressure chemical vapor deposition process can be employed to conformally coat the individual fibers of several types of flexible fabrics (cotton, carbon, steel) with electronically or optoelectronically active materials. The high pressure (˜30 MPa) significantly increases the deposition rate at low temperatures. As a result, it becomes possible to deposit technologically important hydrogenated amorphous silicon (a-Si:H) from silane by a simple and very practical pyrolysis process without the use of plasma, photochemical, hot-wire, or other forms of activation. By confining gas phase reactions in microscale reactors, we show that the formation of undesired particles is inhibited within the microscale spaces between the individual wires in the fabric structures. Such a conformal coating approach enables the direct fabrication of hydrogenated amorphous silicon-based Schottky junction devices on a stainless steel fabric functioning as a solar fabric.

  3. Tribological properties of nitrogen-containing amorphous carbon film produced by dc plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang Wei; Wazumi, Koichiro; Tanaka, Akihiro; Koga, Yoshinori

    2003-01-01

    The nitrogen-contained amorphous carbon (a-C:N) films were deposited in a dc plasma chemical vapor deposition system with different substrate bias voltages. The structural, mechanical, and tribological properties of the a-C:N films were investigated. The influence of the bias voltage on the tribological behaviors of the a-C:N films was evaluated under various environments (dry air, O 2 , N 2 , and vacuum) using a ball-on-disk friction tester. It showed that the sp 3 C and hydrogen concentration of the a-C:N films decreases with increasing the bias voltage. However, the nitrogen concentration increases with increasing the bias voltage. As a result, the hardness and internal stress decrease and the critical load for fracturing increases as the substrate bias increases. For the tribological properties of the a-C:N films, the friction coefficient of the films slightly decreases in the environments of N 2 , O 2 , or dry air, but increases slightly in the vacuum environment by increasing the bias voltage. It indicates that the incorporated nitrogen in the a-C:N films would decrease the friction coefficient of the films in N 2 or O 2 environments, but slightly increases the friction coefficient of the films in a vacuum. The excellent wear resistance of the a-C:N films, in the level of 10 -9 -10 -8 mm 3 /Nm, can be observed in N 2 , vacuum, and dry air environments. In addition, the effect of the bias voltage on the wear rate of the a-C:N films becomes less obvious by nitrogen incorporation. So, we suggest the incorporated nitrogen, which bonded to carbon and restrained the increase of the fraction of sp 2 C-C, would restrain the wear of the a-C:N films in different environments, especially in dry air

  4. Alcohol vapor sensing by cadmium-doped zinc oxide thick films based chemical sensor

    Science.gov (United States)

    Zargar, R. A.; Arora, M.; Chackrabarti, S.; Ahmad, S.; Kumar, J.; Hafiz, A. K.

    2016-04-01

    Cadmium-doped zinc oxide nanoparticles were derived by simple chemical co-precipitation route using zinc acetate dihydrate and cadmium acetate dihydrate as precursor materials. The thick films were casted from chemical co-precipitation route prepared nanoparticles by economic facile screen printing method. The structural, morphological, optical and electrical properties of the film were characterized relevant to alcohol vapor sensing application by powder XRD, SEM, UV-VIS and DC conductivity techniques. The response and sensitivity of alcohol (ethanol) vapor sensor are obtained from the recovery curves at optimum working temperature range from 20∘C to 50∘C. The result shows that maximum sensitivity of the sensor is observed at 25∘C operating temperature. On varying alcohol vapor concentration, minor variation in resistance has been observed. The sensing mechanism of sensor has been described in terms of physical adsorption and chemical absorption of alcohol vapors on cadmium-doped zinc oxide film surface and inside film lattice network through weak hydrogen bonding, respectively.

  5. Development of multi-channel high power rectangular RF window for LHCD system employing high temperature vacuum brazing technique

    International Nuclear Information System (INIS)

    Sharma, P K; Ambulkar, K K; Parmar, P R; Virani, C G; Thakur, A L; Joshi, L M; Nangru, S C

    2010-01-01

    A 3.7 GHz., 120 kW (pulsed), lower hybrid current drive (LHCD) system is employed to drive non-inductive plasma current in ADITYA tokamak. The rf power is coupled to the plasma through grill antenna and is placed in vacuum environment. A vacuum break between the pressurized transmission line and the grill antenna is achieved with the help of a multi (eight) channel rectangular RF vacuum window. The phasing between adjacent channels of 8-channel window (arranged in two rows) is important for launching lower hybrid waves and each channel should have independent vacuum window so that phase information is retained. The geometrical parameter of the grill antenna, like periodicity (9mm), channel dimensions (cross sectional dimension of 76mm x 7mm), etc. is to be maintained. These design constraint demanded a development of a multi channel rectangular RF vacuum window. To handle rf losses and thermal effects, high temperature vacuum brazing techniques is desired. Based on the above requirements we have successfully developed a multi channel rectangular rf vacuum window employing high temperature vacuum brazing technique. During the development process we could optimize the chemical processing parameters, brazing process parameters, jigs and fixtures for high temperature brazing and leak testing, etc. Finally the window is tested for low power rf performance using VNA. In this paper we would present the development of the said window in detail along with its mechanical, vacuum and rf performances.

  6. Development of multi-channel high power rectangular RF window for LHCD system employing high temperature vacuum brazing technique

    Energy Technology Data Exchange (ETDEWEB)

    Sharma, P K; Ambulkar, K K; Parmar, P R; Virani, C G; Thakur, A L [Institute for Plasma Research, Bhat, Gandhinagar 382428 (India); Joshi, L M; Nangru, S C, E-mail: pramod@ipr.res.i [Central Electronics Engineering Research Institute, Pilani, Rajasthan 333 031 (India)

    2010-02-01

    A 3.7 GHz., 120 kW (pulsed), lower hybrid current drive (LHCD) system is employed to drive non-inductive plasma current in ADITYA tokamak. The rf power is coupled to the plasma through grill antenna and is placed in vacuum environment. A vacuum break between the pressurized transmission line and the grill antenna is achieved with the help of a multi (eight) channel rectangular RF vacuum window. The phasing between adjacent channels of 8-channel window (arranged in two rows) is important for launching lower hybrid waves and each channel should have independent vacuum window so that phase information is retained. The geometrical parameter of the grill antenna, like periodicity (9mm), channel dimensions (cross sectional dimension of 76mm x 7mm), etc. is to be maintained. These design constraint demanded a development of a multi channel rectangular RF vacuum window. To handle rf losses and thermal effects, high temperature vacuum brazing techniques is desired. Based on the above requirements we have successfully developed a multi channel rectangular rf vacuum window employing high temperature vacuum brazing technique. During the development process we could optimize the chemical processing parameters, brazing process parameters, jigs and fixtures for high temperature brazing and leak testing, etc. Finally the window is tested for low power rf performance using VNA. In this paper we would present the development of the said window in detail along with its mechanical, vacuum and rf performances.

  7. Chemically assisted release of transition metals in graphite vaporizers for atomic spectrometry

    International Nuclear Information System (INIS)

    Katskov, Dmitri; Darangwa, Nicholas; Grotti, Marco

    2006-01-01

    decomposition of metal oxide, is the most probable source of chemical energy, which facilitates the vaporization. Intensity of the process depends on chemical properties of the sample and substrate and efficiency of mass and heat transfer by the protective gas. The discussed mechanism of chemically assisted vapor release signifies the energy exchange between all participants of the vaporization process in ET AAS including the matrix, modifier, purge gas and analyte. The finding contributes in the ET AAS theory regarding the mechanisms of vaporization and mass transfer in the presence of matrix and modifiers

  8. Does the QCD vacuum build up a colour chemical potential dynamically?

    International Nuclear Information System (INIS)

    Sailer, K.; Greiner, W.

    1998-01-01

    The one-loop effective theory is found for QCD assuming an overcritical homogeneous gluon vector potential background that corresponds to a non-vanishing colour chemical potential. It is found that the vacuum is unstable against building up a non-vanishing colour chemical potential for sufficiently large number of flavours. (author)

  9. Microbial and Chemical Shelf-Life of Vacuum Steam-Pasteurized Whole Flaxseed and Milled Flaxseed.

    Science.gov (United States)

    Shah, Manoj; Eklund, Bridget; Conde Lima, Luiz Gustavo; Bergholz, Teresa; Hall, Clifford

    2018-02-01

    Flaxseed is an oilseed with many health benefits. Flaxseed may be consumed raw or in processed form. In the raw form, there is a potential for microbial contamination. Several pasteurization methods have been used to reduce microbial contamination. However, such treatments may affect chemical properties of foods. In this study, vacuum steam-pasteurization was conducted on whole flaxseed and milled flaxseed using 4 different conditions (3 min at 75 °C, 3 min at 90 °C, 9 min at 90 °C, and 3 min at 105 °C). Microbial and chemical shelf-life was monitored for 28 wk (36 wk for aerobic plate counts). Significant reduction (P chemical indices measured. Only small changes were observed in the chemical indices after vacuum steam-pasteurization for both pasteurized whole flaxseed and milled flaxseed as compared to the unpasteurized flaxseed at most instances. Vacuum steam-pasteurization can be used as a safe alternative for the microbial reduction of low-moisture products, such as flaxseed, without significantly affecting chemical stability. Vacuum steam-pasteurization can be effectively used for the treatment of whole flaxseed and milled flaxseed to reduce spoilage microorganisms, such as total aerobes and yeasts and molds. In addition, this pasteurization method had minimal effects on several chemical shelf-life parameters with positive impact on SDG of the processed flaxseed. © 2018 Institute of Food Technologists®.

  10. Plasma enhanced chemical vapor deposition silicon oxynitride optimized for application in integrated optics

    NARCIS (Netherlands)

    Worhoff, Kerstin; Driessen, A.; Lambeck, Paul; Hilderink, L.T.H.; Linders, Petrus W.C.; Popma, T.J.A.

    1999-01-01

    Silicon Oxynitride layers are grown from SiH4/N2, NH3 and N2O by Plasma Enhanced Chemical Vapor Deposition. The process is optimized with respect to deposition of layers with excellent uniformity in the layer thickness, high homogeneity of the refractive index and good reproducibility of the layer

  11. High current vacuum closing switch

    International Nuclear Information System (INIS)

    Dolgachev, G.I.; Maslennikov, D.D.; Romanov, A.S.; Ushakov, A.G.

    2005-01-01

    The paper proposes a powerful pulsed closing vacuum switch for high current commutation consisting of series of the vacuum diodes with near 1 mm gaps having closing time determined by the gaps shortening with the near-electrode plasmas [ru

  12. Ti-doped hydrogenated diamond like carbon coating deposited by hybrid physical vapor deposition and plasma enhanced chemical vapor deposition

    Science.gov (United States)

    Lee, Na Rae; Sle Jun, Yee; Moon, Kyoung Il; Sunyong Lee, Caroline

    2017-03-01

    Diamond-like carbon films containing titanium and hydrogen (Ti-doped DLC:H) were synthesized using a hybrid technique based on physical vapor deposition (PVD) and plasma enhanced chemical vapor deposition (PECVD). The film was deposited under a mixture of argon (Ar) and acetylene gas (C2H2). The amount of Ti in the Ti-doped DLC:H film was controlled by varying the DC power of the Ti sputtering target ranging from 0 to 240 W. The composition, microstructure, mechanical and chemical properties of Ti-doped DLC:H films with varying Ti concentrations, were investigated using Raman spectroscopy, X-ray photoelectron spectroscopy (XPS), nano indentation, a ball-on-disk tribometer, a four-point probe system and dynamic anodic testing. As a result, the optimum composition of Ti in Ti-doped DLC:H film using our hybrid method was found to be a Ti content of 18 at. %, having superior electrical conductivity and high corrosion resistance, suitable for bipolar plates. Its hardness value was measured to be 25.6 GPa with a low friction factor.

  13. Magmatic-vapor expansion and the formation of high-sulfidation gold deposits: Chemical controls on alteration and mineralization

    Science.gov (United States)

    Henley, R.W.; Berger, B.R.

    2011-01-01

    Large bulk-tonnage high-sulfidation gold deposits, such as Yanacocha, Peru, are the surface expression of structurally-controlled lode gold deposits, such as El Indio, Chile. Both formed in active andesite-dacite volcanic terranes. Fluid inclusion, stable isotope and geologic data show that lode deposits formed within 1500. m of the paleo-surface as a consequence of the expansion of low-salinity, low-density magmatic vapor with very limited, if any, groundwater mixing. They are characterized by an initial 'Sulfate' Stage of advanced argillic wallrock alteration ?? alunite commonly with intense silicification followed by a 'Sulfide' Stage - a succession of discrete sulfide-sulfosalt veins that may be ore grade in gold and silver. Fluid inclusions in quartz formed during wallrock alteration have homogenization temperatures between 100 and over 500 ??C and preserve a record of a vapor-rich environment. Recent data for El Indio and similar deposits show that at the commencement of the Sulfide Stage, 'condensation' of Cu-As-S sulfosalt melts with trace concentrations of Sb, Te, Bi, Ag and Au occurred at > 600 ??C following pyrite deposition. Euhedral quartz crystals were simultaneously deposited from the vapor phase during crystallization of the vapor-saturated melt occurs to Fe-tennantite with progressive non-equilibrium fractionation of heavy metals between melt-vapor and solid. Vugs containing a range of sulfides, sulfosalts and gold record the changing composition of the vapor. Published fluid inclusion and mineralogical data are reviewed in the context of geological relationships to establish boundary conditions through which to trace the expansion of magmatic vapor from source to surface and consequent alteration and mineralization. Initially heat loss from the vapor is high resulting in the formation of acid condensate permeating through the wallrock. This Sulfate Stage alteration effectively isolates the expansion of magmatic vapor in subsurface fracture arrays

  14. Si Nano wires Produced by Very High Frequency Plasma Enhanced Chemical Vapor Deposition (PECVD) via VLS Mechanism

    International Nuclear Information System (INIS)

    Yussof Wahab; Yussof Wahab; Habib Hamidinezhad; Habib Hamidinezhad

    2013-01-01

    Silicon nano wires (SiNWs) with diameter of about a few nanometers and length of 3 μm on silicon wafers were synthesized by very high frequency plasma enhanced chemical vapor deposition. Scanning electron microscopy (SEM) observations showed that the silicon nano wires were grown randomly and energy-dispersive X-ray spectroscopy analysis indicates that the nano wires have the composition of Si, Au and O elements. The SiNWs were characterized by high resolution transmission electron microscopy (HRTEM) and Raman spectroscopy. SEM micrographs displayed SiNWs that are needle-like with a diameter ranged from 30 nm at the top to 100 nm at the bottom of the wire and have length a few of micrometers. In addition, HRTEM showed that SiNWs consist of crystalline silicon core and amorphous silica layer. (author)

  15. Investigation of TiN thin film oxidation depending on the substrate temperature at vacuum break

    Energy Technology Data Exchange (ETDEWEB)

    Piallat, Fabien, E-mail: fabien.piallat@gmail.com [STMicroelectronics, 850 rue Jean Monnet, 38920 Crolles (France); CEA, LETI, Campus Minatec, F-38054 Grenoble (France); LTM-CNRS, 17 rue des Martyrs, 38054 Grenoble (France); Gassilloud, Remy [CEA, LETI, Campus Minatec, F-38054 Grenoble (France); Caubet, Pierre [STMicroelectronics, 850 rue Jean Monnet, 38920 Crolles (France); Vallée, Christophe [LTM-CNRS, 17 rue des Martyrs, 38054 Grenoble (France)

    2016-09-15

    Due to the reduction of the thickness of the layers used in the advanced technology nodes, there is a growing importance of the surface phenomena in the definition of the general properties of the materials. One of the least controlled and understood phenomenon is the oxidation of metals after deposition, at the vacuum break. In this study, the influence of the sample temperature at vacuum break on the oxidation level of TiN deposited by metalorganic chemical vapor deposition is investigated. TiN resistivity appears to be lower for samples which underwent vacuum break at high temperature. Using X-ray photoelectron spectrometry analysis, this change is correlated to the higher oxidation of the TiN layer. Moreover, angle resolved XPS analysis reveals that higher is the temperature at the vacuum break, higher is the surface oxidation of the sample. This surface oxidation is in turn limiting the diffusion of oxygen in the volume of the layer. Additionally, evolution of TiN layers resistivity was monitored in time and it shows that resistivity increases until a plateau is reached after about 10 days, with the lowest temperature at vacuum break resulting in the highest increase, i.e., the resistivity of the sample released to atmosphere at high temperature increased by a factor 1.7 whereas the resistivity of the sample cooled down under vacuum temperature increased by a factor 2.7.

  16. Chemical vapor deposition of refractory metals and ceramics III

    International Nuclear Information System (INIS)

    Gallois, B.M.; Lee, W.Y.; Pickering, M.A.

    1995-01-01

    The papers contained in this volume were originally presented at Symposium K on Chemical Vapor Deposition of Refractory Metals and Ceramics III, held at the Fall Meeting of the Materials Research Society in Boston, Massachusetts, on November 28--30, 1994. This symposium was sponsored by Morton International Inc., Advanced Materials, and by The Department of Energy-Oak Ridge National Laboratory. The purpose of this symposium was to exchange scientific information on the chemical vapor deposition (CVD) of metallic and ceramic materials. CVD technology is receiving much interest in the scientific community, in particular, to synthesize new materials with tailored chemical composition and physical properties that offer multiple functionality. Multiphase or multilayered films, functionally graded materials (FGMs), ''smart'' material structures and nanocomposites are some examples of new classes of materials being produced via CVD. As rapid progress is being made in many interdisciplinary research areas, this symposium is intended to provide a forum for reporting new scientific results and addressing technological issues relevant to CVD materials and processes. Thirty four papers have been processed separately for inclusion on the data base

  17. Self-catalytic growth of tin oxide nanowires by chemical vapor deposition process

    CSIR Research Space (South Africa)

    Thabethe, BS

    2013-01-01

    Full Text Available The authors report on the synthesis of tin oxide (SnO(sub2)) nanowires by a chemical vapor deposition (CVD) process. Commercially bought SnO nanopowders were vaporized at 1050°C for 30 minutes with argon gas continuously passing through the system...

  18. Vapor pressure measured with inflatable plastic bag

    Science.gov (United States)

    1965-01-01

    Deflated plastic bag in a vacuum chamber measures initial low vapor pressures of materials. The bag captures the test sample vapors and visual observation of the vapor-inflated bag under increasing external pressures yields pertinent data.

  19. Characterization of magnetic Ni clusters on graphene scaffold after high vacuum annealing

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Zhenjun, E-mail: zzhang1@albany.edu; Matsubayashi, Akitomo, E-mail: norwegianwood.1984@gmail.com; Grisafe, Benjamin, E-mail: bgrisafe@albany.edu; Lee, Ji Ung, E-mail: jlee1@albany.edu; Lloyd, James R., E-mail: JLloyd@sunycnse.com

    2016-02-15

    Magnetic Ni nanoclusters were synthesized by electron beam deposition utilizing CVD graphene as a scaffold. The subsequent clusters were subjected to high vacuum (5−8 x10{sup −7} torr) annealing between 300 and 600 °C. The chemical stability, optical and morphological changes were characterized by X-ray photoemission microscopy, Raman spectroscopy, atomic force microscopy and magnetic measurement. Under ambient exposure, nickel nanoparticles were observed to be oxidized quickly, forming antiferromagnetic nickel oxide. Here, we report that the majority of the oxidized nickel is in non-stoichiometric form and can be reduced under high vacuum at temperature as low as 300 °C. Importantly, the resulting annealed clusters were relatively stable and no further oxidation was detectable after three weeks of air exposure at room temperature. - Highlights: • Random oriented nickel clusters were assembled on monolayer graphene scaffold. • Nickel oxide shell was effectively reduced at moderate temperature. • Coercivity of nickel clusters are greatly improved after high vacuum annealing.

  20. Chemical vapor composites (CVC)

    International Nuclear Information System (INIS)

    Reagan, P.

    1993-01-01

    The Chemical Vapor Composite, CVC trademark , process fabricates composite material by simply mixing particles (powders and or fibers) with CVD reactants which are transported and co-deposited on a hot substrate. A key feature of the CVC process is the control provided by varing the density, geometry (aspect ratio) and composition of the entrained particles in the matrix material, during deposition. The process can fabricate composite components to net shape (± 0.013 mm) on a machined substrate in a single step. The microstructure of the deposit is described and several examples of different types of particles in the matrix are illustrated. Mechanical properties of SiC composite material fabricated with SiC powder and fiber will be presented. Several examples of low cost ceramic composite products will be shown. (orig.)

  1. Polybenzimidazole-based mixed membranes with exceptional high water vapor permeability and selectivity

    KAUST Repository

    Akhtar, Faheem Hassan

    2017-09-13

    Polybenzimidazole (PBI), a thermal and chemically stable polymer, is commonly used to fabricate membranes for applications like hydrogen recovery at temperatures of more than 300 °C, fuel cells working in a highly acidic environment, and nanofiltration in aggressive solvents. This report shows for the first time use of PBI dense membranes for water vapor/gas separation applications. They showed an excellent selectivity and high water vapor permeability. Incorporation of inorganic hydrophilic titanium-based nano-fillers into the PBI matrix further increased the water vapor permeability and water vapor/N2 selectivity. The most selective mixed matrix membrane with 0.5 wt% loading of TiO2 nanotubes yielded a water vapor permeability of 6.8×104 Barrer and a H2O/N2 selectivity of 3.9×106. The most permeable membrane with 1 wt% loading of carboxylated TiO2 nanoparticles had a 7.1×104 Barrer water vapor permeability and a H2O/N2 selectivity of 3.1×106. The performance of these membranes in terms of water vapor transport and selectivity is among the highest reported ones. The remarkable ability of PBI to efficiently permeate water versus other gases opens the possibility to fabricate membranes for dehumidification of streams in harsh environments. This includes the removal of water from high temperature reaction mixtures to shift the equilibrium towards products.

  2. Polybenzimidazole-based mixed membranes with exceptional high water vapor permeability and selectivity

    KAUST Repository

    Akhtar, Faheem Hassan; Kumar, Mahendra; Villalobos, Luis Francisco; Shevate, Rahul; Vovusha, Hakkim; Schwingenschlö gl, Udo; Peinemann, Klaus-Viktor

    2017-01-01

    Polybenzimidazole (PBI), a thermal and chemically stable polymer, is commonly used to fabricate membranes for applications like hydrogen recovery at temperatures of more than 300 °C, fuel cells working in a highly acidic environment, and nanofiltration in aggressive solvents. This report shows for the first time use of PBI dense membranes for water vapor/gas separation applications. They showed an excellent selectivity and high water vapor permeability. Incorporation of inorganic hydrophilic titanium-based nano-fillers into the PBI matrix further increased the water vapor permeability and water vapor/N2 selectivity. The most selective mixed matrix membrane with 0.5 wt% loading of TiO2 nanotubes yielded a water vapor permeability of 6.8×104 Barrer and a H2O/N2 selectivity of 3.9×106. The most permeable membrane with 1 wt% loading of carboxylated TiO2 nanoparticles had a 7.1×104 Barrer water vapor permeability and a H2O/N2 selectivity of 3.1×106. The performance of these membranes in terms of water vapor transport and selectivity is among the highest reported ones. The remarkable ability of PBI to efficiently permeate water versus other gases opens the possibility to fabricate membranes for dehumidification of streams in harsh environments. This includes the removal of water from high temperature reaction mixtures to shift the equilibrium towards products.

  3. Application of molecular beam mass spectrometry to chemical vapor deposition studies

    International Nuclear Information System (INIS)

    Hsu, W.L.; Tung, D.M.

    1992-01-01

    A molecular beam mass spectrometer system has been designed and constructed for the specific purpose of measuring the gaseous composition of the vapor environment during chemical vapor deposition of diamond. By the intrinsic nature of mass analysis, this type of design is adaptable to a broad range of other applications that rely either on thermal- or plasma-induced chemical kinetics. When gas is sampled at a relatively high process pressure (∼2700 Pa for our case), supersonic gas expansion at the sampling orifice can cause the detected signals to have a complicated dependence on the operating conditions. A comprehensive discussion is given on the effect of gas expansion on mass discrimination and signal scaling with sampling pressure and temperature, and how these obstacles can be overcome. This paper demonstrates that radical species can be detected with a sensitivity better than 10 ppm by the use of threshold ionization. A detailed procedure is described whereby one can achieve quantitative analysis of the detected species with an accuracy of ±20%. This paper ends with an example on the detection of H, H 2 , CH 3 , CH 4 , and C 2 H 2 during diamond growth

  4. Air sparging/high vacuum extraction to remove chlorinated solvents in groundwater and soil

    International Nuclear Information System (INIS)

    Phelan, J.M.; Gilliat, M.D.

    1998-01-01

    An air sparging and high vacuum extraction was installed as an alternative to a containment pump and treat system to reduce the long-term remediation schedule. The site is located at the DOE Mound facility in Miamisburg, Ohio, just south of Dayton. The air sparging system consists of 23 wells interspersed between 17 soil vapor extraction wells. The SVE system has extracted about 1,500 lbs of VOCs in five months. The air sparging system operated for about 6 weeks before shutdown due to suspected biochemical fouling. Technical data are presented on the operating characteristics of the system

  5. Modern vacuum physics

    CERN Document Server

    Chambers, Austin

    2005-01-01

    Modern Vacuum Physics presents the principles and practices of vacuum science and technology along with a number of applications in research and industrial production. The first half of the book builds a foundation in gases and vapors under rarefied conditions, The second half presents examples of the analysis of representative systems and describes some of the exciting developments in which vacuum plays an important role. The final chapter addresses practical matters, such as materials, components, and leak detection. Throughout the book, the author''s explanations are presented in terms of first principles and basic physics, augmented by illustrative worked examples and numerous figures.

  6. Chemical structure investigation on SFEF fractions of Dagang vacuum residue

    Energy Technology Data Exchange (ETDEWEB)

    Zhang, Z.; Yan, G.; Zhao, S.; Guo, S. [China Univ. of Petroleum, Beijing (China). State Key Laboratory of Heavy Oil Processing; Zhang, Z. [Beijing Aeronautical Technology Research Center, Beijing (China)

    2006-07-01

    One of the most important problems in petroleum chemistry is the molecular structure and composition of heavy oil fractions and its importance in applications pertaining to the recovery, refining, and upgrading of petroleum. This paper presented an investigation into the chemical structure on supercritical fluid extraction and fraction (SFEF) factions of Dagang vacuum residue. Dagang vacuum residue was cut into sixteen fractions and a tailing with SFEF instrument. Then, using a chromatography, all SFEF fractions were further separated into four group compositions, notably saturated hydrocarbons, aromatic hydrocarbons, resins and asphaltenes (SARA). Last, the chemical structure was explored through a thorough analysis of the products from the ruthenium ions-catalyzed oxidation (RICO) reaction of those aromatics, resins and asphaltenes. The paper discussed the experiment in terms of samples and chemicals; supercritical fluid extraction and fraction; SARA separation; and RICO. The results and discussions focused on alkyl side chains attached to aromatic carbon; polymethylene bridges connecting two aromatic units; benzenecarboxylic acids an aromatic units; and others. The study has brought to light useful characterization on covalent molecular structure of two typical SFEF fractions, notably the tenth and fifteen fraction. 17 refs., 6 tabs., 16 figs., 1 appendix.

  7. A predictive model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system

    Energy Technology Data Exchange (ETDEWEB)

    Toprac, A.J.; Trachtenberg, I.; Edgar, T.F. (Univ. of Texas, Austin, TX (United States). Dept. of Chemical Engineering)

    1994-06-01

    The chemical vapor deposition of polysilicon from thermally activated silane in a cold wall, single-wafer rapid thermal system was studied by experimentation at a variety of low pressure conditions, including very high temperatures. The effect of diluent gas on polysilicon deposition rates was examined using hydrogen, helium, and krypton. A mass-transfer model for the chemical vapor deposition of polysilicon in a cold wall, rapid thermal system was developed. This model was used to produce an empirical rate expression for silicon deposition from silane by regressing kinetic parameters to fit experimental data. The resulting model provided accurate predictions over widely varying conditions in the experimental data.

  8. Industrialization of hot wire chemical vapor deposition for thin film applications

    NARCIS (Netherlands)

    Schropp, Ruud

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical

  9. A study of the performance and properties of diamond like carbon (DLC) coatings deposited by plasma chemical vapor deposition (CVD) for two stroke engine components

    Energy Technology Data Exchange (ETDEWEB)

    Tither, D. [BEP Grinding Ltd., Manchester (United Kingdom); Ahmed, W.; Sarwar, M.; Penlington, R. [Univ. of Northumbria, Newcastle-upon-Tyne (United Kingdom)

    1995-12-31

    Chemical vapor deposition (CVD) using microwave and RF plasma is arguably the most successful technique for depositing diamond and diamond like carbon (DLC) films for various engineering applications. However, the difficulties of depositing diamond are nearly as extreme as it`s unique combination of physical, chemical and electrical properties. In this paper, the modified low temperature plasma enhanced CVD system is described. The main focus of this paper will be work related to deposition of DLC on metal matrix composite materials (MMCs) for application in two-stroke engine components and results will be presented from SEM, mechanical testing and composition analysis studies. The authors have demonstrated the feasibility of depositing DLC on MMCs for the first time using a vacuum deposition process.

  10. Complete long-term corrosion protection with chemical vapor deposited graphene

    DEFF Research Database (Denmark)

    Yu, Feng; Camilli, Luca; Wang, Ting

    2018-01-01

    Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer-graphene......Despite numerous reports regarding the potential of graphene for corrosion protection, examples of chemical vapor deposited (CVD) graphene-based anticorrosive coatings able to provide long-term protection (i.e. several months) of metals have so far been absent. Here, we present a polymer......-graphene hybrid coating, comprising two single layers of CVD graphene sandwiched by three layers of polyvinyl butyral, which provides complete corrosion protection of commercial aluminum alloys even after 120 days of exposure to simulated seawater. The essential role played by graphene in the hybrid coating...

  11. Vacuum leak detector and method

    Science.gov (United States)

    Edwards, Jr., David

    1983-01-01

    Apparatus and method for detecting leakage in a vacuum system involves a moisture trap chamber connected to the vacuum system and to a pressure gauge. Moisture in the trap chamber is captured by freezing or by a moisture adsorbent to reduce the residual water vapor pressure therein to a negligible amount. The pressure gauge is then read to determine whether the vacuum system is leaky. By directing a stream of carbon dioxide or helium at potentially leaky parts of the vacuum system, the apparatus can be used with supplemental means to locate leaks.

  12. Synthesis of chiral polyaniline films via chemical vapor phase polymerization

    DEFF Research Database (Denmark)

    Chen, J.; Winther-Jensen, B.; Pornputtkul, Y.

    2006-01-01

    Electrically and optically active polyaniline films doped with (1)-(-)-10- camphorsulfonic acid were successfully deposited on nonconductive substrates via chemical vapor phase polymerization. The above polyaniline/ R- camphorsulfonate films were characterized by electrochemical and physical...

  13. Metal-organic chemical vapor deposition of high quality, high indium composition N-polar InGaN layers for tunnel devices

    Science.gov (United States)

    Lund, Cory; Romanczyk, Brian; Catalano, Massimo; Wang, Qingxiao; Li, Wenjun; DiGiovanni, Domenic; Kim, Moon J.; Fay, Patrick; Nakamura, Shuji; DenBaars, Steven P.; Mishra, Umesh K.; Keller, Stacia

    2017-05-01

    In this study, the growth of high quality N-polar InGaN films by metalorganic chemical vapor deposition is presented with a focus on growth process optimization for high indium compositions and the structural and tunneling properties of such films. Uniform InGaN/GaN multiple quantum well stacks with indium compositions up to 0.46 were grown with local compositional analysis performed by energy-dispersive X-ray spectroscopy within a scanning transmission electron microscope. Bright room-temperature photoluminescence up to 600 nm was observed for films with indium compositions up to 0.35. To study the tunneling behavior of the InGaN layers, N-polar GaN/In0.35Ga0.65N/GaN tunnel diodes were fabricated which reached a maximum current density of 1.7 kA/cm2 at 5 V reverse bias. Temperature-dependent measurements are presented and confirm tunneling behavior under reverse bias.

  14. Vacuum window glazings for energy-efficient buildings

    Energy Technology Data Exchange (ETDEWEB)

    Benson, D.K.; Smith, L.K.; Tracy, C.E.; Potter, T.; Christensen, C. (Solar Energy Research Inst., Golden, CO (USA)); Soule, D.E. (Western Illinois Univ., Macomb, IL (USA))

    1990-05-01

    The technical feasibility of a patented, laser-welded, evacuated insulating window was studied. The window has two edge-sealed sheets of glass separated by 0.5-mm glass spheres spaced 30 mm apart in a regular array. A highly insulating frame is required and several designs were analyzed. The vacuum window's combination of high solar transmittance and low thermal conductance makes it superior to many other windows in cold climates. In the US Pacific Northwest, the vacuum window could save about 6 MJ of heating energy annually per square meter of window in comparison to conventional, double-glazed windows. A large, vacuum laser-welding facility was designed and installed to conduct glass welding experiments and to fabricate full-sized vacuum windows. Experiments confirmed the feasibility of laser-sealing glass in vacuum but identified two difficulties. Under some circumstances, bubbles of dissolved gases form during welding and weaken the seal. Glass also vaporizes and contaminates the laser beam steering mirror. A novel moving metal foil mirror was developed to circumvent the contamination problem, but it has not yet been used to complete welding experiments and fabricate full-sized vacuum windows. 63 refs., 53 figs., 19 tabs.

  15. Controllable growth of nanostructured carbon from coal tar pitch by chemical vapor deposition

    International Nuclear Information System (INIS)

    Liu Xuguang; Yang Yongzhen; Ji Weiyun; Liu Hongyan; Zhang Chunyi; Xu Bingshe

    2007-01-01

    The direct synthesis of vapor grown carbon fibers with different diameters was achieved by the pyrolysis of coal tar pitch by chemical vapor deposition. The products were characterized by field-emission scanning electron microscopy, high resolution transmission electron microscopy, X-ray diffraction and Raman spectroscopy. The experimental results demonstrated that ferrocene content, reaction temperature and Ar flow rate strongly influenced the yield and nature of nanostructured carbon materials, pure carbon microbeads, with diameter distribution ranging from 450 to 650 nm, were also obtained in the absence of catalyst, uniform and straight carbon nanofibers with the outer diameter of about 115 nm were obtained and curl and thick carbon fibers with narrow diameter distribution of 300-350 nm were produced

  16. Preparation of hydrogenated amorphous carbon films using a microsecond-pulsed DC capacitive-coupled plasma chemical vapor deposition system operated at high frequency up to 400 kHz

    Science.gov (United States)

    Mamun, Md Abdullah Al; Furuta, Hiroshi; Hatta, Akimitsu

    2018-06-01

    Hydrogenated amorphous carbon (a-C:H) films are deposited on silicon (Si) substrates using a high-repetition microsecond-pulsed DC plasma chemical vapor deposition (CVD) system from acetylene (C2H2) at a gas pressure of 15 Pa inside a custom-made vacuum chamber. The plasma discharge characteristics, hydrocarbon species, and the microstructure of the resulting films are examined at various pulse repetition rates from 50 to 400 kHz and a fixed duty cycle of 50%. The optical emission spectra confirmed the increase in electron excitation energy from 1.09 to 1.82 eV and the decrease in the intensity ratio of CH/C2 from 1.04 to 0.75 with increasing pulse frequency, indicating the enhanced electron impact dissociation of C2H2 gas. With increasing pulse frequency, the deposition rate gradually increased, reaching a maximum rate of 60 nm/min at 200 kHz, after which a progressive decrease was noted, whereas the deposition area was almost uniform for all the prepared films. Clear trends of increasing sp3 content (amorphization) and decreasing hydrogen (H) content in the films were observed as the pulse repetition rate increased, while most of the hydrogen atoms bonded to carbon atoms by sp3 hybridization rather than by sp2 hybridization.

  17. Generation of InN nanocrystals in organic solution through laser ablation of high pressure chemical vapor deposition-grown InN thin film

    International Nuclear Information System (INIS)

    Alkis, Sabri; Alevli, Mustafa; Burzhuev, Salamat; Vural, Hüseyin Avni; Okyay, Ali Kemal; Ortaç, Bülend

    2012-01-01

    We report the synthesis of colloidal InN nanocrystals (InN-NCs) in organic solution through nanosecond pulsed laser ablation of high pressure chemical vapor deposition-grown InN thin film on GaN/sapphire template substrate. The size, the structural, the optical, and the chemical characteristics of InN-NCs demonstrate that the colloidal InN crystalline nanostructures in ethanol are synthesized with spherical shape within 5.9–25.3, 5.45–34.8, 3.24–36 nm particle-size distributions, increasing the pulse energy value. The colloidal InN-NCs solutions present strong absorption edge tailoring from NIR region to UV region.

  18. Capillary-discharge-based portable detector for chemical vapor monitoring

    International Nuclear Information System (INIS)

    Duan Yixiang; Su Yongxuan; Jin Zhe

    2003-01-01

    Conventional portable instruments for sensing chemical vapors have certain limitations for on-site use. In this article, we develop a genuinely portable detector that is sensitive, powerful, rugged, of simple design, and with very low power needs. Such a detector is based on a dry-cell battery-powered, capillary-discharge-based, microplasma source with optical emission detection. The microscale plasma source has very special features such as low thermal temperature and very low power needs. These features make it possible for the plasma source to be powered with a small dry-cell battery. A specially designed discharge chamber with minielectrodes can be configured to enhance the plasma stability and the system performance. A very small amount of inert gas can be used as sample carrier and plasma supporting gas. Inert gases possess high excitation potentials and produce high-energy metastable particles in the plasma. These particles provide sufficient energy to excite chemical species through Penning ionization and/or energy transfer from metastable species. A molecular emission spectrum can be collected with a palm-sized spectrometer through a collimated optical fiber. The spectrum can be displayed on a notebook computer. With this design and arrangement, the new detector provides high sensitivity for organic chemical species. The advantages and features of the newly developed detector include high sensitivity, simple structure, low cost, universal response, very low power consumption, compact volume with field portable capability, and ease of operation

  19. A systematic study of atmospheric pressure chemical vapor deposition growth of large-area monolayer graphene.

    Science.gov (United States)

    Liu, Lixin; Zhou, Hailong; Cheng, Rui; Chen, Yu; Lin, Yung-Chen; Qu, Yongquan; Bai, Jingwei; Ivanov, Ivan A; Liu, Gang; Huang, Yu; Duan, Xiangfeng

    2012-01-28

    Graphene has attracted considerable interest as a potential material for future electronics. Although mechanical peel is known to produce high quality graphene flakes, practical applications require continuous graphene layers over a large area. The catalyst-assisted chemical vapor deposition (CVD) is a promising synthetic method to deliver wafer-sized graphene. Here we present a systematic study on the nucleation and growth of crystallized graphene domains in an atmospheric pressure chemical vapor deposition (APCVD) process. Parametric studies show that the mean size of the graphene domains increases with increasing growth temperature and CH 4 partial pressure, while the density of domains decreases with increasing growth temperature and is independent of the CH 4 partial pressure. Our studies show that nucleation of graphene domains on copper substrate is highly dependent on the initial annealing temperature. A two-step synthetic process with higher initial annealing temperature but lower growth temperature is developed to reduce domain density and achieve high quality full-surface coverage of monolayer graphene films. Electrical transport measurements demonstrate that the resulting graphene exhibits a high carrier mobility of up to 3000 cm 2 V -1 s -1 at room temperature.

  20. Switchable Adhesion in Vacuum Using Bio-Inspired Dry Adhesives.

    Science.gov (United States)

    Purtov, Julia; Frensemeier, Mareike; Kroner, Elmar

    2015-11-04

    Suction based attachment systems for pick and place handling of fragile objects like glass plates or optical lenses are energy-consuming and noisy and fail at reduced air pressure, which is essential, e.g., in chemical and physical vapor deposition processes. Recently, an alternative approach toward reversible adhesion of sensitive objects based on bioinspired dry adhesive structures has emerged. There, the switching in adhesion is achieved by a reversible buckling of adhesive pillar structures. In this study, we demonstrate that these adhesives are capable of switching adhesion not only in ambient air conditions but also in vacuum. Our bioinspired patterned adhesive with an area of 1 cm(2) provided an adhesion force of 2.6 N ± 0.2 N in air, which was reduced to 1.9 N ± 0.2 N if measured in vacuum. Detachment was induced by buckling of the structures due to a high compressive preload and occurred, independent of air pressure, at approximately 0.9 N ± 0.1 N. The switch in adhesion was observed at a compressive preload between 5.6 and 6.0 N and was independent of air pressure. The difference between maximum adhesion force and adhesion force after buckling gives a reasonable window of operation for pick and place processes. High reversibility of the switching behavior is shown over 50 cycles in air and in vacuum, making the bioinspired switchable adhesive applicable for handling operations of fragile objects.

  1. Evaluation of Chemical Warfare Agent Percutaneous Vapor Toxicity: Derivation of Toxicity Guidelines for Assessing Chemical Protective Ensembles.

    Energy Technology Data Exchange (ETDEWEB)

    Watson, A.P.

    2003-07-24

    Percutaneous vapor toxicity guidelines are provided for assessment and selection of chemical protective ensembles (CPEs) to be used by civilian and military first responders operating in a chemical warfare agent vapor environment. The agents evaluated include the G-series and VX nerve agents, the vesicant sulfur mustard (agent HD) and, to a lesser extent, the vesicant Lewisite (agent L). The focus of this evaluation is percutaneous vapor permeation of CPEs and the resulting skin absorption, as inhalation and ocular exposures are assumed to be largely eliminated through use of SCBA and full-face protective masks. Selection of appropriately protective CPE designs and materials incorporates a variety of test parameters to ensure operability, practicality, and adequacy. One aspect of adequacy assessment should be based on systems tests, which focus on effective protection of the most vulnerable body regions (e.g., the groin area), as identified in this analysis. The toxicity range of agent-specific cumulative exposures (Cts) derived in this analysis can be used as decision guidelines for CPE acceptance, in conjunction with weighting consideration towards more susceptible body regions. This toxicity range is bounded by the percutaneous vapor estimated minimal effect (EME{sub pv}) Ct (as the lower end) and the 1% population threshold effect (ECt{sub 01}) estimate. Assumptions of exposure duration used in CPE certification should consider that each agent-specific percutaneous vapor cumulative exposure Ct for a given endpoint is a constant for exposure durations between 30 min and 2 hours.

  2. Comparative X-ray photoelectron spectroscopy study of plasma enhanced chemical vapor deposition and micro pressure chemical vapor deposition of phosphorus silicate glass layers after rapid thermal annealing

    International Nuclear Information System (INIS)

    Beshkov, G.; Krastev, V.; Gogova, D.; Talik, E.; Adamies, M.

    2008-01-01

    In this paper the bonding state of Phosphorus Silicate Glass (PSG) layers obtained by two different technological approaches, i.e. in two types of reactors: Plasma Enhanced Chemical Vapor Deposition (PECVD) and Micro Pressure Chemical Vapor Deposition (MPCVD) are investigated employing XPS and AES. The PSG layers are deposited at 380 0 C and 420 0 C in corresponding reactors. XPS and AES analyses show that Si2p peak recorded from PECVD layers are not as expected at their position characteristics of silicon dioxide but instead they are at the characteristic of elemental silicon. Plasma enhancement during deposition leads to less oxidized and more inhomogeneous layer. After rapid thermal annealing the Si2p peak is situated at position characteristic of silicon dioxide. (authors)

  3. Phase Equilibrium of TiO2 Nanocrystals in Flame-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Liu, Changran; Camacho, Joaquin; Wang, Hai

    2018-01-19

    Nano-scale titanium oxide (TiO 2 ) is a material useful for a wide range of applications. In a previous study, we showed that TiO 2 nanoparticles of both rutile and anatase crystal phases could be synthesized over the size range of 5 to 20 nm in flame-assisted chemical vapor deposition. Rutile was unexpectedly dominant in oxygen-lean synthesis conditions, whereas anatase is the preferred phase in oxygen-rich gases. The observation is in contrast to the 14 nm rutile-anatase crossover size derived from the existing crystal-phase equilibrium model. In the present work, we made additional measurements over a wider range of synthesis conditions; the results confirm the earlier observations. We propose an improved model for the surface energy that considers the role of oxygen desorption at high temperatures. The model successfully explains the observations made in the current and previous work. The current results provide a useful path to designing flame-assisted chemical vapor deposition of TiO 2 nanocrystals with controllable crystal phases. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. An alternative non-vacuum and low cost ESAVD method for the deposition of Cu(In,Ga)Se{sub 2} absorber layers

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Mingqing; Liu, Junpeng; Choy, KwangLeong [UCL Centre for Materials Discovery, University College London (United Kingdom); Hou, Xianghui [Faculty of Engineering, University of Nottingham (United Kingdom); Gibson, Paul [IMPT Ltd, Nottingham (United Kingdom); Salem, Elhamali; Koutsogeorgis, Demosthenes; Cranton, Wayne [School of Science and Technology, Nottingham Trent University (United Kingdom)

    2015-01-01

    In this article, an environmentally friendly and non-vacuum electrostatic spray assisted vapor deposition (ESAVD) process has been developed as an alternative and low cost method to deposit CIGS absorber layers. ESAVD is a non-vacuum chemical vapor deposition based process whereby a mixture of chemical precursors is atomized to form aerosol. The aerosol is charged and directed towards a heated substrate where it would undergo decomposition and chemical reaction to deposit a stable solid film onto the substrate. A sol containing copper, indium, and gallium salts, as well as thiourea was formulated into a homogeneous chemical precursor mixture for the deposition of CIGS films. After selenization, both XRD and Raman results show the presence of the characteristic peaks of CIGSSe in the fabricated thin films. From SEM images and XRF results, it can be seen that the deposited absorbers are promising for good performance solar cells. The fabricated solar cell with a typical structure of glass/Mo/CIGSSe/CdS/i-ZnO/ITO shows efficiency of 2.82% under 100 mW cm{sup -2} AM1.5 illumination. (copyright 2015 WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  5. Synthesis and characterization of carbon nanofilms for chemical sensing

    Science.gov (United States)

    Kumar, Vivek

    Carbon nanofilms obtained by high temperature graphitization of diamond surface in inert atmospheres or vacuum are modified by treatment in plasma of different precursor gases. At temperatures above 1000 °C, a stable conductive film of thickness between 10 - 100 nm and specific resistivity 10-3-10-4 Ωm, depending upon the heating conditions and the growth atmosphere, is formed on diamond surface. A gray, thin film of high surface resistivity is obtained in high vacuum, while at low vacuum (below 10-4 mbar), a thick black film of low surface resistivity forms. It is observed that the exposure to plasma reduces the surface conductance of carbon nanofilms as result of a partial removal of carbon and the plasma-stimulated amorphization. The rate of the reduction of conductance and hence the etching ability of plasma depends on the type of precursor gas. Hydrogen reveals the strongest etching ability, followed by oxygen and argon, whereas SF6 is ineffective. The carbon nanofilms show significant sensitivity of their electrical conductance to temperature and exposure to the vapors of common organic compounds. The oxygen plasma treated films exhibit selective response to acetone and water vapors. The fast response and recovery of the conductance are the features of the carbon nanofilms. The plasma-treated carbon nanofilm on graphitized diamond surface is discussed as a promising sensing material for development of all-carbon chemical sensors, which may be suitable for biological and medical applications. An alternative approach of fabrication of temperature and chemical sensitive carbon nanofilms on insulating substrates is proposed. The films are obtained by direct deposition of sputtered carbon on highly polished quartz substrates followed by subsequent annealing at temperatures above 400 °C. It is observed that the as-deposited films are essentially amorphous, while the heating induces irreversible structural ordering and gradual conversion of amorphous carbon in

  6. Core-shell SrTiO3/graphene structure by chemical vapor deposition for enhanced photocatalytic performance

    Science.gov (United States)

    He, Chenye; Bu, Xiuming; Yang, Siwei; He, Peng; Ding, Guqiao; Xie, Xiaoming

    2018-04-01

    Direct growth of high quality graphene on the surface of SrTiO3 (STO) was realized through chemical vapor deposition (CVD), to construct few-layer 'graphene shell' on every STO nanoparticle. The STO/graphene composite shows significantly enhanced UV light photocatalytic activity compared with the STO/rGO reference. Mechanism analysis confirms the role of special core-shell structure and chemical bond (Tisbnd C) for rapid interfacial electron transfer and effective electron-hole separation.

  7. Application of high power microwave vacuum electron devices

    International Nuclear Information System (INIS)

    Ding Yaogen; Liu Pukun; Zhang Zhaochuan; Wang Yong; Shen Bin

    2011-01-01

    High power microwave vacuum electron devices can work at high frequency, high peak and average power. They have been widely used in military and civil microwave electron systems, such as radar, communication,countermeasure, TV broadcast, particle accelerators, plasma heating devices of fusion, microwave sensing and microwave heating. In scientific research, high power microwave vacuum electron devices are used mainly on high energy particle accelerator and fusion research. The devices include high peak power klystron, CW and long pulse high power klystron, multi-beam klystron,and high power gyrotron. In national economy, high power microwave vacuum electron devices are used mainly on weather and navigation radar, medical and radiation accelerator, TV broadcast and communication system. The devices include high power pulse and CW klystron, extended interaction klystron, traveling wave tube (TWT), magnetron and induced output tube (IOT). The state of art, common technology problems and trends of high power microwave vacuum electron devices are introduced in this paper. (authors)

  8. Metal–organic covalent network chemical vapor deposition for gas separation

    NARCIS (Netherlands)

    Boscher, N.D.; Wang, M.; Perrotta, A.; Heinze, K.; Creatore, A.; Gleason, K.K.

    2016-01-01

    The chemical vapor deposition (CVD) polymerization of metalloporphyrin building units is demonstrated to provide an easily up-scalable one-step method toward the deposition of a new class of dense and defect-free metal–organic covalent network (MOCN) layers. The resulting hyper-thin and flexible

  9. Chemical vapor deposition: A technique for applying protective coatings

    Energy Technology Data Exchange (ETDEWEB)

    Wallace, T.C. Sr.; Bowman, M.G.

    1979-01-01

    Chemical vapor deposition is discussed as a technique for applying coatings for materials protection in energy systems. The fundamentals of the process are emphasized in order to establish a basis for understanding the relative advantages and limitations of the technique. Several examples of the successful application of CVD coating are described. 31 refs., and 18 figs.

  10. Growth graphene on silver-copper nanoparticles by chemical vapor deposition for high-performance surface-enhanced Raman scattering

    Science.gov (United States)

    Zhang, Xiumei; Xu, Shicai; Jiang, Shouzhen; Wang, Jihua; Wei, Jie; Xu, Shida; Gao, Shoubao; Liu, Hanping; Qiu, Hengwei; Li, Zhen; Liu, Huilan; Li, Zhenhua; Li, Hongsheng

    2015-10-01

    We present a graphene/silver-copper nanoparticle hybrid system (G/SCNPs) to be used as a high-performance surface-enhanced Raman scattering (SERS) substrate. The silver-copper nanoparticles wrapped by a monolayer graphene layer are directly synthesized on SiO2/Si substrate by chemical vapor deposition in a mixture of methane and hydrogen. The G/SCNPs shows excellent SERS enhancement activity and high reproducibility. The minimum detected concentration of R6G is as low as 10-10 M and the calibration curve shows a good linear response from 10-6 to 10-10 M. The date fluctuations from 20 positions of one SERS substrate are less than 8% and from 20 different substrates are less than 10%. The high reproducibility of the enhanced Raman signals could be due to the presence of an ultrathin graphene layer and uniform morphology of silver-copper nanoparticles. The use of G/SCNPs for detection of nucleosides extracted from human urine demonstrates great potential for the practical applications on a variety of detection in medicine and biotechnology field.

  11. TVA - Thermionic Vacuum Arc - A new type of discharge generating pure metal vapor plasma

    International Nuclear Information System (INIS)

    Musa, G.; Popescu, A.; Mustata, I.; Borcoman, I.; Cretu, M.; Leu, G.F.; Salambas, A.; Ehrich, H.; Schumann, I.

    1996-01-01

    In this paper it is presented a new type of discharge in vacuum conditions generating pure metal vapor plasma with energetic metal ions content. The peculiarities of this heated cathode discharge are described and the dependence of the measured ion energy of the working parameters are established. The ion energy value can be easily and smoothly changed. A nearly linear dependence between energy of ions and arc voltage drop has been observed. The ion energy can be increased by the increase of the interelectrode distance, decrease of cathode temperature, change of the relative position of the electrodes and by the decrease of the arc discharge current. A special configuration with cylindrical geometry has been used to develop a small size and compact metal vapour plasma gun. Due to the mentioned peculiarities, this discharge offers new openings for important applications. (author)

  12. Paraffin/expanded graphite phase change composites with enhanced thermal conductivity prepared by implanted β-SiC nanowires with chemical vapor deposition method

    Science.gov (United States)

    Yin, Zhaoyu; Zhang, Xiaoguang; Huang, Zhaohui; Liu, Silin; Zhang, Weiyi; Liu, Yan'gai; Wu, Xiaowen; Fang, Minghao; Min, Xin

    2018-02-01

    Expanded graphite/β-SiC nanowires composites (ESNC) were prepared through chemical vapor deposition, and paraffin/expanded graphite/β-SiC nanowires composites (PESNC) were made through vacuum impregnation to overcome liquid leakage during phase transition and enhance the thermal conductivity of paraffin. Fourier transform infrared spectroscopy showed no chemical interactions between the paraffin and ESNC. Differential scanning calorimetry estimated the temperature and latent heat of PESNC during melting to 45.73 °C and 124.31 J g-1, respectively. The respective values of these quantities during freezing were recorded as 48.93 °C and 124.14 J g-1. The thermal conductivity of PESNC was estimated to 0.75 W mK-1, which was 3.26-folds that of pure paraffin (0.23 W mK-1). PESNC perfectly maintained its phase transition after 200 melting-freezing cycles. The resulting ideal thermal conductivity, good chemical stability, thermal properties and thermal reliability of PESNC are promising for use in energy efficient buildings and solar energy systems.

  13. Effect of precursor supply on structural and morphological characteristics of fe nanomaterials synthesized via chemical vapor condensation method.

    Science.gov (United States)

    Ha, Jong-Keun; Ahn, Hyo-Jun; Kim, Ki-Won; Nam, Tae-Hyun; Cho, Kwon-Koo

    2012-01-01

    Various physical, chemical and mechanical methods, such as inert gas condensation, chemical vapor condensation, sol-gel, pulsed wire evaporation, evaporation technique, and mechanical alloying, have been used to synthesize nanoparticles. Among them, chemical vapor condensation (CVC) has the benefit of its applicability to almost all materials because a wide range of precursors are available for large-scale production with a non-agglomerated state. In this work, Fe nanoparticles and nanowires were synthesized by chemical vapor condensation method using iron pentacarbonyl (Fe(CO)5) as the precursor. The effect of processing parameters on the microstructure, size and morphology of Fe nanoparticles and nanowires were studied. In particular, we investigated close correlation of size and morphology of Fe nanoparticles and nanowires with atomic quantity of inflow precursor into the electric furnace as the quantitative analysis. The atomic quantity was calculated by Boyle's ideal gas law. The Fe nanoparticles and nanowires with various diameter and morphology have successfully been synthesized by the chemical vapor condensation method.

  14. Tandem solar cells deposited using hot-wire chemical vapor deposition

    NARCIS (Netherlands)

    Veen, M.K. van

    2003-01-01

    In this thesis, the application of the hot-wire chemical vapor deposition (HWCVD) technique for the deposition of silicon thin films is described. The HWCVD technique is based on the dissociation of silicon-containing gasses at the catalytic surface of a hot filament. Advantages of this technique

  15. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    Science.gov (United States)

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  16. Growth and characterization of Bi2Se3 crystals by chemical vapor transport

    Directory of Open Access Journals (Sweden)

    W. H. Jiao

    2012-06-01

    Full Text Available Regularly-shaped high-quality Bi2Se3 crystals were grown by a chemical vapor transport using iodine as the transport agent. In addition to exhibiting a characteristic Dirac cone for a topological insulator, the Bi2Se3 crystals show some outstanding properties including additional crystallographic surfaces, large residual resistance ratio (∼10, and high mobility (∼8000 cm2·V−1·s−1. The low-temperature resistivity abnormally increases with applying pressures up to 1.7 GPa, and no superconductivity was observed down to 0.4 K.

  17. Long-term stable water vapor permeation barrier properties of SiN/SiCN/SiN nanolaminated multilayers grown by plasma-enhanced chemical vapor deposition at extremely low pressures

    International Nuclear Information System (INIS)

    Choi, Bum Ho; Lee, Jong Ho

    2014-01-01

    We investigated the water vapor permeation barrier properties of 30-nm-thick SiN/SiCN/SiN nanolaminated multilayer structures grown by plasma enhanced chemical vapor deposition at 7 mTorr. The derived water vapor transmission rate was 1.12 × 10 −6 g/(m 2 day) at 85 °C and 85% relative humidity, and this value was maintained up to 15 000 h of aging time. The X-ray diffraction patterns revealed that the nanolaminated film was composed of an amorphous phase. A mixed phase was observed upon performing high resolution transmission electron microscope analysis, which indicated that a thermodynamically stable structure was formed. It was revealed amorphous SiN/SiCN/SiN multilayer structures that are free from intermixed interface defects effectively block water vapor permeation into active layer

  18. Chemical vapor deposition of aluminide coatings on iron, nickel and superalloys

    International Nuclear Information System (INIS)

    John, John T.; De, P.K.; Dubey, Vivekanand; Srinivasa, Raman

    2009-08-01

    Aluminide coatings are a class of intermetallic coatings applied on nickel and cobalt base superalloys and steels to protect them from different forms of environmental degradation at high temperatures. In this report a CVD system that can produce the aluminide coatings on iron, nickel and nickel base alloys has been described and the result of chemical vapor deposition of aluminide coatings on iron specimens, their characterization, and property evaluation have been presented. The CVD system consists of an AlCl 3 bath, a stainless steel retort as a hot-wall reacto, cold traps and vacuum system. Aluminium chloride vapor was carried in a stream of hydrogen gas at a flow rate of 150 SCCM (standard cubic centimeter per minute) into the CVD reactor maintained in the temperature range of 1173 - 1373 K and at a pressure of 1.33 kPa (10 Torr). Aluminum deposition takes place from aluminium subchlorides produced by reaction between AlCl 3 and pure aluminum kept in the CVD reactor. The aluminum diffuses into the iron samples and iron aluminide phases are formed at the surface. The coatings were shining bright and showed good adherence to the substrate. The coatings consisted of FeAl phase over a wide range of experimental conditions. The growth kinetics of the coating followed a parabolic rate law and the mean activation energy was 212 ±16 kJ/mol. Optical microscopic studies on the transverse section of the coating showed that the aluminide coating on iron consisted of two layers. The top layer had a thickness in the range of 20-50 μm, and the under layer had thickness ranging from 35 to 250 μm depending on coating temperature in two hours. The thickness of the aluminide layer increased with coating duration and temperature. Electron microprobe studies (EPMA) showed that the aluminum concentration decreased steadily as distance from the surface increased. TEM studies showed that the outer most layer had a B2 order (of the FeAl phase), which extended even into the under

  19. Aromatic chemicals by iron-catalyzed hydrotreatment of lignin pyrolysis vapor.

    Science.gov (United States)

    Olcese, Roberto Nicolas; Lardier, George; Bettahar, Mohammed; Ghanbaja, Jaafar; Fontana, Sébastien; Carré, Vincent; Aubriet, Frédéric; Petitjean, Dominique; Dufour, Anthony

    2013-08-01

    Lignin is a potential renewable material for the production of bio-sourced aromatic chemicals. We present the first hydrotreatment of lignin pyrolysis vapors, before any condensation, using inexpensive and sustainable iron-silica (Fe/SiO2 ) and iron-activated carbon (Fe/AC) catalysts. Lignin pyrolysis was conducted in a tubular reactor and vapors were injected in a fixed bed of catalysts (673 K, 1 bar) with stacks to investigate the profile of coke deposit. More than 170 GC-analyzable compounds were identified by GCxGC (heart cutting)/flame ionization detector mass spectrometry. Lignin oligomers were analyzed by very high resolution mass spectrometry, called the "petroleomic" method. They are trapped by the catalytic fixed bed and, in particular, by the AC. The catalysts showed a good selectivity for the hydrodeoxygenation of real lignin vapors to benzene, toluene, xylenes, phenol, cresols, and alkyl phenols. The spent catalysts were characterized by temperature-programmed oxidation, transmission electron microscopy (TEM), and N2 sorption. Micropores in the Fe/AC catalyst are completely plugged by coke deposits, whereas the mesoporous structure of Fe/SiO2 is unaffected. TEM images reveal two different types of coke deposit: 1) catalytic coke deposited in the vicinity of iron particles and 2) thermal coke (carbonaceous particles ≈1 μm in diameter) formed from the gas-phase growth of lignin oligomers. Copyright © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  20. Oxygen Barrier Coating Deposited by Novel Plasma-enhanced Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Jiang, Juan; Benter, M.; Taboryski, Rafael Jozef

    2010-01-01

    We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source. This confi......We report the use of a novel plasma-enhanced chemical vapor deposition chamber with coaxial electrode geometry for the SiOx deposition. This novel plasma setup exploits the diffusion of electrons through the inner most electrode to the interior samples space as the major energy source...... effect of single-layer coatings deposited under different reaction conditions was studied. The coating thickness and the carbon content in the coatings were found to be the critical parameters for the barrier property. The novel barrier coating was applied on different polymeric materials...

  1. The AGS Booster vacuum systems

    International Nuclear Information System (INIS)

    Hseuh, H.C.

    1989-01-01

    The AGS Booster is a synchrotron for the acceleration of both protons and heavy ions. The design pressure of low 10 -11 mbar is required to minimize beam loss of the partially stripped heavy ions. To remove contaminants and to reduce outgassing, the vacuum chambers and the components located in them will be chemically cleaned, vacuum fired, baked then treated with nitric oxide. The vacuum sector will be insitu baked to a minimum of 200 degree C and pumped by the combination of sputter ion pumps and titanium sublimation pumps. This paper describes the design and the processing of this ultra high vacuum system, and the performance of some half-cell vacuum chambers. 9 refs., 7 figs

  2. Ultra-high vacuum technology for accelerators

    CERN Multimedia

    CERN. Geneva. Audiovisual Unit; Hilleret, Noël; Strubin, Pierre M

    2002-01-01

    The lectures will start with a review of the basics of vacuum physics required to build Ultra High Vacuum (UHV) systems, such as static and dynamic outgassing. Before reviewing the various pumping and measurement devices, including the most modern one like Non Evaporable Getter (NEG) coatings, an overview of adequate materials to be used in UHV systems will be given together with their treatment (e.g. cleaning procedures and bake out). Practical examples based on existing or future accelerators will be used to illustrate the topics. Finally, a short overview of modern vacuum controls and interlocks will be given.

  3. Stability time of a DT-filled cryogenic ICF target in a high vacuum environment

    International Nuclear Information System (INIS)

    Ebey, P.S.; Hoffer, J.K.

    1998-01-01

    Following the successful pressure loading with DT of a thin-walled plastic inertial fusion target shell (such as those designed for use at the OMEGA facility at the University of Rochester's Laboratory for Laser Energetics (UR/LLE)), continual care must be taken to safeguard the shell from being exposed to unacceptable pressure differentials across its wall. In particular, once the DT has been condensed into a liquid or solid phase and the outside pressure has been reduced, the target must be maintained below some upper cutoff temperature such that the vapor pressure of the DT is below the bursting pressure for the shell. Through the process of β-decay the DT self-heats, but while the shell is in a high vacuum environment (P much-lt 0.8 Pa (6 mtorr) for the OMEGA layering sphere) there is only a negligible heat loss mechanism. This will cause the temperature to increase. A calculation has been done to estimate the rate of temperature increase of the loaded target under high vacuum conditions. A functional form for calculating the target's temperature increase given its starting temperature is presented. An overall result is that under high vacuum conditions the DT changes from a solid at 10 K to a liquid at 37 K (T c = 39.4 K) in about 19 minutes. This holding time is significantly less if the initial temperature is higher, the initial state is liquid, or the upper allowed temperature is lower. Simplifying assumptions which were made and their impact on interpreting the results of this calculation are discussed

  4. Vacuum Enhanced X-Ray Florescent Scanner Allows On-The-Spot Chemical Analysis

    Science.gov (United States)

    2004-01-01

    Marshall Space Flight Center engineers have teamed with KeyMaster Technologies, Kennewick, Washington, to develop a portable vacuum analyzer that performs on-the-spot chemical analyses under field conditions, a task previously only possible in a chemical laboratory. The new capability is important not only to the aerospace industry, but holds potential for broad applications in any industry that depends on materials analysis, such as the automotive and pharmaceutical industries. Weighing in at a mere 4 pounds, the newly developed handheld vacuum X-ray fluorescent analyzer can identify and characterize a wide range of elements, and is capable of detecting chemical elements with low atomic numbers, such as sodium, aluminum and silicon. It is the only handheld product on the market with that capability. Aluminum alloy verification is of particular interest to NASA because vast amounts of high-strength aluminum alloys are used in the Space Shuttle propulsion system such as the External Tank, Main Engine, and Solid Rocket Boosters. This capability promises to be a boom to the aerospace community because of unique requirements, for instance, the need to analyze Space Shuttle propulsion systems on the launch pad. Those systems provide the awe-inspiring rocket power that propels the Space Shuttle from Earth into orbit in mere minutes. The scanner development also marks a major improvement in the quality assurance field, because screws, nuts, bolts, fasteners, and other items can now be evaluated upon receipt and rejected if found to be substandard. The same holds true for aluminum weld rods. The ability to validate the integrity of raw materials and partially finished products before adding value to them in the manufacturing process will be of benefit not only to businesses, but also to the consumer, who will have access to a higher value product at a cheaper price. Three vacuum X-ray scanners are already being used in the Space Shuttle Program. The External Tank Project

  5. Microspectroscopic imaging of solution plasma: How do its physical properties and chemical species evolve in atmospheric-pressure water vapor bubbles?

    Science.gov (United States)

    Yui, Hiroharu; Banno, Motohiro

    2018-01-01

    In this article, we review the development of scientific instruments for obtaining information on the evolution of physical properties and chemical species of solution plasma (SP). When a pulsed high voltage is applied between electrodes immersed in an aqueous solution, SP is formed in water vapor bubbles transiently generated in the solution under atmospheric pressure. To clarify how SP emerges in water vapor bubbles and is sustained in solutions, an instrument with micrometer spatial resolution and nanosecond temporal resolution is required. To meet these requirements, a microscopic system with a custom-made optical discharge cell was newly developed, where the working distance between the SP and the microscopic objective lens was minimized. A hollow electrode equipped in the discharge cell also enabled us to control the chemical composition in water vapor bubbles. To study the spatial and temporal evolutions of chemical species in micrometer and nano- to microsecond regions, a streak camera with a spectrometer and a CCD detector with a time-gated electronic device were combined with the microscope system. The developed instrument is expected to contribute to providing a new means of developing new schemes for chemical reactions and material syntheses.

  6. Understanding the reaction kinetics to optimize graphene growth on Cu by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kraus, Juergen; Boebel, Lena; Zwaschka, Gregor; Guenther, Sebastian [Technische Universitaet Muenchen, Zentralinstitut fuer Katalyseforschung, Chemie Department, Physikalische Chemie mit Schwerpunkt Katalyse, Garching (Germany)

    2017-11-15

    Understanding and controlling the growth kinetics of graphene is a prerequisite to synthesize this highly wanted material by chemical vapor deposition on Cu, e.g. for the construction of ultra-stable electron transparent membranes. It is reviewed that Cu foils contain a considerable amount of carbon in the bulk which significantly exceeds the expected amount of thermally equilibrated dissolved carbon in Cu and that this carbon must be removed before any high quality graphene may be grown. Starting with such conditioned Cu foils, systematic studies of the graphene growth kinetics in a reactive CH{sub 4}/H{sub 2} atmosphere allow to extract the following meaningful data: prediction of the equilibrium constant of the graphene formation reaction within a precision of a factor of two, the confirmation that the graphene growth proceeds from a C(ad)-phase on Cu which is in thermal equilibrium with the reactive gas phase, its apparent activation barrier and finally the prediction of the achievable growth velocity of the growing graphene flakes during chemical vapor deposition. As a result of the performed study, growth parameters are identified for the synthesis of high quality monolayer graphene with single crystalline domains of 100-1000 μm in diameter within a reasonable growth time. (copyright 2017 by WILEY-VCH Verlag GmbH and Co. KGaA, Weinheim)

  7. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    Science.gov (United States)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Hatami, F.; Masselink, W. T.; Zhang, H.; Casalboni, M.

    2016-03-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N2) and in solvent vapours of methanol, clorophorm, acetone and water were measured. The presence of vapors of clorophorm, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed.

  8. Physico-chemical mechanism for the vapors sensitivity of photoluminescent InP quantum dots

    International Nuclear Information System (INIS)

    Prosposito, P.; De Angelis, R.; De Matteis, F.; Casalboni, M.; Hatami, F.; Masselink, W.T.; Zhang, H.

    2016-01-01

    InP/InGaP surface quantum dots are interesting materials for optical chemical sensors since they present an intense emission at room temperature, whose intensity changes rapidly and reversibly depending on the composition of the environmental atmosphere. We present here their emission properties by time resolved photoluminescence spectroscopy investigation and we discuss the physico-chemical mechanism behind their sensitivity to the surrounding atmosphere. Photoluminescence transients in inert atmosphere (N 2 ) and in solvent vapours of methanol, chloroform, acetone and water were measured. The presence of vapors of chloroform, acetone and water showed a very weak effect on the transient times, while an increase of up to 15% of the decay time was observed for methanol vapour exposure. On the basis of the vapor molecule nature (polarity, proticity, steric hindrance, etc.) and of the interaction of the vapor molecules with the quantum dots surface a sensing mechanism involving quantum dots non-radiative surface states is proposed. (paper)

  9. High-vacuum plasma pump

    International Nuclear Information System (INIS)

    Dorodnov, A.M.; Minajchev, V.E.; Miroshkin, S.I.

    1980-01-01

    The action of an electric-arc high-vacuum pump intended for evacuating the volumes in which the operation processes are followed by a high gas evolution is considered. The operation of the pump is based on the principle of controlling the getter feed according to the gas load and effect of plasma sorbtion pumping. The pump performances are given. The starting pressure is about 5 Pa, the limiting residual pressure is about 5x10 -6 Pa, the pumping out rate of nitrogen in the pressure range 5x10 -5 -5x10 -3 Pa accounts for about 4000 l/s, the power consumption comes to 6 kW. Analyzing the results of the test operation of the pump, it has been concluded that its principal advantages are the high starting pressure, controlled getter feed rate and possibility of pumping out the gases which are usually pumped out with difficulty. The operation reliability of the pump is defined mainly by reliable operation of the ignition system of the vacuum arc [ru

  10. Rapid Thermal Chemical Vapor Deposition for Dual-Gated Sub-100 nm MOSFET's

    National Research Council Canada - National Science Library

    Sturm, James

    2001-01-01

    ... (such as microprocessors and memory chips) is based. This project examines the scaling of MOSFET's to very small channel dimensions using a vertical structure which is defined by Rapid Thermal Chemical Vapor Deposition...

  11. Remote plasma-enhanced metalorganic chemical vapor deposition of aluminum oxide thin films

    NARCIS (Netherlands)

    Volintiru, I.; Creatore, M.; Hemmen, van J.L.; Sanden, van de M.C.M.

    2008-01-01

    Aluminum oxide films were deposited using remote plasma-enhanced metalorganic chemical vapor deposition from oxygen/trimethylaluminum mixtures. Initial studies by in situ spectroscopic ellipsometry demonstrated that the aluminum oxide films deposited at temperatures

  12. Vacuum-ultraviolet circular dichroism spectrophotometer using synchrotron radiation

    International Nuclear Information System (INIS)

    Matsuo, K.; Fukuyama, T.; Yonehara, R.; Namatame, H.; Taniguchi, M.; Gekko, K.

    2005-01-01

    We have constructed a vacuum-ultraviolet circular dichroism (VUVCD) spectrophotometer using a synchrotron radiation and an assembled-type MgF 2 cell endurable under a high vacuum, to measure the CD spectra of biomaterials in aqueous solutions from 310 to 140 nm. To avoid the absorption of light by air and water vapor, all optical devices of the spectrophotometer were set up under a high vacuum (10 -4 Pa). A path length of the optical cell can be adjusted by various Teflon spacers in the range from 1.3 to 50 μm and its temperature can be controlled to an accuracy of ±1 deg. C over the range from -30 to 70 deg. C by a temperature-control unit using a Peltier thermoelectric element. The performance of the spectrophotometer and the optical cell constructed was tested by measuring the CD spectra of ammonium d-camphor-10-sulfonate, D- and L-isomers of amino acids, and myoglobin in aqueous solutions. The spectra obtained demonstrate that the optical system and the sample cell constructed operate normally under a high vacuum and provide useful information on the structure of biomolecules based on the higher energy chromophores

  13. Pulsed vapor source for use in ion sources for heavy-ion accelerators

    International Nuclear Information System (INIS)

    Shiloh, J.; Chupp, W.; Faltens, A.; Keefe, D.; Kim, C.; Rosenblum, S.; Tiefenback, M.

    1980-01-01

    A pulsed cesium vapor source for use in ion sources for high-current heavy-ion accelerators is described. The source employs a vacuum spark in Cs and its properties are measured with a hot-filament Cs detector

  14. Reduced chemical warfare agent sorption in polyurethane-painted surfaces via plasma-enhanced chemical vapor deposition of perfluoroalkanes.

    Science.gov (United States)

    Gordon, Wesley O; Peterson, Gregory W; Durke, Erin M

    2015-04-01

    Perfluoralkalation via plasma chemical vapor deposition has been used to improve hydrophobicity of surfaces. We have investigated this technique to improve the resistance of commercial polyurethane coatings to chemicals, such as chemical warfare agents. The reported results indicate the surface treatment minimizes the spread of agent droplets and the sorption of agent into the coating. The improvement in resistance is likely due to reduction of the coating's surface free energy via fluorine incorporation, but may also have contributing effects from surface morphology changes. The data indicates that plasma-based surface modifications may have utility in improving chemical resistance of commercial coatings.

  15. Procurement specification high vacuum test chamber and pumping system

    International Nuclear Information System (INIS)

    1976-01-01

    The specification establishes requirements for a high-vacuum test chamber, associated vacuum pumps, valves, controls, and instrumentation that shall be designed and fabricated for use as a test chamber for testing a closed loop Brayton Isotope Power System (BIPS) Ground Demonstration System (GDS). The vacuum system shall include all instrumentation required for pressure measurement and control of the vacuum pumping system. A general outline of the BIPS-GDS in the vacuum chamber and the preliminary piping and instrumentation interface to the vacuum chamber are shown

  16. Graphene-Based Chemical Vapor Sensors for Electronic Nose Applications

    Science.gov (United States)

    Nallon, Eric C.

    An electronic nose (e-nose) is a biologically inspired device designed to mimic the operation of the olfactory system. The e-nose utilizes a chemical sensor array consisting of broadly responsive vapor sensors, whose combined response produces a unique pattern for a given compound or mixture. The sensor array is inspired by the biological function of the receptor neurons found in the human olfactory system, which are inherently cross-reactive and respond to many different compounds. The use of an e-nose is an attractive approach to predict unknown odors and is used in many fields for quantitative and qualitative analysis. If properly designed, an e-nose has the potential to adapt to new odors it was not originally designed for through laboratory training and algorithm updates. This would eliminate the lengthy and costly R&D costs associated with materiel and product development. Although e-nose technology has been around for over two decades, much research is still being undertaken in order to find new and more diverse types of sensors. Graphene is a single-layer, 2D material comprised of carbon atoms arranged in a hexagonal lattice, with extraordinary electrical, mechanical, thermal and optical properties due to its 2D, sp2-bonded structure. Graphene has much potential as a chemical sensing material due to its 2D structure, which provides a surface entirely exposed to its surrounding environment. In this configuration, every carbon atom in graphene is a surface atom, providing the greatest possible surface area per unit volume, so that electron transport is highly sensitive to adsorbed molecular species. Graphene has gained much attention since its discovery in 2004, but has not been realized in many commercial electronics. It has the potential to be a revolutionary material for use in chemical sensors due to its excellent conductivity, large surface area, low noise, and versatile surface for functionalization. In this work, graphene is incorporated into a

  17. The Studies of a Vacuum Gap Breakdown after High-Current Arc Interruption with Increasing the Voltage

    Science.gov (United States)

    Schneider, A. V.; Popov, S. A.; Batrakov, A. V.; Dubrovskaya, E. L.; Lavrinovich, V. A.

    2017-12-01

    Vacuum-gap breakdown has been studied after high-current arc interruption with a subsequent increase in the transient recovery voltage across a gap. The effects of factors, such as the rate of the rise in the transient voltage, the potential of the shield that surrounds a discharge gap, and the arc burning time, have been determined. It has been revealed that opening the contacts earlier leads to the formation of an anode spot, which is the source of electrode material vapors into the discharge gap after current zero moment. Under the conditions of increasing voltage, this fact results in the breakdown. Too late opening leads to the breakdown of a short gap due to the high electric fields.

  18. Room-temperature plasma-enhanced chemical vapor deposition of SiOCH films using tetraethoxysilane

    International Nuclear Information System (INIS)

    Yamaoka, K.; Yoshizako, Y.; Kato, H.; Tsukiyama, D.; Terai, Y.; Fujiwara, Y.

    2006-01-01

    Carbon-doped silicon oxide (SiOCH) thin films were deposited by room-temperature plasma-enhanced chemical vapor deposition (PECVD) using tetraethoxysilane (TEOS). The deposition rate and composition of the films strongly depended on radio frequency (RF) power. The films deposited at low RF power contained more CH n groups. The SiOCH films showed high etch rate and low refractive index in proportion to the carbon composition. The deposition with low plasma density and low substrate temperature is effective for SiOCH growth by PECVD using TEOS

  19. Reduced thermal budget processing of Y--Ba--Cu--O high temperature superconducting thin films by metalorganic chemical vapor deposition

    International Nuclear Information System (INIS)

    Singh, R.; Sinha, S.; Hsu, N.J.; Ng, J.T.C.; Chou, P.; Thakur, R.P.S.; Narayan, J.

    1991-01-01

    Metalorganic chemical vapor deposition (MOCVD) has the potential of emerging as a viable technique to fabricate ribbons, tapes, coated wires, and the deposition of films of high temperature superconductors, and related materials. As a reduced thermal budget processing technique, rapid isothermal processing (RIP) based on incoherent radiation as the source of energy can be usefully coupled to conventional MOCVD. In this paper we report on the deposition and characterization of high quality superconducting thin films of Y--Ba--Cu--O (YBCO) on MgO and SrTiO 3 substrates by RIP assisted MOCVD. By using a mixture of N 2 O and O 2 as the oxygen source films deposited initially at 600 degree C for 1 min and then at 740 degree C for 30 min are primarily c-axis oriented and with zero resistance being observed at 84 and 89 K for MgO and SrTiO 3 substrates, respectively. The zero magnetic field current densities at 77 K for MgO and SrTiO 3 substrates are 1.2x10 6 and 1.5x10 6 A/cm 2 , respectively. It is envisaged that high energy photons from the incoherent light source and the use of a mixture of N 2 O and O 2 as the oxygen source, assist chemical reactions and lower overall thermal budget for processing of these films

  20. Transforming a Simple Commercial Glue into Highly Robust Superhydrophobic Surfaces via Aerosol-Assisted Chemical Vapor Deposition.

    Science.gov (United States)

    Zhuang, Aoyun; Liao, Ruijin; Lu, Yao; Dixon, Sebastian C; Jiamprasertboon, Arreerat; Chen, Faze; Sathasivam, Sanjayan; Parkin, Ivan P; Carmalt, Claire J

    2017-12-06

    Robust superhydrophobic surfaces were synthesized as composites of the widely commercially available adhesives epoxy resin (EP) and polydimethylsiloxane (PDMS). The EP layer provided a strongly adhered micro/nanoscale structure on the substrates, while the PDMS was used as a post-treatment to lower the surface energy. In this study, the depositions of EP films were taken at a range of temperatures, deposition times, and substrates via aerosol-assisted chemical vapor deposition (AACVD). A novel dynamic deposition temperature approach was developed to create multiple-layered periodic micro/nanostructures that significantly improved the surface mechanical durability. Water droplet contact angles (CA) of 160° were observed with droplet sliding angles (SA) frequently UV testing (365 nm, 3.7 mW/cm 2 , 120 h) were carried out to exhibit the environmental stability of the films. Self-cleaning behavior was demonstrated in clearing the surfaces of various contaminating powders and aqueous dyes. This facile and flexible method for fabricating highly durable superhydrophobic polymer films points to a promising future for AACVD in their scalable and low-cost production.

  1. Gas analysis during the chemical vapor deposition of carbon

    International Nuclear Information System (INIS)

    Lieberman, M.L.; Noles, G.T.

    1973-01-01

    Gas chromatographic analyses were performed during the chemical vapor deposition of carbon in both isothermal and thermal gradient systems. Such data offer insight into the gas phase processes which occur during deposition and the interrelations which exist between gas composition, deposition rate, and resultant structure of the deposit. The results support a carbon CVD model presented previously. The application of chromatographic analysis to research, development, and full-scale facilities is shown. (U.S.)

  2. Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition

    Science.gov (United States)

    Lackey, Jr., Walter J.; Caputo, Anthony J.

    1986-01-01

    A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.

  3. A transparent vacuum window for high-intensity pulsed beams

    CERN Document Server

    Monteil, M; Veness, R

    2011-01-01

    The HiRadMat (High-Radiation to Materials) facility Ill will allow testing of accelerator components, in particular those of the Large Hadron Collider (LHC) at CERN, under the impact of high-intensity pulsed beams. To reach this intensity range, the beam will be focused on a focal point where the target to be tested is located. A 60 mm aperture vacuum window will separate the vacuum of the beam line which is kept under high vacuum 10(-8) mbar, from the test area which is at atmospheric pressure. This window has to resist collapse due to beam passage. The high-intensity of the beam means that typical materials used for standard vacuum windows (such as stainless steel, aluminium and titanium alloy) cannot endure the energy deposition induced by the beam passage. Therefore, a vacuum window has been designed to maintain the differential pressure whilst resisting collapse due to the beam impact on the window. In this paper, we will present calculations of the energy transfer from beam to window, the design of the ...

  4. Initiated chemical vapor deposition of pH responsive poly(2-diisopropylamino)ethyl methacrylate thin films

    Energy Technology Data Exchange (ETDEWEB)

    Karaman, Mustafa, E-mail: karamanm@selcuk.edu.tr [Department of Chemical Engineering, Selcuk University (Turkey); Advanced Technology Research and Application Center, Selcuk University (Turkey); Cabuk, Nihat [Department of Chemical Engineering, Selcuk University (Turkey)

    2012-08-31

    Poly(2-(diisopropylamino)ethyl methacrylate) (PDPAEMA) thin films were deposited on low temperature substrates by initiated chemical vapor deposition (iCVD) method using tertbutyl peroxide as an initiator. Very high deposition rates up to 38 nm/min were observed at low filament temperatures due to the use of the initiator. Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy show the formation of PDPAEMA films with high retention of tertiary amine functionality which is responsible for pH induced changes in the wetting behavior of the surfaces. As-deposited PDPAEMA thin films on flat Si surface showed a reversible switching of water contact angle values between 87 Degree-Sign and 28 Degree-Sign ; after successive treatments of high and low pH water solutions, respectively. Conformal and non-damaging nature of iCVD allowed to functionalize fragile and rough electrospun poly(methyl methacrylate) fiber mat surfaces by PDPAEMA, which creates a surface with a switching behavior between superhydrophobic and approaching superhydrophilic with contact angle values of 155 {+-} 3 Degree-Sign and 22 {+-} 5 Degree-Sign , respectively. - Highlights: Black-Right-Pointing-Pointer Poly(2-diisopropylaminoethyl methacrylate) thin films were deposited by a dry process. Black-Right-Pointing-Pointer Initiated chemical vapor deposition can produce thin films on fragile substrates. Black-Right-Pointing-Pointer We report a reversible pH-induced transition from hydrophilic to super-hydrophobic.

  5. Characterization of chemical agent transport in paints.

    Science.gov (United States)

    Willis, Matthew P; Gordon, Wesley; Lalain, Teri; Mantooth, Brent

    2013-09-15

    A combination of vacuum-based vapor emission measurements with a mass transport model was employed to determine the interaction of chemical warfare agents with various materials, including transport parameters of agents in paints. Accurate determination of mass transport parameters enables the simulation of the chemical agent distribution in a material for decontaminant performance modeling. The evaluation was performed with the chemical warfare agents bis(2-chloroethyl) sulfide (distilled mustard, known as the chemical warfare blister agent HD) and O-ethyl S-[2-(diisopropylamino)ethyl] methylphosphonothioate (VX), an organophosphate nerve agent, deposited on to two different types of polyurethane paint coatings. The results demonstrated alignment between the experimentally measured vapor emission flux and the predicted vapor flux. Mass transport modeling demonstrated rapid transport of VX into the coatings; VX penetrated through the aliphatic polyurethane-based coating (100 μm) within approximately 107 min. By comparison, while HD was more soluble in the coatings, the penetration depth in the coatings was approximately 2× lower than VX. Applications of mass transport parameters include the ability to predict agent uptake, and subsequent long-term vapor emission or contact transfer where the agent could present exposure risks. Additionally, these parameters and model enable the ability to perform decontamination modeling to predict how decontaminants remove agent from these materials. Published by Elsevier B.V.

  6. Synthesis of electro-active manganese oxide thin films by plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Merritt, Anna R. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States); Rajagopalan, Ramakrishnan [Department of Engineering, The Pennsylvania State University, Dubois, PA 15801 (United States); Materials Research Institute, The Pennsylvania State University, University Park, PA 16802 (United States); Carter, Joshua D. [Energetics Research Division, Naval Air Warfare Center Weapons Division, China Lake, CA 93555 (United States)

    2014-04-01

    The good stability, cyclability and high specific capacitance of manganese oxide (MnO{sub x}) has recently promoted a growing interest in utilizing MnO{sub x} in asymmetric supercapacitor electrodes. Several literature reports have indicated that thin film geometries of MnO{sub x} provide specific capacitances that are much higher than bulk MnO{sub x} powders. Plasma enhanced chemical vapor deposition (PECVD) is a versatile technique for the production of metal oxide thin films with high purity and controllable thickness. In this work, MnO{sub x} thin films deposited by PECVD from a methylcyclopentadienyl manganese tricarbonyl precursor are presented and the effect of processing conditions on the quality of MnO{sub x} films is described. The film purity and oxidation state of the MnO{sub x} films were studied by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy. Preliminary electrochemical testing of MnO{sub x} films deposited on carbon fiber electrodes in aqueous electrolytes indicates that the PECVD synthesized films are electrochemically active. - Highlights: • Plasma enhanced chemical vapor deposition of manganese oxide thin films. • Higher plasma power and chamber pressure increase deposition rate. • Manganese oxide thin films are electrochemically active. • Best electrochemical performance observed for pure film with low stress • Lower capacitance observed at higher scan rates despite thin film geometry.

  7. Runaway chemical reaction exposes community to highly toxic chemicals

    International Nuclear Information System (INIS)

    Kaszniak, Mark; Vorderbrueggen, John

    2008-01-01

    The U.S. Chemical Safety and Hazard Investigation Board (CSB) conducted a comprehensive investigation of a runaway chemical reaction at MFG Chemical (MFG) in Dalton, Georgia on April 12, 2004 that resulted in the uncontrolled release of a large quantity of highly toxic and flammable allyl alcohol and allyl chloride into the community. Five people were hospitalized and 154 people required decontamination and treatment for exposure to the chemicals. This included police officers attempting to evacuate the community and ambulance personnel who responded to 911 calls from residents exposed to the chemicals. This paper presents the findings of the CSB report (U.S. Chemical Safety and Hazard Investigation Board (CSB), Investigation Report: Toxic Chemical Vapor Cloud Release, Report No. 2004-09-I-GA, Washington DC, April 2006) including a discussion on tolling practices; scale-up of batch reaction processes; Process Safety Management (PSM) and Risk Management Plan (RMP) implementation; emergency planning by the company, county and the city; and emergency response and mitigation actions taken during the incident. The reactive chemical testing and atmospheric dispersion modeling conducted by CSB after the incident and recommendations adopted by the Board are also discussed

  8. Metalorganic chemical vapor deposition and characterization of ZnO materials

    Science.gov (United States)

    Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet

    2006-04-01

    Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.

  9. Van der Waals epitaxial growth of MoS2 on SiO2/Si by chemical vapor deposition

    KAUST Repository

    Cheng, Yingchun

    2013-01-01

    Recently, single layer MoS2 with a direct band gap of 1.9 eV has been proposed as a candidate for two dimensional nanoelectronic devices. However, the synthetic approach to obtain high-quality MoS2 atomic thin layers is still problematic. Spectroscopic and microscopic results reveal that both single layers and tetrahedral clusters of MoS2 are deposited directly on the SiO2/Si substrate by chemical vapor deposition. The tetrahedral clusters are mixtures of 2H- and 3R-MoS2. By ex situ optical analysis, both the single layers and tetrahedral clusters can be attributed to van der Waals epitaxial growth. Due to the similar layered structures we expect the same growth mechanism for other transition-metal disulfides by chemical vapor deposition. © 2013 The Royal Society of Chemistry.

  10. An Investigation on the Formation of Carbon Nanotubes by Two-Stage Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    M. S. Shamsudin

    2012-01-01

    Full Text Available High density of carbon nanotubes (CNTs has been synthesized from agricultural hydrocarbon: camphor oil using a one-hour synthesis time and a titanium dioxide sol gel catalyst. The pyrolysis temperature is studied in the range of 700–900°C at increments of 50°C. The synthesis process is done using a custom-made two-stage catalytic chemical vapor deposition apparatus. The CNT characteristics are investigated by field emission scanning electron microscopy and micro-Raman spectroscopy. The experimental results showed that structural properties of CNT are highly dependent on pyrolysis temperature changes.

  11. Physical Vapor Deposition of Thin Films

    Science.gov (United States)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  12. Achieving uniform layer deposition by atmospheric-pressure plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Jae-Ok [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Kang, Woo Seok, E-mail: kang@kimm.re.kr [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of); Hur, Min; Lee, Jin Young [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Song, Young-Hoon [Department of Plasma Engineering, Korea Institute of Machinery & Materials (KIMM), Daejeon 305-343 (Korea, Republic of); Department of Environment & Energy Mechanical Engineering, University of Science & Technology (UST), Daejeon 305-350 (Korea, Republic of)

    2015-12-31

    This work investigates the use of plasma-enhanced chemical vapor deposition under atmospheric pressure for achieving uniform layer formation. Electrical and optical measurements demonstrated that the counterbalance between oxygen and precursors maintained the homogeneous discharge mode, while creating intermediate species for layer deposition. Several steps of the deposition process of the layers, which were processed on a stationary stage, were affected by flow stream and precursor depletion. This study showed that by changing the flow streamlines using substrate stage motion uniform layer deposition under atmospheric pressure can be achieved. - Highlights: • Zirconium oxide was deposited by atmospheric-pressure plasma-enhanced chemical vapor deposition. • Homogeneous plasma was maintained by counterbalancing between discharge gas and precursors. • Several deposition steps were observed affected by the gas flow stream and precursor depletion. • Thin film layer was uniformly grown when the substrate underwent a sweeping motion.

  13. Inactivation of Escherichia coli on blueberries using cold plasma with chemical augmentation inside a partial vacuum

    Science.gov (United States)

    Justification: The mechanism by which cold plasma inactivates pathogens is through the production of free reactive chemical species. Unfortunately, the most reactive chemical species have the shortest half-life. In a vacuum their half-life is believed to be prolonged. Additionally, these reactive sp...

  14. Vacuum distilling vessel

    Energy Technology Data Exchange (ETDEWEB)

    Reik, H

    1928-12-27

    Vacuum distilling vessel for mineral oil and the like, characterized by the ring-form or polyconal stiffeners arranged inside, suitably eccentric to the casing, being held at a distance from the casing by connecting members of such a height that in the resulting space if necessary can be arranged vapor-distributing pipes and a complete removal of the residue is possible.

  15. Discharge modes at the anode of a vacuum arc

    International Nuclear Information System (INIS)

    Miller, H.C.

    1982-01-01

    The two most common anode modes in a vacuum arc are the low current mode, where the anode is basically inert; and the high current mode with a fully developed anode spot. This anode spot is very bright, has a temperature near the boiling point of the anode material, and is a copious source of vapor and energetic ions. However, other anode modes can exist. A low current vacuum arc with electrodes of readily sputterable material will emit a flux of sputtered atoms from the anode. An intermediate currents an anode footpoint can form. This footpoint is luminous, but much cooler than a true anode spot. Finally, a high current mode can exist where several small anode spots are present instead of a single large anode spot

  16. Vacuum evaporation of KCl-NaCl salts. Part 2: Vaporization-rate model and experimental results

    International Nuclear Information System (INIS)

    Wang, L.L.; Wallace, T.C. Sr.; Hampel, F.G.; Steele, J.H.

    1996-01-01

    Separation of chloride salts from the actinide residue by vacuum evaporation is a promising method of treating wastes from the pyrochemical plutonium processes. A model based on the Hertz-Langmuir relation is used to describe how evaporation rates of the binary KCl-NaCl system change with time. The effective evaporation coefficient (α), which is a ratio of the actual evaporation rate to the theoretical maximum, was obtained for the KCl-NaCl system using this model. In the temperature range of 640 C to 760 C, the effective evaporation coefficient ranges from ∼0.4 to 0.1 for evaporation experiments conducted at 0.13 Pa. At temperatures below the melting point, the lower evaporation coefficients are suggested to result from the more complex path that a molecule needs to follow before escaping to the gas phase. At the higher liquid temperatures, the decreasing evaporation coefficients result from a combination of the increasing vapor-flow resistances and the heat-transfer effects at the evaporation surface and the condensate layer. The microanalysis of the condensate verified that composition of the condensate changes with time, consistent with the model calculation. The microstructural examination revealed that the vaporate may have condensed as a single solution phase, which upon cooling forms fine lamellar structures of the equilibrium KCl and NaCl phases. In conclusion, the optimum design of the evaporation process and equipment must take the mass and heat transfer factors and equipment materials issues into consideration

  17. Chemical-vapor-infiltrated silicon nitride, boron nitride, and silicon carbide matrix composites

    International Nuclear Information System (INIS)

    Ventri, R.D.; Galasso, F.S.

    1990-01-01

    This paper reports composites of carbon/chemical-vapor-deposited (CVD) Si 3 N 4 , carbon/CVD BN, mullite/CVD SiC, and SiC yarn/CVD SiC prepared to determine if there were inherent toughness in these systems. The matrices were deposited at high enough temperatures to ensure that they were crystalline, which should make them more stable at high temperatures. The fiber-matrix bonding in the C/Si 3 N 4 composite appeared to be too strong; the layers of BN in the matrix of the C/BN were too weakly bonded; and the mullite/SiC composite was not as tough as the SiC/SiC composites. Only the SiC yarn/CVD SiC composite exhibited both strength and toughness

  18. Conformal coverage of poly(3,4-ethylenedioxythiophene) films with tunable nanoporosity via oxidative chemical vapor deposition

    NARCIS (Netherlands)

    Im, S.G.; Kusters, D.J.N.; Choi, W.; Baxamusa, S.H.; Sanden, van de M.C.M.; Gleason, K.K.

    2008-01-01

    Novel nanoporous poly(3,4-ethylenedioxythiophene) (PEDOT) films with basalt-like surface morphology are successfully obtained via a one-step, vapor phase process of oxidative chemical vapor deposition (oCVD) by introducing a new oxidant, CuCl2, The substrate temperature of the oCVD process is a

  19. MgB2 ultrathin films fabricated by hybrid physical chemical vapor deposition and ion milling

    Directory of Open Access Journals (Sweden)

    Narendra Acharya

    2016-08-01

    Full Text Available In this letter, we report on the structural and transport measurements of ultrathin MgB2 films grown by hybrid physical-chemical vapor deposition followed by low incident angle Ar ion milling. The ultrathin films as thin as 1.8 nm, or 6 unit cells, exhibit excellent superconducting properties such as high critical temperature (Tc and high critical current density (Jc. The results show the great potential of these ultrathin films for superconducting devices and present a possibility to explore superconductivity in MgB2 at the 2D limit.

  20. The ion mobility spectrometer for high explosive vapor detection

    International Nuclear Information System (INIS)

    Cohen, M.J.; Stimac, R.M.; Wernlund, R.F.

    1984-01-01

    The Phemto-Chem /SUP R/ Model 100 Ion Mobility Spectrometer (IMS) operates in air and measures a number of explosive vapors at levels as low as partsper-trillion in seconds. The theory and operation of this instrument is discussed. The IMS inhales the vapor sample in a current of air and generates characteristic ions which are separated by time-of -ion drift in the atmospheric pressure gas. Quantitative results, using a dilution tunnel and standard signal generator with TNT, nitroglycerine, ethylene glycol dinitrate, cyclohexanone, methylamine, octafluoronaphthalene and hexafluorobenzene, are given. Rapid sample treatment with sample concentrations, microprocessor signal readout and chemical identification, offer a realistic opportunity of rapid explosive vapor detection at levels down to 10 -14 parts by volume in air

  1. Chemical vapor infiltration of TiB{sub 2} fibrous composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Lab., TN (United States)

    1997-04-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This process produces high purity matrix TiB{sub 2} without damaging the relatively fragile fibers. The program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and scale the process to provide demonstration components.

  2. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Dutta, P.; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V.; Zheng, N.; Ahrenkiel, P.; Martinez, J.

    2014-01-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10 7  cm −2 . Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm 2 /V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  3. High mobility single-crystalline-like GaAs thin films on inexpensive flexible metal substrates by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Dutta, P., E-mail: pdutta2@central.uh.edu; Rathi, M.; Gao, Y.; Yao, Y.; Selvamanickam, V. [Department of Mechanical Engineering, University of Houston, Houston, Texas 77204 (United States); Zheng, N.; Ahrenkiel, P. [Department of Nanoscience and Nanoengineering, South Dakota School of Mines and Technology, Rapid City, South Dakota 57701 (United States); Martinez, J. [Materials Evaluation Laboratory, NASA Johnson Space Center, Houston, Texas 77085 (United States)

    2014-09-01

    We demonstrate heteroepitaxial growth of single-crystalline-like n and p-type doped GaAs thin films on inexpensive, flexible, and light-weight metal foils by metal-organic chemical vapor deposition. Single-crystalline-like Ge thin film on biaxially textured templates made by ion beam assisted deposition on metal foil served as the epitaxy enabling substrate for GaAs growth. The GaAs films exhibited strong (004) preferred orientation, sharp in-plane texture, low grain misorientation, strong photoluminescence, and a defect density of ∼10{sup 7 }cm{sup −2}. Furthermore, the GaAs films exhibited hole and electron mobilities as high as 66 and 300 cm{sup 2}/V-s, respectively. High mobility single-crystalline-like GaAs thin films on inexpensive metal substrates can pave the path for roll-to-roll manufacturing of flexible III-V solar cells for the mainstream photovoltaics market.

  4. Process-property relationships of SiC chemical vapor deposition in the Si/H/C/O system

    International Nuclear Information System (INIS)

    Richardson, C.; Takoudis, C.G.

    1999-01-01

    The thermal, chemical, and physical properties of SiC make it an attractive material for a wide range of applications from wear resistant coatings on tools to high temperature microelectronics operations. A comprehensive thermodynamic analysis has been performed for the Si/H/C/O system from which a priori process-property relationships of the chemical vapor deposition (CVD) of silicon carbide (SiC) are obtained. The parameter space for pure silicon carbide growth is reported for five orders of magnitude of the system water vapor level (1 ppb--100 ppm), four orders of magnitude of system pressure (0.1--760 Torr), and two orders of magnitude of C/Si feed ratio (0.25--20) and H 2 /Si feed ratio (50--10,000). Lower growth temperatures for pure SiC are predicted in clean systems with low system water vapor levels, at stoichiometric to near carbon excess conditions (C/Si ≅ 1 to C/Si > 1), at high carrier gas flow rates (large H 2 /Si feed ratios), and at low operating pressures. Because relative C/Si and H 2 /Si feed ratios have been considered, the predictions in this study are applicable to both multiple and single precursor systems. Further, these results are valid for the CVD of α-SiC as well as β-SiC. Experimental data reported on the growth of α-SiC and β-SiC are found to be in satisfactory agreement with the theoretical predictions, for numerous systems that include multiple and single source, silicon and carbon, species

  5. A kinetic and equilibrium analysis of silicon carbide chemical vapor deposition on monofilaments

    Science.gov (United States)

    Gokoglu, S. A.; Kuczmarski, M. A.

    1993-01-01

    Chemical kinetics of atmospheric pressure silicon carbide (SiC) chemical vapor deposition (CVD) from dilute silane and propane source gases in hydrogen is numerically analyzed in a cylindrical upflow reactor designed for CVD on monofilaments. The chemical composition of the SiC deposit is assessed both from the calculated total fluxes of carbon and silicon and from chemical equilibrium considerations for the prevailing temperatures and species concentrations at and along the filament surface. The effects of gas and surface chemistry on the evolution of major gas phase species are considered in the analysis.

  6. Vaporization of tungsten-metal in steam at high temperatures

    International Nuclear Information System (INIS)

    Greene, G.A.; Finfrock, C.C.

    2000-01-01

    The vaporization of tungsten from the APT spallation target dominates the radiological source term for unmitigated target overheating accidents. Chemical reactions of tungsten with steam which persist to tungsten temperatures as low as 800 C result in the formation of a hydrated tungsten-oxide which has a high vapor pressure and is readily convected in a flowing atmosphere. This low-temperature vaporization reaction essentially removes the oxide film that forms on the tungsten-metal surface as soon as it forms, leaving behind a fresh metallic surface for continued oxidation and vaporization. Experiments were conducted to measure the oxidative vaporization rates of tungsten in steam as part of the effort to quantify the MT radiological source term for severe target accidents. Tests were conducted with tungsten rods (1/8 inch diameter, six inches long) heated to temperatures from approximately 700 C to 1350 C in flowing steam which was superheated to 140 C. A total of 19 experiments was conducted. Fifteen tests were conducted by RF induction heating of single tungsten rods held vertical in a quartz glass retort. Four tests were conducted in a vertically-mounted tube furnace for the low temperature range of the test series. The aerosol which was generated and transported downstream from the tungsten rods was collected by passing the discharged steam through a condenser. This procedure insured total collection of the steam along with the aerosol from the vaporization of the rods. The results of these experiments revealed a threshold temperature for tungsten vaporization in steam. For the two tests at the lowest temperatures which were tested, approximately 700 C, the tungsten rods were observed to oxidize without vaporization. The remainder of the tests was conducted over the temperature range of 800 C to 1350 C. In these tests, the rods were found to have lost weight due to vaporization of the tungsten and the missing weight was collected in the downstream condensate

  7. Perspective: Highly stable vapor-deposited glasses

    Science.gov (United States)

    Ediger, M. D.

    2017-12-01

    This article describes recent progress in understanding highly stable glasses prepared by physical vapor deposition and provides perspective on further research directions for the field. For a given molecule, vapor-deposited glasses can have higher density and lower enthalpy than any glass that can be prepared by the more traditional route of cooling a liquid, and such glasses also exhibit greatly enhanced kinetic stability. Because vapor-deposited glasses can approach the bottom of the amorphous part of the potential energy landscape, they provide insights into the properties expected for the "ideal glass." Connections between vapor-deposited glasses, liquid-cooled glasses, and deeply supercooled liquids are explored. The generality of stable glass formation for organic molecules is discussed along with the prospects for stable glasses of other types of materials.

  8. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, W.; Nakaanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The reaction orders with respect to the partial pressures of hydrogen and boron trichloride are one half and one third, respectively. It has been found that the outer layer of a deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB by the use of X-ray diffraction and EPMA line analysis

  9. Monolayer MoSe 2 Grown by Chemical Vapor Deposition for Fast Photodetection

    KAUST Repository

    Chang, Yung-Huang

    2014-08-26

    Monolayer molybdenum disulfide (MoS2) has become a promising building block in optoelectronics for its high photosensitivity. However, sulfur vacancies and other defects significantly affect the electrical and optoelectronic properties of monolayer MoS2 devices. Here, highly crystalline molybdenum diselenide (MoSe2) monolayers have been successfully synthesized by the chemical vapor deposition (CVD) method. Low-temperature photoluminescence comparison for MoS2 and MoSe 2 monolayers reveals that the MoSe2 monolayer shows a much weaker bound exciton peak; hence, the phototransistor based on MoSe2 presents a much faster response time (<25 ms) than the corresponding 30 s for the CVD MoS2 monolayer at room temperature in ambient conditions. The images obtained from transmission electron microscopy indicate that the MoSe exhibits fewer defects than MoS2. This work provides the fundamental understanding for the differences in optoelectronic behaviors between MoSe2 and MoS2 and is useful for guiding future designs in 2D material-based optoelectronic devices. © 2014 American Chemical Society.

  10. Modeling and control of diffusion and low-pressure chemical vapor deposition furnaces

    Science.gov (United States)

    De Waard, H.; De Koning, W. L.

    1990-03-01

    In this paper a study is made of the heat transfer inside cylindrical resistance diffusion and low-pressure chemical vapor deposition furnaces, aimed at developing an improved temperature controller. A model of the thermal behavior is derived which also covers the important class of furnaces equipped with semitransparent quartz process tubes. The model takes into account the thermal behavior of the thermocouples. It is shown that currently used temperature controllers are highly inefficient for very large scale integration applications. Based on the model an alternative temperature controller of the linear-quadratic-Gaussian type is proposed which features direct wafer temperature control. Some simulation results are given.

  11. Vacuum arc anode phenomena

    International Nuclear Information System (INIS)

    Miller, H.C.

    1976-01-01

    A brief review of anode phenomena in vacuum arcs is presented. Discussed in succession are: the transition of the arc into the anode spot mode; the temperature of the anode before, during and after the anode spot forms; and anode ions. Characteristically the anode spot has a temperature of the order of the atmospheric boiling point of the anode material and is a copious source of vapor and energetic ions. The dominant mechanism controlling the transition of the vacuum arc into the anode spot mode appears to depend upon the electrode geometry, the electrode material, and the current waveform of the particular vacuum arc being considered. Either magnetic constriction in the gap plasma or gross anode melting can trigger the transition; indeed, a combination of the two is a common cause of anode spot formation

  12. Investigation of deposition characteristics and properties of high-rate deposited silicon nitride films prepared by atmospheric pressure plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kakiuchi, H.; Nakahama, Y.; Ohmi, H.; Yasutake, K.; Yoshii, K.; Mori, Y.

    2005-01-01

    Silicon nitride (SiN x ) films have been prepared at extremely high deposition rates by the atmospheric pressure plasma chemical vapor deposition (AP-PCVD) technique on Si(001) wafers from gas mixtures containing He, H 2 , SiH 4 and N 2 or NH 3 . A 150 MHz very high frequency (VHF) power supply was used to generate high-density radicals in the atmospheric pressure plasma. Deposition rate, composition and morphology of the SiN x films prepared with various deposition parameters were studied by scanning electron microscopy and Auger electron spectroscopy. Fourier transformation infrared (FTIR) absorption spectroscopy was also used to characterize the structure and the chemical bonding configurations of the films. Furthermore, etching rate with buffered hydrofluoric acid (BHF) solution, refractive index and capacitance-voltage (C-V) characteristics were measured to evaluate the dielectric properties of the films. It was found that effective passivation of dangling bonds and elimination of excessive hydrogen atoms at the film-growing surface seemed to be the most important factor to form SiN x film with a dense Si-N network. The C-V curve of the optimized film showed good interface properties, although further improvement was necessary for use in the industrial metal-insulator-semiconductor (MIS) applications

  13. Graphene by one-step chemical vapor deposition from ferrocene vapors: Properties and electrochemical evaluation

    Science.gov (United States)

    Pilatos, George; Perdikaki, Anna V.; Sapalidis, Andreas; Pappas, George S.; Giannakopoulou, Tatiana; Tsoutsou, Dimitra; Xenogiannopoulou, Evangelia; Boukos, Nikos; Dimoulas, Athanasios; Trapalis, Christos; Kanellopoulos, Nick K.; Karanikolos, Georgios N.

    2016-02-01

    Growth of few-layer graphene using ferrocene as precursor by chemical vapor deposition is reported. The growth did not involve any additional carbon or catalyst source or external hydrocarbon gases. Parametric investigation was performed using different conditions, namely, varying growth temperature from 600 to1000 °C, and growth duration from 5 min to 3 h, as well as using fast quenching or gradual cooling after the thermal treatment, in order to examine the effect on the quality of the produced graphene. The growth took place on silicon wafers and resulted, under optimal conditions, in formation of graphene with 2-3 layers and high graphitic quality, as evidenced by Raman spectroscopy, with characteristic full width at half maximum of the 2D band of 49.46 cm-1, and I2D/IG and ID/IG intensity ratios of 1.15 and 0.26, respectively. Atomic force microscopy and X-ray photoelectron spectroscopy were employed to further evaluate graphene characteristics and enlighten growth mechanism. Electrochemical evaluation of the developed material was performed using cyclic voltammetry, electrochemical impedance spectroscopy, and galvanostatic charge-discharge measurements.

  14. HIGH PRODUCTIVITY VACUUM BLASTING SYSTEM

    International Nuclear Information System (INIS)

    McPhee, William S.

    2001-01-01

    The Department of Energy (DOE) needs improved technologies to decontaminate large areas of both concrete and steel surfaces. The technology should have high operational efficiency, minimize exposures to workers, and produce low levels of secondary waste. In order to meet the DOE's needs, an applied research and development project for the improvement of a current decontamination technology, Vacuum Blasting, is proposed. The objective of this project is to improve the productivity and lower the expense of the existing vacuum blasting technology which has been widely used in DOE sites for removing radioactive contamination, PCBs, and lead-based paint. The proposed work would increase the productivity rate and provide safe and cost-effective decontamination of the DOE sites

  15. High-voltage vertical GaN Schottky diode enabled by low-carbon metal-organic chemical vapor deposition growth

    Science.gov (United States)

    Cao, Y.; Chu, R.; Li, R.; Chen, M.; Chang, R.; Hughes, B.

    2016-02-01

    Vertical GaN Schottky barrier diode (SBD) structures were grown by metal-organic chemical vapor deposition on free-standing GaN substrates. The carbon doping effect on SBD performance was studied by adjusting the growth conditions and spanning the carbon doping concentration between ≤3 × 1015 cm-3 and 3 × 1019 cm-3. Using the optimized growth conditions that resulted in the lowest carbon incorporation, a vertical GaN SBD with a 6-μm drift layer was fabricated. A low turn-on voltage of 0.77 V with a breakdown voltage over 800 V was obtained from the device.

  16. Comparisons between a gas-phase model of silane chemical vapor deposition and laser-diagnostic measurements

    International Nuclear Information System (INIS)

    Breiland, W.G.; Coltrin, M.E.; Ho, P.

    1986-01-01

    Theoretical modeling and experimental measurements have been used to study gas-phase chemistry in the chemical vapor deposition (CVD) of silicon from silane. Pulsed laser Raman spectroscopy was used to obtain temperature profiles and to obtain absolute density profiles of silane during deposition at atmospheric and 6-Torr total pressures for temperatures ranging from 500 to 800 0 C. Laser-excited fluorescence was used to obtain relative density profiles of Si 2 during deposition at 740 0 C in helium with 0-12 Torr added hydrogen. These measurements are compared to predictions from the theoretical model of Coltrin, Kee, and Miller. The predictions agree qualitatively with experiment. These studies indicate that fluid mechanics and gas-phase chemical kinetics are important considerations in understanding the chemical vapor deposition process

  17. A quantitative infrared spectral library of vapor phase chemicals: applications to environmental monitoring and homeland defense

    Science.gov (United States)

    Sharpe, Steven W.; Johnson, Timothy J.; Sams, Robert L.

    2004-12-01

    The utility of infrared spectroscopy for monitoring and early warning of accidental or deliberate chemical releases to the atmosphere is well documented. Regardless of the monitoring technique (open-path or extractive) or weather the spectrometer is passive or active (Fourier transform or lidar) a high quality, quantitative reference library is essential for meaningful interpretation of the data. Pacific Northwest National Laboratory through the support of the Department of Energy has been building a library of pure, vapor phase chemical species for the last 4 years. This infrared spectral library currently contains over 300 chemicals and is expected to grow to over 400 chemicals before completion. The library spectra are based on a statistical fit to many spectra at different concentrations, allowing for rigorous error analysis. The contents of the library are focused on atmospheric pollutants, naturally occurring chemicals, toxic industrial chemicals and chemicals specifically designed to do damage. Applications, limitations and technical details of the spectral library will be discussed.

  18. Controlling the diameters and field emission properties of vertically aligned carbon nanotubes synthesized by thermal chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sung Yool; Kang, Young Il; Cho, Kyoung Ik; Choi, Kyu Seok; Kim, Do Jin

    2001-01-01

    We report here the synthesis of vertically well-aligned carbon nanotubes and the effect of catalytic metal layer on the diameter of grown carbon nanotubes and the field emission characteristics of them, The carbon nanotubes were grown by thermal chemical vapor deposition at temperatures below 900 .deg. C on Fe metal catalytic layer, deposited by sputtering process on a Si substrate and pretreated by heat and NH 3 gas. We found that the thickness of metal layers could be an important parameter in controlling the diameters of carbon nanotubes. With varying the thickness of the metal layers the grain sizes of them also vary so that the diameters of the nanotubes could be controlled. Field emission measurement has been made on the carbon nanotube field emitters at room temperature in a vacuum chamber below 10 -6 Torr. Our vertically aligned carbon nanotube field emitter of the smallest diameter emits a current density about 10 mA/cm 2 at 7.2 V/μm. The field emission property of the carbon nanotubes shows strong dependence on the nanotube diameters as expected

  19. Development of high pressure-high vacuum-high conductance piston valve for gas-filled radiation detectors

    International Nuclear Information System (INIS)

    Prasad, D N; Ayyappan, R; Kamble, L P; Singh, J P; Muralikrishna, L V; Alex, M; Balagi, V; Mukhopadhyay, P K

    2008-01-01

    Gas-filled radiation detectors need gas filling at pressures that range from few cms of mercury to as high as 25kg/cm 2 at room temperature. Before gas-filling these detectors require evacuation to a vacuum of the order of ∼1 x 10 -5 mbar. For these operations of evacuation and gas filling a system consisting of a vacuum pump with a high vacuum gauge, gas cylinder with a pressure gauge and a valve is used. The valve has to meet the three requirements of compatibility with high-pressure and high vacuum and high conductance. A piston valve suitable for the evacuation and gas filling of radiation detectors has been designed and fabricated to meet the above requirements. The stainless steel body (80mmx160mm overall dimensions) valve with a piston arrangement has a 1/2 inch inlet/outlet opening, neoprene/viton O-ring at piston face and diameter for sealing and a knob for opening and closing the valve. The piston movement mechanism is designed to have minimum wear of sealing O-rings. The valve has been hydrostatic pressure tested up to 75bars and has Helium leak rate of less than 9.6x10 -9 m bar ltr/sec in vacuum mode and 2x10 -7 mbar ltr/sec in pressure mode. As compared to a commercial diaphragm valve, which needed 3 hours to evacuate a 7 litre chamber to 2.5x10 -5 mbar, the new valve achieved vacuum 7.4x10 -6 mbar in the same time under the same conditions

  20. Vacuum-integrated electrospray deposition for highly reliable polymer thin film.

    Science.gov (United States)

    Park, Soohyung; Lee, Younjoo; Yi, Yeonjin

    2012-10-01

    Vacuum electrospray deposition (ESD) equipment was designed to prepare polymer thin films. The polymer solution can be injected directly into vacuum system through multi-stage pumping line, so that the solvent residues and ambient contaminants are highly reduced. To test the performance of ESD system, we fabricated organic photovoltaic cells (OPVCs) by injecting polymer solution directly onto the substrate inside a high vacuum chamber. The OPVC fabricated has the structure of Al∕P3HT:PCBM∕PEDOT:PSS∕ITO and was optimized by varying the speed of solution injection and concentration of the solution. The power conversion efficiency (PCE) of the optimized OPVC is 3.14% under AM 1.5G irradiation without any buffer layer at the cathode side. To test the advantages of the vacuum ESD, we exposed the device to atmosphere between the deposition steps of the active layer and cathode. This showed that the PCE of the vacuum processed device is 24% higher than that of the air exposed device and confirms the advantages of the vacuum prepared polymer film for high performance devices.

  1. Reduced-Pressure Chemical Vapor Deposition Growth of Isolated Ge Crystals and Suspended Layers on Micrometric Si Pillars.

    Science.gov (United States)

    Skibitzki, Oliver; Capellini, Giovanni; Yamamoto, Yuji; Zaumseil, Peter; Schubert, Markus Andreas; Schroeder, Thomas; Ballabio, Andrea; Bergamaschini, Roberto; Salvalaglio, Marco; Miglio, Leo; Montalenti, Francesco

    2016-10-05

    In this work, we demonstrate the growth of Ge crystals and suspended continuous layers on Si(001) substrates deeply patterned in high aspect-ratio pillars. The material deposition was carried out in a commercial reduced-pressure chemical vapor deposition reactor, thus extending the "vertical-heteroepitaxy" technique developed by using the peculiar low-energy plasma-enhanced chemical vapor deposition reactor, to widely available epitaxial tools. The growth process was thoroughly analyzed, from the formation of small initial seeds to the final coalescence into a continuous suspended layer, by means of scanning and transmission electron microscopy, X-ray diffraction, and μ-Raman spectroscopy. The preoxidation of the Si pillar sidewalls and the addition of hydrochloric gas in the reactants proved to be key to achieve highly selective Ge growth on the pillars top only, which, in turn, is needed to promote the formation of a continuous Ge layer. Thanks to continuum growth models, we were able to single out the different roles played by thermodynamics and kinetics in the deposition dynamics. We believe that our findings will open the way to the low-cost realization of tens of micrometers thick heteroepitaxial layer (e.g., Ge, SiC, and GaAs) on Si having high crystal quality.

  2. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    International Nuclear Information System (INIS)

    Poet, Torka S.; Timchalk, Chuck

    2006-01-01

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals

  3. Proposed Occupational Exposure Limits for Non-Carcinogenic Hanford Waste Tank Vapor Chemicals

    Energy Technology Data Exchange (ETDEWEB)

    Poet, Torka S.; Timchalk, Chuck

    2006-03-24

    A large number of volatile chemicals have been identified in the headspaces of tanks used to store mixed chemical and radioactive waste at the U.S. Department of Energy (DOE) Hanford Site, and there is concern that vapor releases from the tanks may be hazardous to workers. Contractually established occupational exposure limits (OELs) established by the Occupational Safety and Health Administration (OSHA) and American Conference of Governmental Industrial Hygienists (ACGIH) do not exist for all chemicals of interest. To address the need for worker exposure guidelines for those chemicals that lack OSHA or ACGIH OELs, a procedure for assigning Acceptable Occupational Exposure Limits (AOELs) for Hanford Site tank farm workers has been developed and applied to a selected group of 57 headspace chemicals.

  4. Vapor pressures and vapor compositions in equilibrium with hypostoichiometric plutonium dioxide at high temperatures

    International Nuclear Information System (INIS)

    Green, D.W.; Fink, J.K.; Leibowitz, L.

    1982-01-01

    Vapor pressures and vapor compositions have been calculated for 1500 less than or equal to T less than or equal to 4000 0 K. Thermodynamic functions for the condensed phase and for each of the gaseous species were combined with an oxygen-potential model extended into the liquid region to obtain the partial pressures of O 2 , O, Pu, PuO and PuO 2 . The calculated oxygen pressures increase very rapidly as stoichiometry is approached. At least part of this increase is a consequence of the exclusion of Pu 6 + from the oxygen-potential model. No reliable method was found to estimate the importance of this ion. As a result of large oxygen potentials at high temperatures, extremely high total pressures that produced unreasonably high vapor densities were calculated. The highest temperature was therefore limited to 400 K, and the range of oxygen-to-metal ratios was limited to 1.994 to 1.70. These calculations show that vapor in equilibrium with hypostoichiometric plutonium dioxide is poorly approximated as PuO 2 for most of the temperture and composition range of interest. The vapor is much more oxygen-rich than the condensed phase. Implications for the (U,Pu)O/sub 2-x/ system are discussed

  5. Synthesis and Characterization of Carbon nanofibers on Co and Cu Catalysts by Chemical Vapor Deposition

    International Nuclear Information System (INIS)

    Park, Eunsil; Kim, Jongwon; Lee, Changseop

    2014-01-01

    This study reports on the synthesis of carbon nanofibers via chemical vapor deposition using Co and Cu as catalysts. In order to investigate the suitability of their catalytic activity for the growth of nanofibers, we prepared catalysts for the synthesis of carbon nanofibers with Cobalt nitrate and Copper nitrate, and found the optimum concentration of each respective catalyst. Then we made them react with Aluminum nitrate and Ammonium Molybdate to form precipitates. The precipitates were dried at a temperature of 110 .deg. C in order to be prepared into catalyst powder. The catalyst was sparsely and thinly spread on a quartz tube boat to grow carbon nanofibers via thermal chemical vapor deposition. The characteristics of the synthesized carbon nanofibers were analyzed through SEM, EDS, XRD, Raman, XPS, and TG/DTA, and the specific surface area was measured via BET. Consequently, the characteristics of the synthesized carbon nanofibers were greatly influenced by the concentration ratio of metal catalysts. In particular, uniform carbon nanofibers of 27 nm in diameter grew when the concentration ratio of Co and Cu was 6:4 at 700 .deg. C of calcination temperature; carbon nanofibers synthesized under such conditions showed the best crystallizability, compared to carbon nanofibers synthesized with metal catalysts under different concentration ratios, and revealed 1.26 high amorphicity as well as 292 m 2 g -1 high specific surface area

  6. Vapor deposition of tantalum and tantalum compounds

    International Nuclear Information System (INIS)

    Trkula, M.

    1996-01-01

    Tantalum, and many of its compounds, can be deposited as coatings with techniques ranging from pure, thermal chemical vapor deposition to pure physical vapor deposition. This review concentrates on chemical vapor deposition techniques. The paper takes a historical approach. The authors review classical, metal halide-based techniques and current techniques for tantalum chemical vapor deposition. The advantages and limitations of the techniques will be compared. The need for new lower temperature processes and hence new precursor chemicals will be examined and explained. In the last section, they add some speculation as to possible new, low-temperature precursors for tantalum chemical vapor deposition

  7. ZnO–PDMS Nanohybrids: A Novel Optical Sensing Platform for Ethanol Vapor Detection at Room Temperature

    KAUST Repository

    Klini, Argyro

    2015-01-08

    © 2014 American Chemical Society. A new optical gas sensor platform based on highly luminescent ZnO-polymer nanohybrids is demonstrated. The nanohybrids consist of ZnO nanoparticles, typically 125 (±25) nm in size, dispersed in an inert cross-linked polydimethylsiloxane (PDMS) matrix. Upon exposure to ethanol-enriched air at room temperature, the nanocomposites exhibit a clear increase in their photoluminescence (PL) emission, which shows a nearly Langmuir dependence on the alcohol vapor pressure. The response time is on the order of 50 s, particularly at low ethanol concentrations. The limit of ethanol vapor detection (LOD) is as low as 0.4 Torr, while the sensor remains unaffected by the presence of water vapor, demonstrating the potential of the ZnO-PDMS system as an optical gas sensing device. The interaction of the ZnO nanoparticles with molecular oxygen plays an essential role on the overall performance of the sensor, as shown in comparative experiments performed in the presence and absence of atmospheric air. Notably, O2 was found to be quite effective in accelerating the sensor recovery process compared to N2 or vacuum.

  8. Pore-scale modeling of vapor transport in partially saturated capillary tube with variable area using chemical potential

    DEFF Research Database (Denmark)

    Addassi, Mouadh; Schreyer, Lynn; Johannesson, Björn

    2016-01-01

    Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters and the nu......Here we illustrate the usefulness of using the chemical potential as the primary unknown by modeling isothermal vapor transport through a partially saturated cylindrically symmetric capillary tube of variable cross-sectional area using a single equation. There are no fitting parameters...... and the numerical solutions to the equation are compared with experimental results with excellent agreement. We demonstrate that isothermal vapor transport can be accurately modeled without modeling the details of the contact angle, microscale temperature fluctuations, or pressure fluctuations using a modification...

  9. Nanostructure Engineered Chemical Sensors for Hazardous Gas and Vapor Detection

    Science.gov (United States)

    Li, Jing; Lu, Yijiang

    2005-01-01

    A nanosensor technology has been developed using nanostructures, such as single walled carbon nanotubes (SWNTs) and metal oxides nanowires or nanobelts, on a pair of interdigitated electrodes (IDE) processed with a silicon based microfabrication and micromachining technique. The IDE fingers were fabricated using thin film metallization techniques. Both in-situ growth of nanostructure materials and casting of the nanostructure dispersions were used to make chemical sensing devices. These sensors have been exposed to hazardous gases and vapors, such as acetone, benzene, chlorine, and ammonia in the concentration range of ppm to ppb at room temperature. The electronic molecular sensing in our sensor platform can be understood by electron modulation between the nanostructure engineered device and gas molecules. As a result of the electron modulation, the conductance of nanodevice will change. Due to the large surface area, low surface energy barrier and high thermal and mechanical stability, nanostructured chemical sensors potentially can offer higher sensitivity, lower power consumption and better robustness than the state-of-the-art systems, which make them more attractive for defense and space applications. Combined with MEMS technology, light weight and compact size sensors can be made in wafer scale with low cost.

  10. Carbon nanosheets by microwave plasma enhanced chemical vapor deposition in CH4-Ar system

    International Nuclear Information System (INIS)

    Wang Zhipeng; Shoji, Mao; Ogata, Hironori

    2011-01-01

    We employ a new gas mixture of CH 4 -Ar to fabricate carbon nanosheets by microwave plasma enhanced chemical vapor deposition at the growth temperature of less than 500 deg. C. The catalyst-free nanosheets possess flower-like structures with a large amount of sharp edges, which consist of a few layers of graphene sheets according to the observation by transmission electron microscopy. These high-quality carbon nanosheets demonstrated a faster electron transfer between the electrolyte and the nanosheet surface, due to their edge defects and graphene structures.

  11. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    International Nuclear Information System (INIS)

    Schropp, R.E.I.

    2015-01-01

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  12. Industrialization of Hot Wire Chemical Vapor Deposition for thin film applications

    Energy Technology Data Exchange (ETDEWEB)

    Schropp, R.E.I., E-mail: r.e.i.schropp@tue.nl

    2015-11-30

    The consequences of implementing a Hot Wire Chemical Vapor Deposition (HWCVD) chamber into an existing in-line or roll-to-roll reactor are described. The hardware and operation of the HWCVD production reactor is compared to that of existing roll-to-roll reactors based on Plasma Enhanced Chemical Vapor Deposition. The most important consequences are the technical consequences and the economic consequences, which are both discussed. The technical consequences are adaptations needed to the hardware and to the processing sequences due to the different interaction of the HWCVD process with the substrate and already deposited layers. The economic consequences are the reduced investments in radio frequency (RF) supplies and RF components. This is partially offset by investments that have to be made in higher capacity pumping systems. The most mature applications of HWCVD are moisture barrier coatings for thin film flexible devices such as Organic Light Emitting Diodes and Organic Photovoltaics, and passivation layers for multicrystalline Si solar cells, high mobility field effect transistors, and silicon heterojunction cells (also known as heterojunction cells with intrinsic thin film layers). Another example is the use of Si in thin film photovoltaics. The cost perspective per unit of thin film photovoltaic product using HWCVD is estimated at 0.07 €/Wp for the Si thin film component. - Highlights: • Review of consequences of implementing Hot Wire CVD into a manufacturing plant • Aspects of scaling up to large area and continuous manufacturing are discussed • Economic advantage of introducing a HWCVD process in a production system is estimated • Using HWCVD, the cost for the Si layers in photovoltaic products is 0.08 €/Wp.

  13. Modeling of an improved chemical vapor infiltration process for ceramic composites fabrication

    International Nuclear Information System (INIS)

    Tai, N.H.; Chou, T.W.

    1990-01-01

    A quasi-steady-state approach is applied to model the pressure-driven, temperature-gradient chemical vapor infiltration (improved CVI process) for ceramic matrix composites fabrication. The deposited matrix in this study is SiC which is converted from the thermal decomposition of methyltrichlorosilane gas under excess hydrogen. A three-dimensional unit cell is adopted to simulate the spatial arrangements of reinforcements in discontinuous fiber mats and three-dimensionally woven fabrics. The objectives of this paper are to predict the temperature and density distributions in a fibrous preform during processing, the advancement of the solidified front, the total fabrication period, and the vapor inlet pressure variation for maintaining a constant flow rate

  14. VAPOR SAMPLING DEVICE FOR INTERFACE WITH MICROTOX ASSAY FOR SCREENING TOXIC INDUSTRIAL CHEMICALS

    Science.gov (United States)

    A time-integrated sampling system interfaced with a toxicity-based assay is reported for monitoring volatile toxic industrial chemicals (TICs). Semipermeable membrane devices (SPMDs) using dimethyl sulfoxide (DMSO) as the fill solvent accumulated each of 17 TICs from the vapor...

  15. High Vacuum Techniques for Anionic Polymerization

    KAUST Repository

    Ratkanthwar, Kedar; Hadjichristidis, Nikolaos; Mays, Jimmy

    2015-01-01

    Anionic polymerization high vacuum techniques (HVTs) are the most suitable for the preparation of polymer samples with well-defined complex macromolecular architectures. Though HVTs require glassblowing skill for designing and making polymerization

  16. Multistage charged particle accelerator, with high-vacuum insulation

    International Nuclear Information System (INIS)

    Holl, P.

    1976-01-01

    A multistage charged-particle accelerator for operating with accelerating voltages higher than 150 kV is described. The device consists essentially of a high-voltage insulator, a source for producing charged particles, a Wehnelt cylinder, an anode, and a post-accelerating tube containing stack-wise positioned post-accelerating electrodes. A high vacuum is used for insulating the parts carrying the high voltages, and at least one cylindrical screen surrounding these parts is interposed between them and the vacuum vessel, which can itself also function as a cylindrical screen

  17. Extremely-high vacuum pressure measurement by laser ionization

    International Nuclear Information System (INIS)

    Kokubun, Kiyohide

    1991-01-01

    Laser ionization method has the very high sensitivity for detecting atoms and molecules. Hurst et al. successfully detected a single Cs atom by means of resonance ionization spectroscopy developed by them. Noting this high sensitivity, the authors have attempted to apply the laser ionization method to measure gas pressure, particularly in the range down to extremely high vacuum. At present, hot cathode ionization gauges are used for measuring gas pressure down to ultrahigh vacuum, however, those have a number of disadvantages. The pressure measurement using lasers does not have such disadvantages. The pressure measurement utilizing the laser ionization method is based on the principle that when laser beam is focused through a lens, the amount of atom or molecule ions generated in the focused space region is proportional to gas pressure. In this paper, the experimental results are presented on the nonresonant multiphoton ionization characteristics of various kinds of gases, the ion detection system with high sensitivity and an extremely high vacuum system prepared for the laser ionization experiment. (K.I.)

  18. Physically and chemically stable ionic liquid-infused textured surfaces showing excellent dynamic omniphobicity

    Energy Technology Data Exchange (ETDEWEB)

    Miranda, Daniel F.; Urata, Chihiro; Masheder, Benjamin; Dunderdale, Gary J.; Hozumi, Atsushi, E-mail: a.hozumi@aist.go.jp [National Institute of Advanced Industrial Science and Technology (AIST), 2266-98, Anagahora, Shimo-Shidami, Moriyama-ku, Nagoya, Aichi 463-8560 (Japan); Yagihashi, Makoto [Nagoya Municipal Industrial Research Institute, Rokuban, Atsuta-ku, Nagoya 456-0058 (Japan)

    2014-05-01

    A fluorinated and hydrophobic ionic liquid (IL), 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl) imide, effectively served as an advantageous lubricating liquid for the preparation of physically and chemically stable omniphobic surfaces based on slippery liquid-infused porous surfaces. Here, we used particulate microstructures as supports, prepared by the chemical vapor deposition of 1,3,5,7-tetramethylcyclotetrasiloxane and subsequent surface modification with (3-aminopropyl)triethoxysilane. Confirmed by SEM and contact angle measurements, the resulting IL-infused microtextured surfaces are smooth and not only water but also various low surface tension liquids can easily slide off at low substrate tilt angles of <5°, even after exposure to high temperature, vacuum, and UV irradiation.

  19. Physically and chemically stable ionic liquid-infused textured surfaces showing excellent dynamic omniphobicity

    Directory of Open Access Journals (Sweden)

    Daniel F. Miranda

    2014-05-01

    Full Text Available A fluorinated and hydrophobic ionic liquid (IL, 1-ethyl-3-methylimidazolium bis(trifluoromethylsulfonyl imide, effectively served as an advantageous lubricating liquid for the preparation of physically and chemically stable omniphobic surfaces based on slippery liquid-infused porous surfaces. Here, we used particulate microstructures as supports, prepared by the chemical vapor deposition of 1,3,5,7-tetramethylcyclotetrasiloxane and subsequent surface modification with (3-aminopropyltriethoxysilane. Confirmed by SEM and contact angle measurements, the resulting IL-infused microtextured surfaces are smooth and not only water but also various low surface tension liquids can easily slide off at low substrate tilt angles of <5°, even after exposure to high temperature, vacuum, and UV irradiation.

  20. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    International Nuclear Information System (INIS)

    Dangbegnon, J.K.; Talla, K.; Roro, K.T.; Botha, J.R.

    2009-01-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  1. Metalorganic chemical vapor deposition of ZnO:N using NO as dopant

    Energy Technology Data Exchange (ETDEWEB)

    Dangbegnon, J.K., E-mail: JulienKouadio.Dangbegnon@nmmu.ac.z [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa); Talla, K.; Roro, K.T.; Botha, J.R. [Department of Physics, PO Box 77000, Nelson Mandela Metropolitan University, Port Elizabeth (South Africa)

    2009-12-01

    Highly c-axis orientated ZnO was grown by metal organic chemical vapor deposition (MOCVD) using NO as both oxidant and nitrogen dopant source. The properties of the deposited material are investigated by X-ray diffraction to study the crystalline quality of the thin films. Photoluminescence measurements are used to determine the optical properties of the material as a function of VI/II ratio and post growth-annealing temperature. Two transitions appear at 3.228 and 3.156 eV and are interpreted as involving active nitrogen acceptors. An increase in the NO flow increases the concentration of nitrogen in the films, which are activated by subsequent annealing at 600 deg. C in an oxygen ambient.

  2. Evaluation of Vapor Pressure and Ultra-High Vacuum Tribological Properties of Ionic Liquids (2) Mixtures and Additives

    Science.gov (United States)

    Morales, Wilfredo; Koch, Victor R.; Street, Kenneth W., Jr.; Richard, Ryan M.

    2008-01-01

    Ionic liquids are salts, many of which are typically viscous fluids at room temperature. The fluids are characterized by negligible vapor pressures under ambient conditions. These properties have led us to study the effectiveness of ionic liquids containing both organic cations and anions for use as space lubricants. In the previous paper we have measured the vapor pressure and some tribological properties of two distinct ionic liquids under simulated space conditions. In this paper we will present vapor pressure measurements for two new ionic liquids and friction coefficient data for boundary lubrication conditions in a spiral orbit tribometer using stainless steel tribocouples. In addition we present the first tribological data on mixed ionic liquids and an ionic liquid additive. Post mortem infrared and Raman analysis of the balls and races indicates the major degradation pathway for these two organic ionic liquids is similar to those of other carbon based lubricants, i.e. deterioration of the organic structure into amorphous graphitic carbon. The coefficients of friction and lifetimes of these lubricants are comparable to or exceed these properties for several commonly used space oils.

  3. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    International Nuclear Information System (INIS)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo; Chang, Jong San; Qiu, Fa Li; Park, Sang Eon

    2004-01-01

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl 4 , was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl 4 with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl 4 was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis

  4. Incorporation of Titanium into H-ZSM-5 Zeolite via Chemical Vapor Deposition: Effect of Steam Treatment

    Energy Technology Data Exchange (ETDEWEB)

    Xu, Cheng Hua; Jin, Tai Huan; Jhung, Sung Hwa; Hwang, Jin Soo [Korea Research Institute of Chemical Technology, Daejeon (Korea, Republic of); Chang, Jong San; Qiu, Fa Li [Chinese Academy of Sciences(CAS), Chengdu (China); Park, Sang Eon [Inha University, Incheon (Korea, Republic of)

    2004-05-15

    Ti-ZSM-5 prepared by secondary synthesis, from the reaction of H-ZSM-5 with vapor phase TiCl{sub 4}, was characterized with several physicochemical techniques including FT-IR and UV/VIS-DRS. It was found that zeolite structure, surface area and pore volume did not change, and the framework aluminum could not be replaced by titanium atom during the secondary synthesis of Ti-ZSM-5. The incorporation of titanium into the framework might be due to reaction of TiCl{sub 4} with the silanol groups associated with defects or surface sites. The formation of extra-framework titanium could not be avoided, unless the samples were further treated by water vapor at 550 .deg. C or higher temperature. High temperature steam treatment of Ti-ZSM-5 prepared by chemical vapor deposition with TiCl{sub 4} was efficient to prevent the formation of non-framework titanium species. Ti-ZSM-5 zeolites prepared in this work contained only framework titanium species and exhibited improved catalytic property close to TS-1 prepared by hydrothermal synthesis.

  5. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Gorham-Bergeron, E.; Benson, D.A.

    1978-01-01

    A series of experiments is described in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressures measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical nonequilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented

  6. Environmental high resolution electron microscopy and applications to chemical science

    OpenAIRE

    Boyes, Edward; Gai, Pratibha

    2017-01-01

    An environmental cell high resolution electron microscope (EHREM) has been developed for in situ studies of dynamic chemical reactions on the atomic scale. It allows access to metastable intermediate phases of catalysts and to sequences of reversible microstructural and chemical development associated with the activation, deactivation and poisoning of a catalyst. Materials transported through air can be restored or recreated and samples damaged, e.g. by dehydration, by the usual vacuum enviro...

  7. Increasing of charge of uranium ion beam in vacuum-arc-type source (MEVVA)

    CERN Document Server

    Kulevoj, T V; Petrenko, S V; Seleznev, D N; Pershin, V I; Batalin, V A; Kolomiets, A A

    2002-01-01

    Research efforts with MEVVA type source (Metal Vapor Vacuum Arc) and with its modifications are in progress now in the ITEP. In the course of research one revealed possibility to increase charge state of generated beam of uranium ions. Increase of charge results from propagation of high-current vacuum-arc charge from the source cathode to the extra anode located in increasing axial magnetic field. One obtained uranium ion beam with 150 mA output current 10% of which were contributed by U sup 7 sup + uranium ions

  8. Technical specification for vacuum systems

    International Nuclear Information System (INIS)

    Khaw, J.

    1987-01-01

    The vacuum systems at the Stanford Linear Accelerator Center (SLAC) are primarily of all-metal construction and operate at pressures from 10 -5 to 10 -11 Torr. The primary gas loads during operation result from thermal desorption and beam-induced desorption from the vacuum chamber walls. These desorption rates can be extremely high in the case of hydrocarbons and other contaminants. These specifications place a major emphasis on eliminating contamination sources. The specifications and procedures have been written to insure the cleanliness and vacuum integrity of all SLAC vacuum systems, and to assist personnel involved with SLAC vacuum systems in choosing and designing components that are compatible with existing systems and meet the quality and reliability of SLAC vacuum standards. The specification includes requirements on design, procurement, fabrication, chemical cleaning, clean room practices, welding and brazing, helium leak testing, residual gas analyzer testing, bakeout, venting, and pumpdown. Also appended are specifications regarding acceptable vendors, isopropyl alcohol, bakeable valve cleaning procedure, mechanical engineering safety inspection, notes on synchrotron radiation, and specifications of numerous individual components

  9. Spray Chemical Vapor Deposition of Single-Source Precursors for Chalcopyrite I-III-VI2 Thin-Film Materials

    Science.gov (United States)

    Hepp, Aloysius F.; Banger, Kulbinder K.; Jin, Michael H.-C.; Harris, Jerry D.; McNatt, Jeremiah S.; Dickman, John E.

    2008-01-01

    Thin-film solar cells on flexible, lightweight, space-qualified substrates provide an attractive approach to fabricating solar arrays with high mass-specific power. A polycrystalline chalcopyrite absorber layer is among the new generation of photovoltaic device technologies for thin film solar cells. At NASA Glenn Research Center we have focused on the development of new single-source precursors (SSPs) for deposition of semiconducting chalcopyrite materials onto lightweight, flexible substrates. We describe the syntheses and thermal modulation of SSPs via molecular engineering. Copper indium disulfide and related thin-film materials were deposited via aerosol-assisted chemical vapor deposition using SSPs. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties to optimize device quality. Growth at atmospheric pressure in a horizontal hotwall reactor at 395 C yielded the best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier-, smoother-, and denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was one percent.

  10. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    International Nuclear Information System (INIS)

    Sulyaeva, Veronica S.; Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A.; Kesler, Valerii G.; Kirienko, Viktor V.

    2014-01-01

    Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC x N y films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC x N y films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC x N y films were found to be high optical transparent layers (93%). • BC x N y layers are dielectrics with dielectric constant k = 2.2–8.9

  11. HIGH PRODUCTIVITY VACUUM BLASTING SYSTEM

    International Nuclear Information System (INIS)

    McPhee, William S.

    1999-01-01

    construct a pre-prototype of the nozzle, blast head with wind curtain, sensors, and dust separator and test this system to assess the performance of the new design under controlled conditions at the contractor's facility. In phase III, the Contractor shall design and construct a prototype of the High Productivity Vacuum Blasting System, based on the results of the pre-prototype design and testing performed. This unit will be a full-scale prototype and will be tested at a designated Department of Energy (DOE) facility. Based on the results, the system performance, the productivity, and the economy of the improved vacuum blasting system will be evaluated

  12. Vacuum amplification of the high-frequency electromagnetic radiation

    OpenAIRE

    Vilkovisky, G. A.

    1998-01-01

    When an electrically charged source is capable of both emitting the electromagnetic waves and creating charged particles from the vacuum, its radiation gets so much amplified that only the backreaction of the vacuum makes it finite. The released energy and charge are calculated in the high-frequency approximation. The technique of expectation values is advanced and employed.

  13. Initiated chemical vapor deposited nanoadhesive for bonding National Ignition Facility's targets

    Energy Technology Data Exchange (ETDEWEB)

    Lee, Tom [Univ. of California, Berkeley, CA (United States)

    2016-05-19

    Currently, the target fabrication scientists in National Ignition Facility Directorate at Lawrence Livermore National Laboratory (LLNL) is studying the propagation force resulted from laser impulses impacting a target. To best study this, they would like the adhesive used to glue the target substrates to be as thin as possible. The main objective of this research project is to create adhesive glue bonds for NIF’s targets that are ≤ 1 μm thick. Polyglycidylmethacrylate (PGMA) thin films were coated on various substrates using initiated chemical vapor deposition (iCVD). Film quality studies using white light interferometry reveal that the iCVD PGMA films were smooth. The coated substrates were bonded at 150 °C under vacuum, with low inflow of Nitrogen. Success in bonding most of NIF’s mock targets at thicknesses ≤ 1 μm indicates that our process is feasible in bonding the real targets. Key parameters that are required for successful bonding were concluded from the bonding results. They include inert bonding atmosphere, sufficient contact between the PGMA films, and smooth substrates. Average bond strength of 0.60 MPa was obtained from mechanical shearing tests. The bonding failure mode of the sheared interfaces was observed to be cohesive. Future work on this project will include reattempt to bond silica aerogel to iCVD PGMA coated substrates, stabilize carbon nanotube forests with iCVD PGMA coating, and kinetics study of PGMA thermal crosslinking.

  14. Growth and characterization of stoichiometric BCN films on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mannan, Md. Abdul, E-mail: amannan75@yahoo.co [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan); Noguchi, Hideyuki; Kida, Tetsuya; Nagano, Masamitsu [Department of Chemistry and Applied Chemistry, Faculty of Science and Engineering, Saga University, 1 Honjo, Saga 840-8502 (Japan); Hirao, Norie; Baba, Yuji [Synchrotron Radiation Research Unit, Quantum Beam Science Directorate, Japan Atomic Energy Agency, Tokai-mura, Naka-gun, Ibaraki 319-1195 (Japan)

    2010-05-31

    Hexagonal boron carbonitride (h-BCN) hybrid films have been synthesized on highly oriented pyrolytic graphite by radiofrequency plasma enhanced chemical vapor deposition using tris-(dimethylamino)borane as a single-source molecular precursor. The films were characterized by X-ray photoelectron spectroscopy (XPS), near-edge X-ray absorption fine structure (NEXAFS) and Raman spectroscopic measurements. XPS measurement showed that the B atoms were bonded to C and N atoms to form the sp{sup 2}-B-C-N atomic hybrid chemical environment. The atomic composition estimated from the XPS of the typical sample was found to be almost B{sub 1}C{sub 1}N{sub 1}. NEXAFS spectra of the B K-edge and the N K-edge had the peaks due to the {pi}* and {sigma}* resonances of sp{sup 2} hybrid orbitals implying the existence of the sp{sup 2} hybrid configurations of h-BCN around the B atoms. The G band at 1592 and D band at 1352 cm{sup -1} in the Raman spectra also suggested the presence of the graphite-like sp{sup 2}-B-C-N atomic hybrid bonds. The films consisted of micrometer scale crystalline structure of around 10 {mu}m thick has been confirmed by the field emission scanning electron microscopy.

  15. REMOVAL OF MERCURY FROM CONTAMINATED SOILS AT THE PAVLODAR CHEMICAL PLANT.

    Energy Technology Data Exchange (ETDEWEB)

    KHRAPUNOV, V. YE.; ISAKOVA, R.A.; LEVINTOV, B.L.; KALB, P.D.; KAMBEROV, I.M.; TREBUKHOV, A.

    2004-09-25

    Soils beneath and adjacent to the Pavlodar Chemical Plant in Kazakhstan have been contaminated with elemental mercury as a result of chlor alkali processing using mercury cathode cell technology. The work described in this paper was conducted in preparation for a demonstration of a technology to remove the mercury from the contaminated soils using a vacuum assisted thermal distillation process. The process can operate at temperatures from 250-500 C and pressures of 0.13kPa-1.33kPa. Following vaporization, the mercury vapor is cooled, condensed and concentrated back to liquid elemental mercury. It will then be treated using the Sulfur Polymer Stabilization/Solidification process developed at Brookhaven National Laboratory as described in a companion paper at this conference. The overall project objectives include chemical and physical characterization of the contaminated soils, study of the influence of the soil's physical-chemical and hydro dynamical characteristics on process parameters, and laboratory testing to optimize the mercury sublimation rate when heating in vacuum. Based on these laboratory and pilot-scale data, a full-scale production process will be designed for testing. This paper describes the soil characterization. This work is being sponsored by the International Science and Technology Center.

  16. Vacuum system design for the PEP-II B Factory High-Energy Ring

    International Nuclear Information System (INIS)

    Perkins, C.; Bostic, D.; Daly, E.

    1994-06-01

    The design of the vacuum system for the PEP-II B Factory High-Energy Ring is reviewed. The thermal design and vacuum requirements are particularly challenging in PEP-II due to high stored beam currents up to 3.0 amps in 1658 bunches. The vacuum chambers for the HER arcs are fabricated by electron beam welding extruded copper sections up to 6 m long. Design of these chambers and the vacuum PumPing configuration is described with results from vacuum and thermal analyses

  17. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    International Nuclear Information System (INIS)

    Su, Meiying; Yu, Daquan; Liu, Yijun; Wan, Lixi; Song, Chongshen; Dai, Fengwei; Xue, Kai; Jing, Xiangmeng; Guidotti, Daniel

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO 2 films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity

  18. Properties and electric characterizations of tetraethyl orthosilicate-based plasma enhanced chemical vapor deposition oxide film deposited at 400 °C for through silicon via application

    Energy Technology Data Exchange (ETDEWEB)

    Su, Meiying, E-mail: sumeiying@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Yu, Daquan, E-mail: yudaquan@ime.ac.cn [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Jiangsu R and D Center for Internet of Things, Wuxi 214135 (China); Liu, Yijun [Piotech Co. Ltd, Shenyang 110179 (China); Wan, Lixi [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); Song, Chongshen; Dai, Fengwei [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Xue, Kai [National Center for Advanced Packaging, Wuxi 214135 (China); Jing, Xiangmeng [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China); National Center for Advanced Packaging, Wuxi 214135 (China); Guidotti, Daniel [Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029 (China)

    2014-01-01

    The dielectric via liner of through silicon vias was deposited at 400 °C using a tetraethyl orthosilicate (TEOS)-based plasma enhanced chemical vapor deposition process in a via-middle integration scheme. The morphology, conformality and chemical compositions of the liner film were characterized using field emission scanning electron microscopy and Fourier Transform Infrared spectroscopy. The thermal properties and electrical performance of blanket TEOS films were investigated by high temperature film stress and mercury probe Capacitance–Voltage measurements. The TEOS SiO{sub 2} films show good conformality, excellent densification, low thermal stress, high breakdown voltage and low current leakage. - Highlights: • Tetraethyl orthosilicate-based oxide films were deposited for packaging application. • The oxide films deposited plasma-enhanced chemical vapor deposition (PECVD) at 400 °C. • The PECVD oxide films exhibit good step coverage. • The 400 °C PECVD oxide films exhibit low thermal stress and current leakage. • The 400 °C PECVD oxide films show high breakdown voltage and acceptable permittivity.

  19. Layer-dependent supercapacitance of graphene films grown by chemical vapor deposition on nickel foam

    KAUST Repository

    Chen, Wei

    2013-03-01

    High-quality, large-area graphene films with few layers are synthesized on commercial nickel foams under optimal chemical vapor deposition conditions. The number of graphene layers is adjusted by varying the rate of the cooling process. It is found that the capacitive properties of graphene films are related to the number of graphene layers. Owing to the close attachment of graphene films on the nickel substrate and the low charge-transfer resistance, the specific capacitance of thinner graphene films is almost twice that of the thicker ones and remains stable up to 1000 cycles. These results illustrate the potential for developing high-performance graphene-based electrical energy storage devices. © 2012 Elsevier B.V. All rights reserved.

  20. Fabrication and growth mechanism of carbon nanospheres by chemical vapor deposition

    International Nuclear Information System (INIS)

    Tian, F.; He, C.N.

    2010-01-01

    The synthesis of carbon nanospheres (CNSs) by chemical vapor deposition (CVD) of methane on catalyst of Ni-Al composite powders was reported. The influence factors on the growth morphology of CNSs, such as reaction temperature, reaction time and different carrier gases concerning hydrogen, nitrogen as well as no carrier gas were investigated using transmission electron microscope. The results showed that the reaction temperature had great effect on the structure of CNSs, higher temperature led to high-crystallized CNSs with high purity. The reaction time brought no significant influence to the structure of CNSs, but the average diameter of the CNSs was obviously increased with prolonging the reaction time. Relatively pure CNSs could be obtained with hydrogen as the carrier gas but with poor product rate compared with the CNSs with no carrier gas. Proper amount of CNSs with pure characteristic could be obtained with nitrogen as the carrier gas. Finally, a growth mechanism of dissolution-precipitation-diffusion is proposed for elucidating the growth process of general CNSs.

  1. Measurement and analysis of transient vaporization in oxide fuel materials

    International Nuclear Information System (INIS)

    Benson, D.A.; Bergeron, E.G.

    1979-01-01

    This paper describes a series of experiments in which samples are heated to produce high vapor pressure states in times of 10 -6 to 10 -3 seconds. Experimental measurements of vapor pressures over fresh UO 2 from the pulsed electron beam and pulsed reactor heating tests are presented and compared with other high temperature data. The interpretation of the vapor pressure measured in the tests is discussed in detail. Effects of original sample stoichiometry, chemical interactions with the container and non-equilibrium evaporation due to induced temperature gradients are discussed. Special attention is given to dynamic behavior in rapid heating and vaporization of the oxide due to chemical non-equilibrium. Finally, similar projected reactor experiments on irradiated fuel are described and vapor pressure predictions made using available equilibrium models. A discussion of information accessible from such future tests and its importance is presented. (orig.) [de

  2. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.; Smith, Casey; Hussain, Muhammad Mustafa

    2013-01-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  3. Time variant layer control in atmospheric pressure chemical vapor deposition based growth of graphene

    KAUST Repository

    Qaisi, Ramy M.

    2013-04-01

    Graphene is a semi-metallic, transparent, atomic crystal structure material which is promising for its high mobility, strength and transparency - potentially applicable for radio frequency (RF) circuitry and energy harvesting and storage applications. Uniform (same number of layers), continuous (not torn or discontinuous), large area (100 mm to 200 mm wafer scale), low-cost, reliable growth are the first hand challenges for its commercialization prospect. We show a time variant uniform (layer control) growth of bi- to multi-layer graphene using atmospheric chemical vapor deposition system. We use Raman spectroscopy for physical characterization supported by electrical property analysis. © 2013 IEEE.

  4. Chemical interactions between aerosols and vapors in the primary circuit of an LWR during a severe accident

    International Nuclear Information System (INIS)

    Wheatley, C.J.

    1988-01-01

    Aerosol formation, agglomeration, convection and deposition within the primary circuit of an LWR during a severe accident significantly affect the transport of fission products, even though they may compose only a small fraction of the aerosol material. Intra-particle and vapor chemical interactions are important to this through mass transfer between the aerosol and vapor. The authors will describe a model that attempts to account for these processes and of the two-way coupling that exists with the thermal hydraulics. They will discuss what agglomeration and deposition mechanisms must be included, alternatives for treating intra-particle chemical interactions, mechanisms of aerosol formation, and methods for solving the resulting equations. Results will be presented that illustrate the importance of treating the two-way coupling and the extent to which disequilibrium between the aerosol and vapor affects fission product behavior

  5. Finite Element Analysis Modeling of Chemical Vapor Deposition of Silicon Carbide

    Science.gov (United States)

    2014-06-19

    concentrations. This is the method by which species adsorb to the surface of the substrate. The movement resulting from diffusion is governed by...itself. This can be treacherous, however. The mesh is what the entire finite element method is built upon. If the movement of the backbone has... Brownian Motion Algorithm for Tow Scale Modeling of Chemical Vapor Infiltration. Computational Materials Science, 1871-1878. !178 23. Wang, C. & D

  6. Study on stability of a-SiCOF films deposited by plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Ding Shijin; Zhang Qingquan; Wang Pengfei; Zhang Wei; Wang Jitao

    2001-01-01

    Low-dielectric-constant a-SiCOF films have been prepared from TEOS, C 4 F 8 and Ar by using plasma enhanced chemical vapor deposition method. With the aid of X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FTIR), the chemical bonding configuration, thermal stability and resistance to water of the films are explored

  7. High Current Emission from Patterned Aligned Carbon Nanotubes Fabricated by Plasma-Enhanced Chemical Vapor Deposition

    Science.gov (United States)

    Cui, Linfan; Chen, Jiangtao; Yang, Bingjun; Jiao, Tifeng

    2015-12-01

    Vertically, carbon nanotube (CNT) arrays were successfully fabricated on hexagon patterned Si substrates through radio frequency plasma-enhanced chemical vapor deposition using gas mixtures of acetylene (C2H2) and hydrogen (H2) with Fe/Al2O3 catalysts. The CNTs were found to be graphitized with multi-walled structures. Different H2/C2H2 gas flow rate ratio was used to investigate the effect on CNT growth, and the field emission properties were optimized. The CNT emitters exhibited excellent field emission performance (the turn-on and threshold fields were 2.1 and 2.4 V/μm, respectively). The largest emission current could reach 70 mA/cm2. The emission current was stable, and no obvious deterioration was observed during the long-term stability test of 50 h. The results were relevant for practical applications based on CNTs.

  8. VAPOR PRESSURES AND HEATS OF VAPORIZATION OF PRIMARY COAL TARS

    Energy Technology Data Exchange (ETDEWEB)

    Eric M. Suuberg; Vahur Oja

    1997-07-01

    This project had as its main focus the determination of vapor pressures of coal pyrolysis tars. It involved performing measurements of these vapor pressures and from them, developing vapor pressure correlations suitable for use in advanced pyrolysis models (those models which explicitly account for mass transport limitations). This report is divided into five main chapters. Each chapter is a relatively stand-alone section. Chapter A reviews the general nature of coal tars and gives a summary of existing vapor pressure correlations for coal tars and model compounds. Chapter B summarizes the main experimental approaches for coal tar preparation and characterization which have been used throughout the project. Chapter C is concerned with the selection of the model compounds for coal pyrolysis tars and reviews the data available to us on the vapor pressures of high boiling point aromatic compounds. This chapter also deals with the question of identifying factors that govern the vapor pressures of coal tar model materials and their mixtures. Chapter D covers the vapor pressures and heats of vaporization of primary cellulose tars. Chapter E discusses the results of the main focus of this study. In summary, this work provides improved understanding of the volatility of coal and cellulose pyrolysis tars. It has resulted in new experimentally verified vapor pressure correlations for use in pyrolysis models. Further research on this topic should aim at developing general vapor pressure correlations for all coal tars, based on their molecular weight together with certain specific chemical characteristics i.e. hydroxyl group content.

  9. Synthesis of Monolayer MoS2 by Chemical Vapor Deposition

    Science.gov (United States)

    Withanage, Sajeevi; Lopez, Mike; Dumas, Kenneth; Jung, Yeonwoong; Khondaker, Saiful

    Finite and layer-tunable band gap of transition metal dichalcogenides (TMDs) including molybdenum disulfide (MoS2) are highlighted over the zero band gap graphene in various semiconductor applications. Weak interlayer Van der Waal bonding of bulk MoS2 allows to cleave few to single layer MoS2 using top-down methods such as mechanical and chemical exfoliation, however few micron size of these flakes limit MoS2 applications to fundamental research. Bottom-up approaches including the sulfurization of molybdenum (Mo) thin films and co-evaporation of Mo and sulfur precursors received the attention due to their potential to synthesize large area. We synthesized monolayer MoS2 on Si/SiO2 substrates by atmospheric pressure Chemical Vapor Deposition (CVD) methods using sulfur and molybdenum trioxide (MoO3) as precursors. Several growth conditions were tested including precursor amounts, growth temperature, growth time and flow rate. Raman, photoluminescence (PL) and atomic force microscopy (AFM) confirmed monolayer islands merging to create large area were observed with grain sizes up to 70 μm without using any seeds or seeding promoters. These studies provide in-depth knowledge to synthesize high quality large area MoS2 for prospective electronics applications.

  10. Bose–Einstein condensation in a vapor of sodium atoms in an electric field

    International Nuclear Information System (INIS)

    You, Pei-Lin

    2016-01-01

    Bose–Einstein condensation (BEC) at normal temperature (T=343K) has been observed because an electric field was first applied. There are two ways to achieve phase transition: lower the temperature of Bose gas or increase its density. This article provides more appropriate method: increase the voltage. In theory, 3s and 3p states of sodium are not degenerate, but Na may be polar atom doesnot conflict with quantum mechanics because it is hydrogen-like atom. Our innovation lies in we applied an electric field used for the orientation polarization. Na vapor was filled in a cylindrical capacitor. In order to determine the polarity of sodium, we measured the capacitance at different temperatures. If Na is non-polar atom, its capacitance should be independent of temperature because the nucleus of atom is located at the center of the electron cloud. But our experiment shows that its capacitance is related to temperature, so Na is polar atom. In order to achieve Na vapor phase transition, we measured the capacitance at different voltages. From the entropy of Na vapor S=0, the critical voltage V_c=68volts. When V 0; when V>V_c, the atoms become aligned with the field S<0, phase transition occurred. When V=390 volts »V_c, the capacitance decreased from C=1.9C_0 to C≈C_0 (C_0 is the vacuum capacitance), this result implies that almost all the Na atoms (more than 98%) are aligned with the field, Na vapor entered quasi-vacuum state. We create a BEC with 2.506×10"1"7 atoms, condensate fraction reached 98.9%. This is BEC in momentum space. Our experiment shows that if a Bose gas enters quasi-vacuum state, this also means that it underwent phase transition and generates BEC. Therefore, quasi-vacuum state of alkali gas is essentially large-scale BEC. This is an unexpected discovery. BEC and vacuum theory are two unrelated research areas, but now they are closely linked together. The maximum induced dipole moment d_i_n_d≤7.8×10"−"1"5 e cm can be neglected. Ultra

  11. Direct Growth of Graphene on Silicon by Metal-Free Chemical Vapor Deposition

    Science.gov (United States)

    Tai, Lixuan; Zhu, Daming; Liu, Xing; Yang, Tieying; Wang, Lei; Wang, Rui; Jiang, Sheng; Chen, Zhenhua; Xu, Zhongmin; Li, Xiaolong

    2018-06-01

    The metal-free synthesis of graphene on single-crystal silicon substrates, the most common commercial semiconductor, is of paramount significance for many technological applications. In this work, we report the growth of graphene directly on an upside-down placed, single-crystal silicon substrate using metal-free, ambient-pressure chemical vapor deposition. By controlling the growth temperature, in-plane propagation, edge-propagation, and core-propagation, the process of graphene growth on silicon can be identified. This process produces atomically flat monolayer or bilayer graphene domains, concave bilayer graphene domains, and bulging few-layer graphene domains. This work would be a significant step toward the synthesis of large-area and layer-controlled, high-quality graphene on single-crystal silicon substrates. [Figure not available: see fulltext.

  12. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kumar, A.; Voevodin, A.A.; Paul, R.; Altfeder, I.; Zemlyanov, D.; Zakharov, D.N.; Fisher, T.S.

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface

  13. Nitrogen-doped graphene by microwave plasma chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kumar, A., E-mail: kumar50@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Voevodin, A.A. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Paul, R. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Altfeder, I. [Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States); Zemlyanov, D.; Zakharov, D.N. [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Fisher, T.S., E-mail: tsfisher@purdue.edu [Birck Nanotechnolgy Center, Purdue University, West Lafayette, IN 47907 (United States); Materials and Manufacturing Directorate, Air Force Research Laboratory, WPAFB, OH 45433 (United States)

    2013-01-01

    Rapid synthesis of nitrogen-doped, few-layer graphene films on Cu foil is achieved by microwave plasma chemical vapor deposition. The films are doped during synthesis by introduction of nitrogen gas in the reactor. Raman spectroscopy, X-ray photoelectron spectroscopy, transmission electron microscopy and scanning tunneling microscopy reveal crystal structure and chemical characteristics. Nitrogen concentrations up to 2 at.% are observed, and the limit is linked to the rigidity of graphene films on copper surfaces that impedes further nitrogen substitutions of carbon atoms. The entire growth process requires only a few minutes without supplemental substrate heating and offers a promising path toward large-scale synthesis of nitrogen-doped graphene films. - Highlights: ► Rapid synthesis of nitrogen doped few layer graphene on Cu foil. ► Defect density increment on 2% nitrogen doping. ► Nitrogen doped graphene is a good protection to the copper metallic surface.

  14. Correlation of chemical evaporation rate with vapor pressure.

    Science.gov (United States)

    Mackay, Donald; van Wesenbeeck, Ian

    2014-09-02

    A new one-parameter correlation is developed for the evaporation rate (ER) of chemicals as a function of molar mass (M) and vapor pressure (P) that is simpler than existing correlations. It applies only to liquid surfaces that are unaffected by the underlying solid substrate as occurs in the standard ASTM evaporation rate test and to quiescent liquid pools. The relationship has a sounder theoretical basis than previous correlations because ER is correctly correlated with PM rather than P alone. The inclusion of M increases the slope of previous log ER versus log P regressions to a value close to 1.0 and yields a simpler one-parameter correlation, namely, ER (μg m(-1) h(-1)) = 1464P (Pa) × M (g mol(-1)). Applications are discussed for the screening level assessment and ranking of chemicals for evaporation rate, such as pesticides, fumigants, and hydrocarbon carrier fluids used in pesticide formulations, liquid consumer products used indoors, and accidental spills of liquids. The mechanistic significance of the single parameter as a mass-transfer coefficient or velocity is discussed.

  15. Heteroepitaxial growth of 3-5 semiconductor compounds by metal-organic chemical vapor deposition for device applications

    Science.gov (United States)

    Collis, Ward J.; Abul-Fadl, Ali

    1988-01-01

    The purpose of this research is to design, install and operate a metal-organic chemical vapor deposition system which is to be used for the epitaxial growth of 3-5 semiconductor binary compounds, and ternary and quaternary alloys. The long-term goal is to utilize this vapor phase deposition in conjunction with existing current controlled liquid phase epitaxy facilities to perform hybrid growth sequences for fabricating integrated optoelectronic devices.

  16. Chemical vapor deposition of yttria stabilized zirconia in porous substrates

    International Nuclear Information System (INIS)

    Carolan, M.F.; Michaels, J.N.

    1987-01-01

    Electrochemical vapor deposition (EVD) of yttria stabilized zirconia (YSZ) is the preferred route to the production of thin films of YSZ on porous substrates. This process has been used in the construction of both fuel cells and steam electrolyzers. A critical aspect of the EVD process is an initial chemical vapor deposition phase in which the pores of a porous substrate are plugged by YSZ. In this process, water vapor and a mixture of gaseous zirconium chloride and yttrium chloride diffuse into the porous substrate from opposite sides and react to form YSZ and HCl ga. During the second stage of the process a continuous dense film of electrolyte is formed by a tarnishing-type process. Experimentally it is observed that the pores plug within a few pore diameters of the metal chloride face of the substrate. A kinetic rate expression that is first order in metal chloride but zero order in water is best able to explain this phenomenon. With this rate expression, the pores always plug near the metal chloride face. The model predicts less pore narrowing to occur as the ratio of the reaction rate to the diffusion rate of the metal chloride is increased. A kinetic rate expression that is first order in both water and metal chloride predicts that the pores plug much deeper in the substrate

  17. Low-temperature growth of highly crystalline β-Ga2O3 nanowires by solid-source chemical vapor deposition.

    Science.gov (United States)

    Han, Ning; Wang, Fengyun; Yang, Zaixing; Yip, SenPo; Dong, Guofa; Lin, Hao; Fang, Ming; Hung, TakFu; Ho, Johnny C

    2014-01-01

    Growing Ga2O3 dielectric materials at a moderately low temperature is important for the further development of high-mobility III-V semiconductor-based nanoelectronics. Here, β-Ga2O3 nanowires are successfully synthesized at a relatively low temperature of 610°C by solid-source chemical vapor deposition employing GaAs powders as the source material, which is in a distinct contrast to the typical synthesis temperature of above 1,000°C as reported by other methods. In this work, the prepared β-Ga2O3 nanowires are mainly composed of Ga and O elements with an atomic ratio of approximately 2:3. Importantly, they are highly crystalline in the monoclinic structure with varied growth orientations in low-index planes. The bandgap of the β-Ga2O3 nanowires is determined to be 251 nm (approximately 4.94 eV), in good accordance with the literature. Also, electrical characterization reveals that the individual nanowire has a resistivity of up to 8.5 × 10(7) Ω cm, when fabricated in the configuration of parallel arrays, further indicating the promise of growing these highly insulating Ga2O3 materials in this III-V nanowire-compatible growth condition. 77.55.D; 61.46.Km; 78.40.Fy.

  18. Influences of Thermo-Vacuum Treatment on Colors and Chemical Compositions of Alder Birch Wood

    Directory of Open Access Journals (Sweden)

    Yan Yang

    2015-10-01

    Full Text Available With high temperature-heat treatment, the dimensional stability and durability of wood is improved and wood color is darkened as well. In this paper, alder birch wood (Betula alnoides was treated by the Thermo-Vacuum Treatment (TVT. The changes of wood color parameters and the chemical composition were determined by the CIE1976 L*a*b* method and the chemical analysis method, respectively. The results were revealed as follows: (1 A lower value of lightness, L*, and a higher value of total color difference, △E*, were obtained at the higher heat-treatment temperatures and longer treatment time. (2 The higher the heat-treatment temperatures and the longer the heat-treatment times were, the lower the contents of hemicellulose and cellulose were and the higher the content of lignin was. Moreover, Fourier Transform infrared spectroscopy (FTIR analysis demonstrated that the characteristic absorption peaks of cellulose, hemicellulose, and lignin diminished. The acetylation reaction of hemicellulose and the degradation reaction of groups of lignin side chain occurred during TVT. (3 TVT degraded the chemical composition of cell walls, which resulted in further changes of the wood color. A significant correlation existed between the differences of color indices and the differences of the chemical composition after TVT.

  19. Materials for high vacuum technology, an overview

    CERN Document Server

    Sgobba, Stefano

    2007-01-01

    In modern accelerators stringent requirements are placed on materials of vacuum systems. Their physical and mechanical properties, machinability, weldability or brazeability are key parameters. Adequate strength, ductility, magnetic properties at room as well as low temperatures are important factors for vacuum systems of accelerators working at cryogenic temperatures, such as the Large Hadron Collider (LHC) under construction at CERN. In addition, baking or activation of Non-Evaporable Getters (NEG) at high temperatures impose specific choices of material grades of suitable tensile and creep properties in a large temperature range. Today, stainless steels are the dominant materials of vacuum constructions. Their metallurgy is extensively treated. The reasons for specific requirements in terms of metallurgical processes are detailed, in view of obtaining adequate purity, inclusion cleanliness, and fineness of the microstructure. In many cases these requirements are crucial to guarantee the final leak tightnes...

  20. Radiation chemical transformations of some polyarylates by γ-radiation in vacuum

    International Nuclear Information System (INIS)

    Lyashevich, V.V.; Korshak, V.V.; Rodeh, V.V.; Timofeeva, G.I.

    1976-01-01

    A study was made of ageing by radiation of F-2 and D-9 polyarylates using γ-radiation in vacuum. Their high resistance to radiation was indicated. It was found that γ-radiation on polymers caused competing reactions to take place resulting in macromolecular breakdown and structure formation, which in the end produced threedimensional network formation. The initial formation of gel fractions was preceded by the formation of branched macromolecules. The radiation resistance of polyarylates depends on the type of lateral substituent at the central carbon atom of the initial bis-phenol and increases in the presence of a fluorene ring in the lateral chain. The radiation resistance of a lactone ring is lower than that of an ether bond of the main chain. It was shown that 0-hydroxy-ketone structures were formed in the polyarylates examined as a result of regrouping due to radiation. A basic system is proposed for chemical conversion of polyarylates by radiation

  1. Controlling the resistivity gradient in chemical vapor deposition-deposited aluminum-doped zinc oxide

    NARCIS (Netherlands)

    Ponomarev, M. V.; Verheijen, M. A.; Keuning, W.; M. C. M. van de Sanden,; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO: Al layers by focusing on the control

  2. Effect of Different Catalyst Deposition Technique on Aligned Multiwalled Carbon Nanotubes Grown by Thermal Chemical Vapor Deposition

    Directory of Open Access Journals (Sweden)

    Mohamed Shuaib Mohamed Saheed

    2014-01-01

    Full Text Available The paper reported the investigation of the substrate preparation technique involving deposition of iron catalyst by electron beam evaporation and ferrocene vaporization in order to produce vertically aligned multiwalled carbon nanotubes array needed for fabrication of tailored devices. Prior to the growth at 700°C in ethylene, silicon dioxide coated silicon substrate was prepared by depositing alumina followed by iron using two different methods as described earlier. Characterization analysis revealed that aligned multiwalled carbon nanotubes array of 107.9 µm thickness grown by thermal chemical vapor deposition technique can only be achieved for the sample with iron deposited using ferrocene vaporization. The thick layer of partially oxidized iron film can prevent the deactivation of catalyst and thus is able to sustain the growth. It also increases the rate of permeation of the hydrocarbon gas into the catalyst particles and prevents agglomeration at the growth temperature. Combination of alumina-iron layer provides an efficient growth of high density multiwalled carbon nanotubes array with the steady growth rate of 3.6 µm per minute for the first 12 minutes and dropped by half after 40 minutes. Thicker and uniform iron catalyst film obtained from ferrocene vaporization is attributed to the multidirectional deposition of particles in the gaseous form.

  3. Advances in high voltage insulation and arc interruption in SF6 and vacuum

    CERN Document Server

    Maller, V N

    1982-01-01

    Advances in High Voltage Insulation and Arc Interruption in SF6 and Vacuum deals with high voltage breakdown and arc extinction in sulfur hexafluoride (SF6) and high vacuum, with special emphasis on the application of these insulating media in high voltage power apparatus and devices. The design and developmental aspects of various high voltage power apparatus using SF6 and high vacuum are highlighted. This book is comprised of eight chapters and opens with a discussion on electrical discharges in SF6 and high vacuum, along with the properties and handling of SF6 gas. The following chapters fo

  4. Large-scale Fabrication of 2D Materials by Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Shivayogimath, Abhay

    . This thesis aims to address some of the challenges associated with materials fabrication in order to lay the groundwork for commercial implementation of 2D materials. To improve graphene implementation in electronic applications, copper catalyst foils were engineered to reduce surface roughness, wrinkles...... this vast range of materials - without the lattice mismatch constraints of conventional 3D materials - into atomically engineered, artificial 3D crystals that pave the way for new physics, and subsequently, for new applications. 2D materials are expected to disrupt a number of industries in the future......, such as electronics, displays, energy, and catalysis. The key bottleneck for commercial implementation is in large-scale synthesis and subsequent fabrication of high quality devices. Chemical vapor deposition is considered to be the most economically feasible synthesis method to this end. In the case of graphene...

  5. The reaction kinetics of lithium salt with water vapor

    International Nuclear Information System (INIS)

    Balooch, M.; Dinh, L.N.; Calef, D.F.

    2002-01-01

    The interaction of lithium salt (LiH and/or LiD) with water vapor in the partial pressure range of 10 -5 -2657 Pa has been investigated. The reaction probability of water with LiH cleaved in an ultra high vacuum environment was obtained using the modulated molecular beam technique. This probability was 0.11 and independent of LiH surface temperature, suggesting a negligible activation energy for the reaction in agreement with quantum chemical calculations. The value gradually reduced, however, to 0.007 as the surface concentration of oxygen containing product approached full coverage. As the film grew beyond a monolayer, the phase lag of hydrogen product increased from 0 deg. C to 20 deg. C and the reaction probability reduced further until it approached our detection limit (∼10 -4 ). This phase lag was attributed to a diffusion-limited process in this regime. For micrometer thick hydroxide films grown in high moisture concentration environment on LiD and LiH, the reaction probability reduced to ∼4x10 -7 and was independent of exposure time. In this regime of thick hydroxide films (LiOH and/or LiOD), microcracks generated in the films to release stress provided easier pathways for moisture to reach the interface. A modified microscope, capable of both atomic force microscopy and nanoindentation, was also employed to investigate the surface morphology of hydroxide monohydrate (LiOH · H 2 O and/or LiOD · H 2 O) grown on hydroxide at high water vapor partial pressures and the kinetics of this growth

  6. Controllable chemical vapor deposition of large area uniform nanocrystalline graphene directly on silicon dioxide

    DEFF Research Database (Denmark)

    Sun, Jie; Lindvall, Niclas; Cole, Matthew T.

    2012-01-01

    Metal-catalyst-free chemical vapor deposition (CVD) of large area uniform nanocrystalline graphene on oxidized silicon substrates is demonstrated. The material grows slowly, allowing for thickness control down to monolayer graphene. The as-grown thin films are continuous with no observable pinholes...

  7. Chemical vapor deposition of Si/SiC nano-multilayer thin films

    International Nuclear Information System (INIS)

    Weber, A.; Remfort, R.; Woehrl, N.; Assenmacher, W.; Schulz, S.

    2015-01-01

    Stoichiometric SiC films were deposited with the commercially available single source precursor Et_3SiH by classical thermal chemical vapor deposition (CVD) as well as plasma-enhanced CVD at low temperatures in the absence of any other reactive gases. Temperature-variable deposition studies revealed that polycrystalline films containing different SiC polytypes with a Si to carbon ratio of close to 1:1 are formed at 1000 °C in thermal CVD process and below 100 °C in the plasma-enhanced CVD process. The plasma enhanced CVD process enables the reduction of residual stress in the deposited films and offers the deposition on temperature sensitive substrates in the future. In both deposition processes the film thickness can be controlled by variation of the process parameters such as the substrate temperature and the deposition time. The resulting material films were characterized with respect to their chemical composition and their crystallinity using scanning electron microscope, energy dispersive X-ray spectroscopy (XRD), atomic force microscopy, X-ray diffraction, grazing incidence X-ray diffraction, secondary ion mass spectrometry and Raman spectroscopy. Finally, Si/SiC multilayers of up to 10 individual layers of equal thickness (about 450 nm) were deposited at 1000 °C using Et_3SiH and SiH_4. The resulting multilayers features amorphous SiC films alternating with Si films, which feature larger crystals up to 300 nm size as measured by transmission electron microscopy as well as by XRD. XRD features three distinct peaks for Si(111), Si(220) and Si(311). - Highlights: • Stoichiometric silicon carbide films were deposited from a single source precursor. • Thermal as well as plasma-enhanced chemical vapor deposition was used. • Films morphology, crystallinity and chemical composition were characterized. • Silicon/silicon carbide multilayers of up to 10 individual nano-layers were deposited.

  8. Advanced deposition model for thermal activated chemical vapor deposition

    Science.gov (United States)

    Cai, Dang

    Thermal Activated Chemical Vapor Deposition (TACVD) is defined as the formation of a stable solid product on a heated substrate surface from chemical reactions and/or dissociation of gaseous reactants in an activated environment. It has become an essential process for producing solid film, bulk material, coating, fibers, powders and monolithic components. Global market of CVD products has reached multi billions dollars for each year. In the recent years CVD process has been extensively used to manufacture semiconductors and other electronic components such as polysilicon, AlN and GaN. Extensive research effort has been directed to improve deposition quality and throughput. To obtain fast and high quality deposition, operational conditions such as temperature, pressure, fluid velocity and species concentration and geometry conditions such as source-substrate distance need to be well controlled in a CVD system. This thesis will focus on design of CVD processes through understanding the transport and reaction phenomena in the growth reactor. Since the in situ monitor is almost impossible for CVD reactor, many industrial resources have been expended to determine the optimum design by semi-empirical methods and trial-and-error procedures. This approach has allowed the achievement of improvements in the deposition sequence, but begins to show its limitations, as this method cannot always fulfill the more and more stringent specifications of the industry. To resolve this problem, numerical simulation is widely used in studying the growth techniques. The difficulty of numerical simulation of TACVD crystal growth process lies in the simulation of gas phase and surface reactions, especially the latter one, due to the fact that very limited kinetic information is available in the open literature. In this thesis, an advanced deposition model was developed to study the multi-component fluid flow, homogeneous gas phase reactions inside the reactor chamber, heterogeneous surface

  9. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    Energy Technology Data Exchange (ETDEWEB)

    Jiang Hao [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States)]. E-mail: hao.jiang@wpafb.af.mil; Hong Lianggou [Materials Sci and Tech Applications, LLC, 409 Maple Springs Drive, Dayton OH 45458 (United States); Venkatasubramanian, N. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Grant, John T. [Research Institute, University of Dayton, 300 College Park, Dayton, OH 45469-0168 (United States); Eyink, Kurt [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Wiacek, Kevin [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Fries-Carr, Sandra [Air Force Research Laboratory, Propulsion Directorate, 1950 Fifth Street, Wright-Patterson Air Force Base, OH 45433-7251 (United States); Enlow, Jesse [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States); Bunning, Timothy J. [Air Force Research Laboratory, Materials Directorate, 3005 Hobson Way, Wright-Patterson Air Force Base, OH 45433-7707 (United States)

    2007-02-26

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant ({epsilon} {sub r}) and dielectric loss (tan {delta}) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F {sub b}) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F{sub b} of 610 V/{mu}m, an {epsilon} {sub r} of 3.07, and a tan {delta} of 7.0 x 10{sup -3} at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss.

  10. The relationship between chemical structure and dielectric properties of plasma-enhanced chemical vapor deposited polymer thin films

    International Nuclear Information System (INIS)

    Jiang Hao; Hong Lianggou; Venkatasubramanian, N.; Grant, John T.; Eyink, Kurt; Wiacek, Kevin; Fries-Carr, Sandra; Enlow, Jesse; Bunning, Timothy J.

    2007-01-01

    Polymer dielectric films fabricated by plasma enhanced chemical vapor deposition (PECVD) have unique properties due to their dense crosslinked bulk structure. These spatially uniform films exhibit good adhesion to a variety of substrates, excellent chemical inertness, high thermal resistance, and are formed from an inexpensive, solvent-free, room temperature process. In this work, we studied the dielectric properties of plasma polymerized (PP) carbon-based polymer thin films prepared from two precursors, benzene and octafluorocyclobutane. Two different monomer feed locations, directly in the plasma zone or in the downstream region (DS) and two different pressures, 80 Pa (high pressure) or 6.7 Pa (low pressure), were used. The chemical structure of the PECVD films was examined by X-ray photoelectron spectroscopy and Fourier-transform infrared spectroscopy. The dielectric constant (ε r ) and dielectric loss (tan δ) of the films were investigated over a range of frequencies up to 1 MHz and the dielectric strength (breakdown voltage) (F b ) was characterized by the current-voltage method. Spectroscopic ellipsometry was performed to determine the film thickness and refractive index. Good dielectric properties were exhibited, as PP-benzene films formed in the high pressure, DS region showed a F b of 610 V/μm, an ε r of 3.07, and a tan δ of 7.0 x 10 -3 at 1 kHz. The PECVD processing pressure has a significant effect on final film structure and the film's physical density has a strong impact on dielectric breakdown strength. Also noted was that the residual oxygen content in the PP-benzene films significantly affected the frequency dependences of the dielectric constant and loss

  11. TFCX pumped limiter and vacuum pumping system design and analysis

    International Nuclear Information System (INIS)

    Haines, J.R.

    1985-04-01

    Impurity control system design and performance studies were performed in support of the Tokamak Fusion Core Experiment (TFCX) pre-conceptual design. Efforts concentrated on pumped limiter and vacuum pumping system design configuration, thermal/mechanical and erosion lifetime performance of the limiter protective surface, and helium ash removal performance. The reference limiter design forms a continuous toroidal belt at the bottom of the device and features a flat surface with a single leading edge. The vacuum pumping system features large vacuum ducts (diameter approximately 1 m) and high-speed, compound cryopumps. Analysis results indicate that the limiter/vacuum pumping system design provides adequate helium ash removal. Erosion, primarily by disruption-induced vaporization and/or melting, limits the protective surface lifetime to about one calendar year or only about 60 full-power hours of operation. In addition to evaluating impurity control system performance for nominal TFCX conditions, these studies attempt to focus on the key plasma physics and engineering design issues that should be addressed in future research and development programs

  12. Optical and electrical characteristics of plasma enhanced chemical vapor deposition boron carbonitride thin films derived from N-trimethylborazine precursor

    Energy Technology Data Exchange (ETDEWEB)

    Sulyaeva, Veronica S., E-mail: veronica@niic.nsc.ru [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kosinova, Marina L.; Rumyantsev, Yurii M.; Kuznetsov, Fedor A. [Department of Functional Materials Chemistry, Nikolaev Institute of Inorganic Chemistry SB RAS, Novosibirsk 630090 (Russian Federation); Kesler, Valerii G. [Laboratory of Physical Principles for Integrated Microelectronics, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation); Kirienko, Viktor V. [Laboratory of Nonequilibrium Semiconductors Systems, Rzhanov Institute of Semiconductor Physics SB RAS, Novosibirsk 630090 (Russian Federation)

    2014-05-02

    Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition using N-trimethylborazine as a precursor. The films were deposited on Si(100) and fused silica substrates. The grown films were characterized by ellipsometry, Fourier transform infrared spectroscopy, scanning electron microscopy, X-ray energy dispersive spectroscopy, X-ray photoelectron spectroscopy, spectrophotometry, capacitance–voltage and current–voltage measurements. The deposition parameters, such as substrate temperature (373–973 K) and gas phase composition were varied. Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers in the range of 300–2000 nm, the transmittance as high as 93% has been achieved. BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9 depending on the synthesis conditions. - Highlights: • Thin BC{sub x}N{sub y} films have been obtained by plasma enhanced chemical vapor deposition. • N-trimethylborazine was used as a precursor. • Low temperature BC{sub x}N{sub y} films were found to be high optical transparent layers (93%). • BC{sub x}N{sub y} layers are dielectrics with dielectric constant k = 2.2–8.9.

  13. Industrial Scale Synthesis of Carbon Nanotubes Via Fluidized Bed Chemical Vapor Deposition: A Senior Design Project

    Science.gov (United States)

    Smith, York R.; Fuchs, Alan; Meyyappan, M.

    2010-01-01

    Senior year chemical engineering students designed a process to produce 10 000 tonnes per annum of single wall carbon nanotubes (SWNT) and also conducted bench-top experiments to synthesize SWNTs via fluidized bed chemical vapor deposition techniques. This was an excellent pedagogical experience because it related to the type of real world design…

  14. Chemical vapor deposition. Volume 2. 1975--July, 1978 (a bibliography with abstracts). Report for 1975--July 1978

    International Nuclear Information System (INIS)

    Smith, M.F.

    1978-07-01

    Research on chemical vapor deposition of carbon, carbides, ceramics, metals, and glasses are cited. Applications of this process include optical coatings, semiconducting films, laser materials, solar cells, composite fabrication, and nuclear reactor material fabrication. The physical, mechanical, and chemical properties of these coatings are covered

  15. MICHIGAN SOIL VAPOR EXTRACTION REMEDIATION (MISER) MODEL: A COMPUTER PROGRAM TO MODEL SOIL VAPOR EXTRACTION AND BIOVENTING OF ORGANIC CHEMICALS IN UNSATURATED GEOLOGICAL MATERIAL

    Science.gov (United States)

    Soil vapor extraction (SVE) and bioventing (BV) are proven strategies for remediation of unsaturated zone soils. Mathematical models are powerful tools that can be used to integrate and quantify the interaction of physical, chemical, and biological processes occurring in field sc...

  16. Chemical vapor deposition (CVD) of uranium for alpha spectrometry

    International Nuclear Information System (INIS)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F.

    2015-09-01

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  17. Advances in modeling of chemical vapor infiltration for tube fabrication

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Technology

    1998-04-01

    The forced flow/thermal gradient chemical vapor infiltration process (FCVI) can be used for fabrication of tube-shaped components of ceramic matrix composites. Recent experimental work at Oak Ridge National Laboratory (ORNL) includes process and materials development studies using a small tube reactor. Use of FCVI for this geometry involves significant changes in fixturing as compared to disk-shaped preforms previously fabricated. The authors have used their computer model of the CVI process to simulate tube densification and to identify process modifications that will decrease processing time. This report presents recent model developments and applications.

  18. Atmospheric pressure plasma enhanced chemical vapor deposition of zinc oxide and aluminum zinc oxide

    International Nuclear Information System (INIS)

    Johnson, Kyle W.; Guruvenket, Srinivasan; Sailer, Robert A.; Ahrenkiel, S. Phillip; Schulz, Douglas L.

    2013-01-01

    Zinc oxide (ZnO) and aluminum-doped zinc oxide (AZO) thin films were deposited via atmospheric pressure plasma enhanced chemical vapor deposition. A second-generation precursor, bis(1,1,1,5,5,5-hexafluoro-2,4-pentanedionato)(N,N′-diethylethylenediamine) zinc, exhibited significant vapor pressure and good stability at one atmosphere where a vaporization temperature of 110 °C gave flux ∼ 7 μmol/min. Auger electron spectroscopy confirmed that addition of H 2 O to the carrier gas stream mitigated F contamination giving nearly 1:1 metal:oxide stoichiometries for both ZnO and AZO with little precursor-derived C contamination. ZnO and AZO thin film resistivities ranged from 14 to 28 Ω·cm for the former and 1.1 to 2.7 Ω·cm for the latter. - Highlights: • A second generation precursor was utilized for atmospheric pressure film growth. • Addition of water vapor to the carrier gas stream led to a marked reduction of ZnF 2 . • Carbonaceous contamination from the precursor was minimal

  19. Thermal deposition of intact tetrairon(III) single-molecule magnets in high-vacuum conditions.

    Science.gov (United States)

    Margheriti, Ludovica; Mannini, Matteo; Sorace, Lorenzo; Gorini, Lapo; Gatteschi, Dante; Caneschi, Andrea; Chiappe, Daniele; Moroni, Riccardo; de Mongeot, Francesco Buatier; Cornia, Andrea; Piras, Federica M; Magnani, Agnese; Sessoli, Roberta

    2009-06-01

    A tetrairon(III) single-molecule magnet is deposited using a thermal evaporation technique in high vacuum. The chemical integrity is demonstrated by time-of-flight secondary ion mass spectrometry on a film deposited on Al foil, while superconducting quantum interference device magnetometry and alternating current susceptometry of a film deposited on a kapton substrate show magnetic properties identical to the pristine powder. High-frequency electron paramagnetic resonance spectra confirm the characteristic behavior for a system with S = 5 and a large Ising-type magnetic anisotropy. All these results indicate that the molecules are not damaged during the deposition procedure keeping intact the single-molecule magnet behavior.

  20. Lithium-ions diffusion kinetic in LiFePO4/carbon nanoparticles synthesized by microwave plasma chemical vapor deposition for lithium-ion batteries

    Science.gov (United States)

    Gao, Chao; Zhou, Jian; Liu, Guizhen; Wang, Lin

    2018-03-01

    Olivine structure LiFePO4/carbon nanoparticles are synthesized successfully using a microwave plasma chemical vapor deposition (MPCVD) method. Microwave is an effective method to synthesize nanomaterials, the LiFePO4/carbon nanoparticles with high crystallinity can shorten diffusion routes for ionic transfer and electron tunneling. Meanwhile, a high quality, complete and homogenous carbon layer with appropriate thickness coating on the surface of LiFePO4 particles during in situ chemical vapor deposition process, which can ensure that electrons are able to transfer fast enough from all sides. Electrochemical impedance spectroscopy (EIS) is carried out to collect information about the kinetic behavior of lithium diffusion in LiFePO4/carbon nanoparticles during the charging and discharging processes. The chemical diffusion coefficients of lithium ions, DLi, are calculated in the range of 10-15-10-9 cm2s-1. Nanoscale LiFePO4/carbon particles show the longer regions of the faster solid-solution diffusion, and corresponding to the narrower region of the slower two-phase diffusion during the insertion/exaction of lithium ions. The CV and galvanostatic charge-discharge measurements show that the LiFePO4/carbon nanoparticles perform an excellent electrochemical performance, especially the high rate capacity and cycle life.

  1. Handbook of chemical vapor deposition principles, technology and applications

    CERN Document Server

    Pierson, Hugh O

    1999-01-01

    Turn to this new second edition for an understanding of the latest advances in the chemical vapor deposition (CVD) process. CVD technology has recently grown at a rapid rate, and the number and scope of its applications and their impact on the market have increased considerably. The market is now estimated to be at least double that of a mere seven years ago when the first edition of this book was published. The second edition is an update with a considerably expanded and revised scope. Plasma CVD and metallo-organic CVD are two major factors in this rapid growth. Readers will find the latest

  2. High vacuum tribology of polycrystalline diamond coatings

    Indian Academy of Sciences (India)

    Polycrystalline diamond coatings; hot filament CVD; high vacuum tribology. 1. Introduction .... is a characteristic of graphite. We mark the (diamond ... coefficient of friction due to changes in substrate temperature. The average coefficient of.

  3. Ultra high vacuum systems for accelerators

    International Nuclear Information System (INIS)

    Loefgren, P.

    2001-01-01

    Full text: In order to perform controlled, stable, and reproducible experiments, several research areas today require very low pressures. Maybe the most important example is the research that is performed in storage rings and accelerators where the lifetime and stability of particle beams depends critically on the vacuum conditions. Although the vacuum requirements ultimately depend on the kind of experiments that is performed, the studies of more and more rare and exotic species in storage rings and accelerators today pushes the demands on the vacuum conditions towards lower and lower pressures. The final pressure obtained in the vacuum system can often be the key factor for the outcome of an experiment. Pioneering work in vacuum technology has therefore often been performed at storage rings and accelerator facilities around the world. In order to reach pressures in the low UHV regime and lower (below 10 -11 mbar), several aspects have to be considered which implies choosing the proper materials, pumps and vacuum gauges. In the absence of gases inleaking from the outside, the rate of gas entering a vacuum system is determined by the release of molecules adsorbed on the surfaces and the outgassing from the bulk of the vacuum chamber walls. This means that the choice of material and, equally important, the pre treatment of the material, must be such that these rates are minimised. Today the most widely used material for vacuum applications are stainless steel. Besides its many mechanical advantages, it is resistant to corrosion and oxidation. If treated correctly the major gas source in a stainless steel chamber is hydrogen outgassing from the chamber walls. The hydrogen outgassing can be decreased by vacuum firing at 950 deg. C under vacuum. In addition to choosing the right materials the choice of vacuum pumps is important for the final pressure. Since no vacuum pump is capable of taking care of all kinds of gases found in the rest gas at pressures below 10 -11

  4. Regression Methods for Virtual Metrology of Layer Thickness in Chemical Vapor Deposition

    DEFF Research Database (Denmark)

    Purwins, Hendrik; Barak, Bernd; Nagi, Ahmed

    2014-01-01

    The quality of wafer production in semiconductor manufacturing cannot always be monitored by a costly physical measurement. Instead of measuring a quantity directly, it can be predicted by a regression method (Virtual Metrology). In this paper, a survey on regression methods is given to predict...... average Silicon Nitride cap layer thickness for the Plasma Enhanced Chemical Vapor Deposition (PECVD) dual-layer metal passivation stack process. Process and production equipment Fault Detection and Classification (FDC) data are used as predictor variables. Various variable sets are compared: one most...... algorithm, and Support Vector Regression (SVR). On a test set, SVR outperforms the other methods by a large margin, being more robust towards changes in the production conditions. The method performs better on high-dimensional multivariate input data than on the most predictive variables alone. Process...

  5. Ultra high vacuum technology

    CERN Multimedia

    CERN. Geneva

    2001-01-01

    A short introduction for some basic facts and equations. Subsquently, discussion about: Building blocks of an ultrahigh vacuum system - Various types of pumps required to reach uhv and methods to reduce these effects - Outgassing phenomena induced by the presence of a particle beam and the most common methods to reduce these effects It will be given some practical examples from existing CERN accelerators and discuss the novel features of the future LHC vacuum system.

  6. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Directory of Open Access Journals (Sweden)

    Fu Chen

    2017-12-01

    Full Text Available In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD. We have observed that the growth of GaN nucleation layers (NLs under N2 ambient leads to a large full width at half maximum (FWHM of (102 X-ray diffraction (XRD line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  7. High-resistivity unintentionally carbon-doped GaN layers with nitrogen as nucleation layer carrier gas grown by metal-organic chemical vapor deposition

    Science.gov (United States)

    Chen, Fu; Sun, Shichuang; Deng, Xuguang; Fu, Kai; Yu, Guohao; Song, Liang; Hao, Ronghui; Fan, Yaming; Cai, Yong; Zhang, Baoshun

    2017-12-01

    In this letter, high-resistivity unintentionally carbon-doped GaN layers with sheet resistivity greater than 106 Ω/□ have been grown on c-plane sapphire substrates by metal-organic chemical vapor deposition (MOCVD). We have observed that the growth of GaN nucleation layers (NLs) under N2 ambient leads to a large full width at half maximum (FWHM) of (102) X-ray diffraction (XRD) line in the rocking curve about 1576 arc sec. Unintentional carbon incorporation can be observed in the secondary ion mass spectroscopy (SIMS) measurements. The results demonstrate the self-compensation mechanism is attributed to the increased density of edge-type threading dislocations and carbon impurities. The AlGaN/GaN HEMT grown on the high-resistivity GaN template has also been fabricated, exhibiting a maximum drain current of 478 mA/mm, a peak transconductance of 60.0 mS/mm, an ON/OFF ratio of 0.96×108 and a breakdown voltage of 621 V.

  8. A highly miniaturized vacuum package for a trapped ion atomic clock

    Energy Technology Data Exchange (ETDEWEB)

    Schwindt, Peter D. D., E-mail: pschwin@sandia.gov; Jau, Yuan-Yu; Partner, Heather; Casias, Adrian; Wagner, Adrian R.; Moorman, Matthew; Manginell, Ronald P. [Sandia National Laboratories, Albuquerque, New Mexico 87185 (United States); Kellogg, James R.; Prestage, John D. [Jet Propulsion Laboratory, Pasadena, California 91109 (United States)

    2016-05-15

    We report on the development of a highly miniaturized vacuum package for use in an atomic clock utilizing trapped ytterbium-171 ions. The vacuum package is approximately 1 cm{sup 3} in size and contains a linear quadrupole RF Paul ion trap, miniature neutral Yb sources, and a non-evaporable getter pump. We describe the fabrication process for making the Yb sources and assembling the vacuum package. To prepare the vacuum package for ion trapping, it was evacuated, baked at a high temperature, and then back filled with a helium buffer gas. Once appropriate vacuum conditions were achieved in the package, it was sealed with a copper pinch-off and was subsequently pumped only by the non-evaporable getter. We demonstrated ion trapping in this vacuum package and the operation of an atomic clock, stabilizing a local oscillator to the 12.6 GHz hyperfine transition of {sup 171}Y b{sup +}. The fractional frequency stability of the clock was measured to be 2 × 10{sup −11}/τ{sup 1/2}.

  9. Thermodynamic Behavior of Lead-Antimony Alloy in Vacuum Distillation

    Institute of Scientific and Technical Information of China (English)

    1989-01-01

    The distribution of metals in Pb-Sb ailoy during vacuum distillation was calculated.The composition curve of vapor-liquid phases determined by this work is different from those of. other researchers.The curve intersects the diagonal at C.The compositions of vapor and liquid at C are identical.The antimony content of vapor on the left of C is less than that of liquid,and the vapor on the right-side of C contains more antimony.These characteristics can be applied to the elimination of antimony from crude lead or the elimination of lead from crude antimony.The position of C moves rightwards with temperature increment.The discrepency among the compositions of C suggested by diffrent authors was explained.

  10. Catalyst Design Using Nanoporous Iron for the Chemical Vapor Deposition Synthesis of Single-Walled Carbon Nanotubes

    Directory of Open Access Journals (Sweden)

    Tarek M. Abdel-Fattah

    2013-01-01

    Full Text Available Single-walled carbon nanotubes (SWNTs have been synthesized via a novel chemical vapor deposition (CVD approach utilizing nanoporous, iron-supported catalysts. Stable aqueous dispersions of the CVD-grown nanotubes using an anionic surfactant were also obtained. The properties of the as-produced SWNTs were characterized through atomic force microscopy and Raman spectroscopy and compared with purified SWNTs produced via the high-pressure CO (HiPCO method as a reference, and the nanotubes were observed with greater lengths than those of similarly processed HiPCO SWNTs.

  11. Carbonized tantalum catalysts for catalytic chemical vapor deposition of silicon films

    Energy Technology Data Exchange (ETDEWEB)

    Cheng Shimin [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Graduate University of Chinese Academy of Sciences, Beijing 100049 (China); Gao Huiping; Ren Tong; Ying Pinliang [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China); Li Can, E-mail: canli@dicp.ac.cn [State Key Laboratory of Catalysis, Dalian Institute of Chemical Physics, Chinese Academy of Sciences, Dalian 116023 (China); Dalian National Laboratory for Clean Energy, Dalian 116023 (China)

    2012-06-01

    Catalytic chemical vapor deposition (Cat-CVD) has been demonstrated as a promising way to prepare device-quality silicon films. However, catalyst ageing due to Si contamination is an urgency to be solved for the practical application of the technique. In this study, the effect of carbonization of tantalum catalyst on its structure and performance was investigated. The carbonized Ta catalyst has a TaC surface layer which is preserved over the temperature range between 1450 and 1750 Degree-Sign C and no Si contamination occurs on the catalyst after long-term use. Si film prepared using the carbonized Ta catalyst has a similar crystal structure to that prepared by uncarbonized Ta catalyst. Formation of the TaC surface layer can alleviate the ageing problem of the catalyst, which shows great potential as a stable catalyst for Cat-CVD of Si films. - Highlights: Black-Right-Pointing-Pointer Si films prepared by catalytic chemical vapor deposition. Black-Right-Pointing-Pointer Carbonized Ta with a TaC surface layer used as catalyst. Black-Right-Pointing-Pointer TaC surface structure preserved after long-term use in a wide temperature range. Black-Right-Pointing-Pointer Help to solve the ageing problem of metal catalysts. Black-Right-Pointing-Pointer Si film obtained has a similar crystal structure to that prepared by Ta catalyst.

  12. 40 CFR 796.1950 - Vapor pressure.

    Science.gov (United States)

    2010-07-01

    ... (CONTINUED) CHEMICAL FATE TESTING GUIDELINES Physical and Chemical Properties § 796.1950 Vapor pressure. (a.... In addition, chemicals that are likely to be gases at ambient temperatures and which have low water... gases until the measured vapor pressure is constant, a process called “degassing.” Impurities more...

  13. An efficient fabrication of vertically aligned carbon nanotubes on flexible aluminum foils by catalyst-supported chemical vapor deposition

    International Nuclear Information System (INIS)

    Yoshikawa, Naoki; Kishi, Naoki; Sugai, Toshiki; Shinohara, Hisanori; Asari, Takuma; Hayashi, Shigeo

    2008-01-01

    An efficient and versatile growth of thin-layer carbon nanotubes on a flexible aluminum foil (for kitchen use) by catalyst-supported chemical vapor deposition is reported. The aluminum foil used in the present experiment is commercially available for kitchen use. The electron-beam vapor deposition and dip-coating have been used for preparing catalysts on the aluminum foil. Vertically aligned thin-layer CNTs with typical diameters of 2.5-6.0 nm and lengths up to 90 μm are obtained when ethanol is used in combination with Fe and Co catalyst particles at a growth temperature of around 650 deg. C under an Ar/H 2 gas flow. Thermo-gravimetric analyses together with HR-TEM observations indicate that the purity of the CNTs synthesized by the current technique is very high

  14. Recovery of rare earths from used polishes by chemical vapor transport process

    International Nuclear Information System (INIS)

    Ozaki, T.; Machida, K.; Adachi, G.

    1998-01-01

    Full text: Rare earth oxide polishes are widely used in the glass industry because of its mechanical and chemical polishing action. The Japanese glass industry use 2000 tons per year of the polishes, and a large portion of them are thrown away after their polishing lifetime. A dry recovery processes for rare earths from the used polishes have been investigated by using a chemical vapor transport method via the formation of vapor complexes RAl n Cl 3+3n (R = rare earths). A flow type reactor with various temperature gradients was employed for the process. The used polishes were mixed with active carbon, and chlorinated with N 2 + Cl 2 mixture at 1273 K. Aluminium oxide were also chlorinated at lower temperature and the resulting AlCl 3 were introduced to the reactor. The rare earth chlorides and AlCl 3 were converted to the vapor complexes. These were driven along the temperature gradient, decomposed according to the reverse reaction, and regenerated RCl 3 . About 90 % of the used polish were chlorinated after 2 hours. Rare earth chlorides, AlCl 3 , and FeCl 3 were fully transported after 82 hours. The rare earth chlorides were mainly condensed over the temperature range 1263-903 K. On the other hand, AlCl 3 and FeCl 3 were deposited at the temperature range below 413 K. CaCl 2 and SrCl 2 were hardly transported and remained in the residue. When the temperature gradient with the smaller slope was used, mutual separation efficiencies among the rare earths was improved. The highest CeCl 3 purity of 80% was obtained in the process

  15. High-temperature quadrupole mass spectrometer for studying vaporization from materials heated by a CO2 laser

    International Nuclear Information System (INIS)

    Fredin, L.; Hansen, G.P.; Sampson, M.P.; Margrave, J.L.; Behrens, R.G.

    1986-09-01

    To evaluate the effectiveness of mass spectrometry techniques in studying vaporization from selected materials, we designed a mass spectrometer than can be used either with a continuous wave or pulsed laser heating system or with a conventional furnace heating system. Our experimental apparatus, the components of which are described in detail, consisted of a quadrupole mass spectrometer positioned in a crossed-beam configuration, controlling electronics, a data acquisition system, a vacuum system, a cryogenic collimation system, and a laser heating system. Results of mass spectral scans taken during laser pyrolysis of polymeric materials and laser vaporization of graphite were compatible with data reported in other studies. Results of mass spectral studies of laser-induced combustion in the Ti + C system are also presented

  16. Zno Micro/Nanostructures Grown on Sapphire Substrates Using Low-Temperature Vapor-Trapped Thermal Chemical Vapor Deposition: Structural and Optical Properties

    Directory of Open Access Journals (Sweden)

    Po-Sheng Hu

    2017-12-01

    Full Text Available In this research, the Zn(C5H7O22·xH2O-based growth of ZnO micro/nanostructures in a low temperature, vapor-trapped chemical vapor deposition system was attempted to optimize structural and optical properties for potential biomedical applications. By trapping in-flow gas molecules and Zinc vapor inside a chamber tube by partially obstructing a chamber outlet, a high pressure condition can be achieved, and this experimental setup has the advantages of ease of synthesis, being a low temperature process, and cost effectiveness. Empirically, the growth process proceeded under a chamber condition of an atmospheric pressure of 730 torr, a controlled volume flow rate of input gas, N2/O2, of 500/500 Standard Cubic Centimeters per Minute (SCCM, and a designated oven temperature of 500 °C. Specifically, the dependence of structural and optical properties of the structures on growth duration and spatially dependent temperature were investigated utilizing scanning electron microscopy, X-ray diffraction (XRD, photoluminescence (PL, and ultraviolet-visible transmission spectroscopy. The experimental results indicate that the grown thin film observed with hexagonal structures and higher structural uniformity enables more prominent structural and optical signatures. XRD spectra present the dominant peaks along crystal planes of (002 and (101 as the main direction of crystallization. In addition, while the structures excited with laser wavelength of 325 nm emit a signature radiation around 380 nm, an ultraviolet lamp with a wavelength of 254 nm revealed distinctive photoluminescence peaks at 363.96 nm and 403.52 nm, elucidating different degrees of structural correlation as functions of growth duration and the spatial gradient of temperature. Transmittance spectra of the structures illustrate typical variation in the wavelength range of 200 nm to 400 nm, and its structural correlation is less significant when compared with PL.

  17. Synthesis of mullite coatings by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Mulpuri, R.P.; Auger, M.; Sarin, V.K. [Boston Univ., MA (United States)

    1996-08-01

    Formation of mullite on ceramic substrates via chemical vapor deposition was investigated. Mullite is a solid solution of Al{sub 2}O{sub 3} and SiO{sub 2} with a composition of 3Al{sub 2}O{sub 3}{circ}2SiO{sub 2}. Thermodynamic calculations performed on the AlCl{sub 3}-SiCl{sub 4}-CO{sub 2}-H{sub 2} system were used to construct equilibrium CVD phase diagrams. With the aid of these diagrams and consideration of kinetic rate limiting factors, initial process parameters were determined. Through process optimization, crystalline CVD mullite coatings have been successfully grown on SiC and Si{sub 3}N{sub 4} substrates. Results from the thermodynamic analysis, process optimization, and effect of various process parameters on deposition rate and coating morphology are discussed.

  18. Effect of impact angle on vaporization

    Science.gov (United States)

    Schultz, Peter H.

    1996-09-01

    Impacts into easily vaporized targets such as dry ice and carbonates generate a rapidly expanding vapor cloud. Laboratory experiments performed in a tenuous atmosphere allow deriving the internal energy of this cloud through well-established and tested theoretical descriptions. A second set of experiments under near-vacuum conditions provides a second measure of energy as the internal energy converts to kinetic energy of expansion. The resulting data allow deriving the vaporized mass as a function of impact angle and velocity. Although peak shock pressures decrease with decreasing impact angle (referenced to horizontal), the amount of impact-generated vapor is found to increase and is derived from the upper surface. Moreover, the temperature of the vapor cloud appears to decrease with decreasing angle. These unexpected results are proposed to reflect the increasing roles of shear heating and downrange hypervelocity ricochet impacts created during oblique impacts. The shallow provenance, low temperature, and trajectory of such vapor have implications for larger-scale events, including enhancement of atmospheric and biospheric stress by oblique terrestrial impacts and impact recycling of the early atmosphere of Mars.

  19. A simple, high-yield, apparatus for NEG coating of vacuum beamline elements

    International Nuclear Information System (INIS)

    Ron, G; Oort, R; Lee, D

    2010-01-01

    Non-Evaporable Getter (NEG) materials are extremely useful in vacuum systems for achieving Ultra High Vacuum. Recently, these materials have been used to coat the inner surfaces of vacuum components, acting as an internal, passive, vacuum pump. We have constructed a low cost apparatus, which allows coating of very small diameter vacuum tubes, used as differential pumping stages. Despite the relative ease of construction, we are routinely able to achieve high coating yields. We further describe an improvement to our system, which is able to achieve the same yield, at an even lower complexity by using an easily manufactured permanent magnet arrangement. The designs described are extendible to virtually any combination of length and diameter of the components to be coated.

  20. One-dimensional surface-imprinted polymeric nanotubes for specific biorecognition by initiated chemical vapor deposition (iCVD).

    Science.gov (United States)

    Ince, Gozde Ozaydin; Armagan, Efe; Erdogan, Hakan; Buyukserin, Fatih; Uzun, Lokman; Demirel, Gokhan

    2013-07-24

    Molecular imprinting is a powerful, generic, and cost-effective technique; however, challenges still remain related to the fabrication and development of these systems involving nonhomogeneous binding sites, insufficient template removing, incompatibility with aqueous media, low rebinding capacity, and slow mass transfer. The vapor-phase deposition of polymers is a unique technique because of the conformal nature of coating and offers new possibilities in a number of applications including sensors, microfluidics, coating, and bioaffinity platforms. Herein, we demonstrated a simple but versatile concept to generate one-dimensional surface-imprinted polymeric nanotubes within anodic aluminum oxide (AAO) membranes based on initiated chemical vapor deposition (iCVD) technique for biorecognition of immunoglobulin G (IgG). It is reported that the fabricated surface-imprinted nanotubes showed high binding capacity and significant specific recognition ability toward target molecules compared with the nonimprinted forms. Given its simplicity and universality, the iCVD method can offer new possibilities in the field of molecular imprinting.

  1. Highly sensitive and selective room-temperature NO_2 gas sensor based on bilayer transferred chemical vapor deposited graphene

    International Nuclear Information System (INIS)

    Seekaew, Yotsarayuth; Phokharatkul, Ditsayut; Wisitsoraat, Anurat; Wongchoosuk, Chatchawal

    2017-01-01

    Highlights: • Simple and low-cost fabrication of bilayer graphene gas sensor was presented. • Layer effects of graphene on NO_2 gas-sensing properties were investigated. • Bilayer graphene sensor exhibited a high linear NO_2 sensitivity of 1.409 ppm"−"1. • The NO_2-sensing mechanisms based on band diagram were highlighted. - Abstract: This work presents a highly sensitive room-temperature gas sensor based on bilayer graphene fabricated by an interfacial transfer of chemical vapor deposited graphene onto nickel interdigitated electrodes. Scanning electron microscopic and Raman spectroscopic characterizations confirm the presence of graphene on interdigitated nickel electrodes with varying numbers of graphene layers. The NO_2 detection performances of bilayer graphene gas sensor have been investigated in comparison with those of monolayer and multilayer graphene gas sensors at room temperature. From results, the bilayer graphene gas sensor exhibits higher response, sensitivity and selectivity to NO_2 than monolayer and multilayer graphene. The sensitivity of bilayer graphene gas sensor is 1.409 ppm"−"1 towards NO_2 over a concentration range of 1–25 ppm, which is more than twice higher than that of monolayer graphene. The NO_2-sensing mechanism of graphene sensing film has been explained based on the direct charge transfer process due to the adsorption of NO_2 molecules.

  2. Structural and photoluminescence investigation on the hot-wire assisted plasma enhanced chemical vapor deposition growth silicon nanowires

    International Nuclear Information System (INIS)

    Chong, Su Kong; Goh, Boon Tong; Wong, Yuen-Yee; Nguyen, Hong-Quan; Do, Hien; Ahmad, Ishaq; Aspanut, Zarina; Muhamad, Muhamad Rasat; Dee, Chang Fu; Rahman, Saadah Abdul

    2012-01-01

    High density of silicon nanowires (SiNWs) were synthesized by a hot-wire assisted plasma enhanced chemical vapor deposition technique. The structural and optical properties of the as-grown SiNWs prepared at different rf power of 40 and 80 W were analyzed in this study. The SiNWs prepared at rf power of 40 W exhibited highly crystalline structure with a high crystal volume fraction, X C of ∼82% and are surrounded by a thin layer of SiO x . The NWs show high absorption in the high energy region (E>1.8 eV) and strong photoluminescence at 1.73 to 2.05 eV (red–orange region) with a weak shoulder at 1.65 to 1.73 eV (near IR region). An increase in rf power to 80 W reduced the X C to ∼65% and led to the formation of nanocrystalline Si structures with a crystallite size of <4 nm within the SiNWs. These NWs are covered by a mixture of uncatalyzed amorphous Si layer. The SiNWs prepared at 80 W exhibited a high optical absorption ability above 99% in the broadband range between 220 and ∼1500 nm and red emission between 1.65 and 1.95 eV. The interesting light absorption and photoluminescence properties from both SiNWs are discussed in the text. - Highlights: ► Growth of random oriented silicon nanowires using hot-wire assisted plasma enhanced chemical vapor deposition. ► Increase in rf power reduces the crystallinity of silicon nanowires. ► High density and nanocrystalline structure in silicon nanowires significant enhance the near IR light absorption. ► Oxide defects and silicon nanocrystallites in silicon nanowires reveal photoluminescence in red–orange and red regions.

  3. Cermet insert high voltage holdoff for ceramic/metal vacuum devices

    Science.gov (United States)

    Ierna, William F.

    1987-01-01

    An improved metal-to-ceramic seal is provided wherein the ceramic body of the seal contains an integral region of cermet material in electrical contact with the metallic member, e.g., an electrode, of the seal. The seal is useful in high voltage vacuum devices, e.g., vacuum switches, and increases the high-voltage holdoff capabilities of such devices. A method of fabricating such seals is also provided.

  4. Measurement of gas transport properties for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1996-12-01

    In the chemical vapor infiltration (CVI) process for fabricating ceramic matrix composites (CMCs), transport of gas phase reactant into the fiber preform is a critical step. The transport can be driven by pressure or by concentration. This report describes methods for measuring this for CVI preforms and partially infiltrated composites. Results are presented for Nicalon fiber cloth layup preforms and composites, Nextel fiber braid preforms and composites, and a Nicalon fiber 3-D weave composite. The results are consistent with a percolating network model for gas transport in CVI preforms and composites. This model predicts inherent variability in local pore characteristics and transport properties, and therefore, in local densification during processing; this may lead to production of gastight composites.

  5. Development of a fluorine-free chemical solution deposition route for rare-earth cuprate superconducting tapes and its application to reel-to-reel processing

    DEFF Research Database (Denmark)

    Tang, Xiao

    temperature, REBCO (RE= rare earth) has some evident advantages compared to other high-temperature superconductors in retaining high current densities under strong magnetic fields, thus REBCO high temperature superconducto rs have significant potential for high field engineering applications. Compared...... to Pulsed Laser Deposition (PLD) and Chemical Vapor Deposition (CVD), the trifluoroacetate metal-organic deposition (TFA-MOD) route is more promising for producing REBCO superconducting films, owing to the high-Jc, high reproducibility, and low cost of this technique, which doesn't require any high vacuum...... on the microstructure and performance of FF-MOD derived YBCO films was investigated. Chapter 9 is the summary of the thesis....

  6. High Charge State Ions Extracted from Metal Plasmas in the Transition Regime from Vacuum Spark to High Current Vacuum Arc

    International Nuclear Information System (INIS)

    Yushkov, Georgy Yu.; Anders, A.

    2008-01-01

    Metal ions were extracted from pulsed discharge plasmas operating in the transition region between vacuum spark (transient high voltage of kV) and vacuum arc (arc voltage ∼ 20 V). At a peak current of about 4 kA, and with a pulse duration of 8 (micro)s, we observed mean ion charges states of about 6 for several cathode materials. In the case of platinum, the highest average charge state was 6.74 with ions of charge states as high as 10 present. For gold we found traces of charge state 11, with the highest average charge state of 7.25. At currents higher than 5 kA, non-metallic contaminations started to dominate the ion beam, preventing further enhancement of the metal charge states

  7. Chemically enhanced mixed region vapor stripping of TCE-contaminated saturated peat and silty clay soils

    International Nuclear Information System (INIS)

    West, O.R.; Cameron, P.A.; Lucero, A.J.; Koran, L.J. Jr.

    1996-01-01

    The objective of this study was to conduct further testing of MRVS, chemically enhanced with calcium oxide conditioning, on field- contaminated soils collected from beneath the NASA Michoud Rinsewater Impoundment. In this study, residual soil VOC levels as a function of vapor stripping time were measured to quantify VOC removal rates. Physical and chemical soil parameters expected to affect MRVS efficiency were measures. The effects of varying the calcium oxide loadings as well as varying the vapor stripping flow rates on VOC removal were also evaluated. The results of this study will be used to determine whether acceptable removals can be achieved within reasonable treatment times, remediation costs being directly proportional to the latter. The purpose of this report is to document the experimental results of this study, as well as to address issues that were raised after completion of the previous Michoud treatability work

  8. Bose–Einstein condensation in a vapor of sodium atoms in an electric field

    Energy Technology Data Exchange (ETDEWEB)

    You, Pei-Lin, E-mail: youpeli@163.com

    2016-06-15

    Bose–Einstein condensation (BEC) at normal temperature (T=343K) has been observed because an electric field was first applied. There are two ways to achieve phase transition: lower the temperature of Bose gas or increase its density. This article provides more appropriate method: increase the voltage. In theory, 3s and 3p states of sodium are not degenerate, but Na may be polar atom doesnot conflict with quantum mechanics because it is hydrogen-like atom. Our innovation lies in we applied an electric field used for the orientation polarization. Na vapor was filled in a cylindrical capacitor. In order to determine the polarity of sodium, we measured the capacitance at different temperatures. If Na is non-polar atom, its capacitance should be independent of temperature because the nucleus of atom is located at the center of the electron cloud. But our experiment shows that its capacitance is related to temperature, so Na is polar atom. In order to achieve Na vapor phase transition, we measured the capacitance at different voltages. From the entropy of Na vapor S=0, the critical voltage V{sub c}=68volts. When V0; when V>V{sub c}, the atoms become aligned with the field S<0, phase transition occurred. When V=390 volts »V{sub c}, the capacitance decreased from C=1.9C{sub 0} to C≈C{sub 0} (C{sub 0} is the vacuum capacitance), this result implies that almost all the Na atoms (more than 98%) are aligned with the field, Na vapor entered quasi-vacuum state. We create a BEC with 2.506×10{sup 17} atoms, condensate fraction reached 98.9%. This is BEC in momentum space. Our experiment shows that if a Bose gas enters quasi-vacuum state, this also means that it underwent phase transition and generates BEC. Therefore, quasi-vacuum state of alkali gas is essentially large-scale BEC. This is an unexpected discovery. BEC and vacuum theory are two unrelated research areas, but now they are closely linked together. The maximum

  9. Characterization of selective solar absorber under high vacuum.

    Science.gov (United States)

    Russo, Roberto; Monti, Matteo; di Giamberardino, Francesco; Palmieri, Vittorio G

    2018-05-14

    Total absorption and emission coefficients of selective solar absorbers are measured under high vacuum conditions from room temperature up to stagnation temperature. The sample under investigation is illuminated under vacuum @1000W/m 2 and the sample temperature is recorded during heat up, equilibrium and cool down. During stagnation, the absorber temperature exceeds 300°C without concentration. Data analysis allows evaluating the solar absorptance and thermal emittance at different temperatures. These in turn are useful to predict evacuated solar panel performances at operating conditions.

  10. High temperature high vacuum creep testing facilities

    International Nuclear Information System (INIS)

    Matta, M.K.

    1985-01-01

    Creep is the term used to describe time-dependent plastic flow of metals under conditions of constant load or stress at constant high temperature. Creep has an important considerations for materials operating under stresses at high temperatures for long time such as cladding materials, pressure vessels, steam turbines, boilers,...etc. These two creep machines measures the creep of materials and alloys at high temperature under high vacuum at constant stress. By the two chart recorders attached to the system one could register time and temperature versus strain during the test . This report consists of three chapters, chapter I is the introduction, chapter II is the technical description of the creep machines while chapter III discuss some experimental data on the creep behaviour. Of helium implanted stainless steel. 13 fig., 3 tab

  11. PARAFFIN SEPARATION VACUUM DISTILLATION

    Directory of Open Access Journals (Sweden)

    Zaid A. Abdulrahman

    2013-05-01

    Full Text Available Simulated column performance curves were constructed for existing paraffin separation vacuum distillation column in LAB plant (Arab Detergent Company/Baiji-Iraq. The variables considered in this study are the thermodynamic model option, top vacuum pressure, top and bottom temperatures, feed temperature, feed composition & reflux ratio. Also simulated columns profiles for the temperature, vapor & liquid flow rates composition were constructed. Four different thermodynamic model options (SRK, TSRK, PR, and ESSO were used, affecting the results within 1-25% variation for the most cases.The simulated results show that about 2% to 8 % of paraffin (C10, C11, C12, & C13 present at the bottom stream which may cause a problem in the LAB plant. The major variations were noticed for the top temperature & the  paraffin weight fractions at bottom section with top vacuum pressure. The bottom temperature above 240 oC is not recommended because the total bottom flow rate decreases sharply, where as  the weight fraction of paraffins decrease slightly. The study gives evidence about a successful simulation with CHEMCAD

  12. Chemical vapor deposition polymerization the growth and properties of parylene thin films

    CERN Document Server

    Fortin, Jeffrey B

    2004-01-01

    Chemical Vapor Deposition Polymerization - The Growth and Properties of Parylene Thin Films is intended to be valuable to both users and researchers of parylene thin films. It should be particularly useful for those setting up and characterizing their first research deposition system. It provides a good picture of the deposition process and equipment, as well as information on system-to-system variations that is important to consider when designing a deposition system or making modifications to an existing one. Also included are methods to characterizae a deposition system's pumping properties as well as monitor the deposition process via mass spectrometry. There are many references that will lead the reader to further information on the topic being discussed. This text should serve as a useful reference source and handbook for scientists and engineers interested in depositing high quality parylene thin films.

  13. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-01-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 deg. C down to 450 deg. C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  14. Low-temperature synthesis of graphene on nickel foil by microwave plasma chemical vapor deposition

    Science.gov (United States)

    Kim, Y.; Song, W.; Lee, S. Y.; Jeon, C.; Jung, W.; Kim, M.; Park, C.-Y.

    2011-06-01

    Microwave plasma chemical vapor deposition (MPCVD) was employed to synthesize high quality centimeter scale graphene film at low temperatures. Monolayer graphene was obtained by varying the gas mixing ratio of hydrogen and methane to 80:1. Using advantages of MPCVD, the synthesis temperature was decreased from 750 °C down to 450 °C. Optical microscopy and Raman mapping images exhibited that a large area monolayer graphene was synthesized regardless of the temperatures. Since the overall transparency of 89% and low sheet resistances ranging from 590 to 1855 Ω/sq of graphene films were achieved at considerably low synthesis temperatures, MPCVD can be adopted in manufacturing future large-area electronic devices based on graphene film.

  15. Chemical Vapor-Deposited (CVD) Diamond Films for Electronic Applications

    Science.gov (United States)

    1995-01-01

    Diamond films have a variety of useful applications as electron emitters in devices such as magnetrons, electron multipliers, displays, and sensors. Secondary electron emission is the effect in which electrons are emitted from the near surface of a material because of energetic incident electrons. The total secondary yield coefficient, which is the ratio of the number of secondary electrons to the number of incident electrons, generally ranges from 2 to 4 for most materials used in such applications. It was discovered recently at the NASA Lewis Research Center that chemical vapor-deposited (CVD) diamond films have very high secondary electron yields, particularly when they are coated with thin layers of CsI. For CsI-coated diamond films, the total secondary yield coefficient can exceed 60. In addition, diamond films exhibit field emission at fields orders of magnitude lower than for existing state-of-the-art emitters. Present state-of-the-art microfabricated field emitters generally require applied fields above 5x10^7 V/cm. Research on field emission from CVD diamond and high-pressure, high-temperature diamond has shown that field emission can be obtained at fields as low as 2x10^4 V/cm. It has also been shown that thin layers of metals, such as gold, and of alkali halides, such as CsI, can significantly increase field emission and stability. Emitters with nanometer-scale lithography will be able to obtain high-current densities with voltages on the order of only 10 to 15 V.

  16. Excessively High Vapor Pressure of Al-based Amorphous Alloys

    Directory of Open Access Journals (Sweden)

    Jae Im Jeong

    2015-10-01

    Full Text Available Aluminum-based amorphous alloys exhibited an abnormally high vapor pressure at their approximate glass transition temperatures. The vapor pressure was confirmed by the formation of Al nanocrystallites from condensation, which was attributed to weight loss of the amorphous alloys. The amount of weight loss varied with the amorphous alloy compositions and was inversely proportional to their glass-forming ability. The vapor pressure of the amorphous alloys around 573 K was close to the vapor pressure of crystalline Al near its melting temperature, 873 K. Our results strongly suggest the possibility of fabricating nanocrystallites or thin films by evaporation at low temperatures.

  17. Equilibrium chemical vapor deposition growth of Bernal-stacked bilayer graphene.

    Science.gov (United States)

    Zhao, Pei; Kim, Sungjin; Chen, Xiao; Einarsson, Erik; Wang, Miao; Song, Yenan; Wang, Hongtao; Chiashi, Shohei; Xiang, Rong; Maruyama, Shigeo

    2014-11-25

    Using ethanol as the carbon source, self-limiting growth of AB-stacked bilayer graphene (BLG) has been achieved on Cu via an equilibrium chemical vapor deposition (CVD) process. We found that during this alcohol catalytic CVD (ACCVD) a source-gas pressure range exists to break the self-limitation of monolayer graphene on Cu, and at a certain equilibrium state it prefers to form uniform BLG with a high surface coverage of ∼94% and AB-stacking ratio of nearly 100%. More importantly, once the BLG is completed, this growth shows a self-limiting manner, and an extended ethanol flow time does not result in additional layers. We investigate the mechanism of this equilibrium BLG growth using isotopically labeled (13)C-ethanol and selective surface aryl functionalization, and results reveal that during the equilibrium ACCVD process a continuous substitution of graphene flakes occurs to the as-formed graphene and the BLG growth follows a layer-by-layer epitaxy mechanism. These phenomena are significantly in contrast to those observed for previously reported BLG growth using methane as precursor.

  18. Vibrationally Excited Carbon Monoxide Produced via a Chemical Reaction Between Carbon Vapor and Oxygen

    Science.gov (United States)

    Jans, Elijah R.; Eckert, Zakari; Frederickson, Kraig; Rich, Bill; Adamovich, Igor V.

    2017-06-01

    Measurements of the vibrational distribution function of carbon monoxide produced via a reaction between carbon vapor and molecular oxygen has shown a total population inversion on vibrational levels 4-7. Carbon vapor, produced using an arc discharge to sublimate graphite, is mixed with an argon oxygen flow. The excited carbon monoxide is vibrationally populated up to level v=14, at low temperatures, T=400-450 K, in a collision-dominated environment, 15-20 Torr, with total population inversions between v=4-7. The average vibrational energy per CO molecule formed by the reaction is 0.6-1.2 eV/molecule, which corresponds to 10-20% of the reaction enthalpy. Kinetic modeling of the flow reactor, including state specific vibrational processes, was performed to infer the vibrational distribution of the products of the reaction. The results show viability of developing of a new chemical CO laser from the reaction of carbon vapor and oxygen.

  19. Influence of a high vacuum on the precise positioning using an ultrasonic linear motor.

    Science.gov (United States)

    Kim, Wan-Soo; Lee, Dong-Jin; Lee, Sun-Kyu

    2011-01-01

    This paper presents an investigation of the ultrasonic linear motor stage for use in a high vacuum environment. The slider table is driven by the hybrid bolt-clamped Langevin-type ultrasonic linear motor, which is excited with its different modes of natural frequencies in both lateral and longitudinal directions. In general, the friction behavior in a vacuum environment becomes different from that in an environment of atmospheric pressure and this difference significantly affects the performance of the ultrasonic linear motor. In this paper, to consistently provide stable and high power of output in a high vacuum, frequency matching was conducted. Moreover, to achieve the fine control performance in the vacuum environment, a modified nominal characteristic trajectory following control method was adopted. Finally, the stage was operated under high vacuum condition, and the operating performances were investigated compared with that of a conventional PI compensator. As a result, robustness of positioning was accomplished in a high vacuum condition with nanometer-level accuracy.

  20. Influence of a high vacuum on the precise positioning using an ultrasonic linear motor

    International Nuclear Information System (INIS)

    Kim, Wan-Soo; Lee, Dong-Jin; Lee, Sun-Kyu

    2011-01-01

    This paper presents an investigation of the ultrasonic linear motor stage for use in a high vacuum environment. The slider table is driven by the hybrid bolt-clamped Langevin-type ultrasonic linear motor, which is excited with its different modes of natural frequencies in both lateral and longitudinal directions. In general, the friction behavior in a vacuum environment becomes different from that in an environment of atmospheric pressure and this difference significantly affects the performance of the ultrasonic linear motor. In this paper, to consistently provide stable and high power of output in a high vacuum, frequency matching was conducted. Moreover, to achieve the fine control performance in the vacuum environment, a modified nominal characteristic trajectory following control method was adopted. Finally, the stage was operated under high vacuum condition, and the operating performances were investigated compared with that of a conventional PI compensator. As a result, robustness of positioning was accomplished in a high vacuum condition with nanometer-level accuracy.

  1. Graphene synthesis by laser-assisted chemical vapor deposition on Ni plate and the effect of process parameters on uniform graphene growth

    International Nuclear Information System (INIS)

    Jiang, Juan; Lin, Zhe; Ye, Xiaohui; Zhong, Minlin; Huang, Ting; Zhu, Hongwei

    2014-01-01

    A fast, simple technique was developed to fabricate few-layer graphene films at ambient pressure and room temperature by laser-assisted chemical vapor deposition on polycrystalline Ni plates. Laser scanning speed was found as the most important factor in the production of few-layer graphene. The quality of graphene films was controlled by varying the laser power. Uniform graphene ribbons with a width of 1.5 mm and a length of 16 mm were obtained at a scanning speed of 1.3 mm/s and a laser power of 600 W. The developed technique provided a promising application of a high-power laser system to fabricate a graphene film. - Highlights: • Uniform few-layer graphene was fabricated at room temperature and ambient conditions. • Laser-assisted chemical vapor deposition was used to grow the layers in a few seconds. • The effect of process parameters on graphene growth was discussed. • This cost effective method could facilitate the integration of graphene in electronic devices

  2. Chemical vapor deposition based tungsten disulfide (WS2) thin film transistor

    KAUST Repository

    Hussain, Aftab M.

    2013-04-01

    Tungsten disulfide (WS2) is a layered transition metal dichalcogenide with a reported band gap of 1.8 eV in bulk and 1.32-1.4 eV in its thin film form. 2D atomic layers of metal dichalcogenides have shown changes in conductivity with applied electric field. This makes them an interesting option for channel material in field effect transistors (FETs). Therefore, we show a highly manufacturable chemical vapor deposition (CVD) based simple process to grow WS2 directly on silicon oxide in a furnace and then its transistor action with back gated device with room temperature field effect mobility of 0.1003 cm2/V-s using the Schottky barrier contact model. We also show the semiconducting behavior of this WS2 thin film which is more promising than thermally unstable organic materials for thin film transistor application. Our direct growth method on silicon oxide also holds interesting opportunities for macro-electronics applications. © 2013 IEEE.

  3. Chemical Vapor Deposition of Photocatalyst Nanoparticles on PVDF Membranes for Advanced Oxidation Processes

    Directory of Open Access Journals (Sweden)

    Giovanni De Filpo

    2018-06-01

    Full Text Available The chemical binding of photocatalytic materials, such as TiO2 and ZnO nanoparticles, onto porous polymer membranes requires a series of chemical reactions and long purification processes, which often result in small amounts of trapped nanoparticles with reduced photocatalytic activity. In this work, a chemical vapor deposition technique was investigated in order to allow the nucleation and growth of ZnO and TiO2 nanoparticles onto polyvinylidene difluoride (PVDF porous membranes for application in advanced oxidation processes. The thickness of obtained surface coatings by sputtered nanoparticles was found to depend on process conditions. The photocatalytic efficiency of sputtered membranes was tested against both a model drug and a model organic pollutant in a small continuous flow reactor.

  4. Preparation of hafnium carbide by chemical vapor deposition

    International Nuclear Information System (INIS)

    Hertz, Dominique.

    1974-01-01

    Hard, adhesive coatings of single-phase hafnium carbide were obtained by chemical vapor reaction in an atmosphere containing hafnium tetrachloride, methane and a large excess of hydrogen. By varying the gas phase composition and temperature the zones of formation of the different solid phases were studied and the growth of elementary hafnium and carbon deposits evaluated separately. The results show that the mechanism of hafnium carbide deposition does not hardly involve phenomene of homogeneous-phase methane decomposition or tetrachloride reduction by hydrogen unless the atmosphere is very rich or very poor in methane with respect to tetrachloride. However, hydrogen acting inversely on these two reactions, affects the stoichiometry of the substance deposited. The methane decomposition reaction is fairly slow, the reaction leading to hafnium carbide deposition is faster and that of tetrachloride reduction by hydrogen is quite fast [fr

  5. High-quality graphene grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition and its electrical transport properties

    Energy Technology Data Exchange (ETDEWEB)

    Yang, He; Shen, Chengmin, E-mail: cmshen@iphy.ac.cn; Tian, Yuan; Bao, Lihong; Chen, Peng; Yang, Rong; Yang, Tianzhong; Li, Junjie; Gu, Changzhi; Gao, Hong-Jun [Beijing National Laboratory for Condensed Matter Physics, Institute of Physics, Chinese Academy of Sciences, Beijing 100190 (China)

    2016-02-08

    High-quality continuous uniform monolayer graphene was grown on polycrystalline PtRh{sub 20} alloy foils by low pressure chemical vapor deposition. The morphology of graphene was investigated by Raman spectroscopy, scanning electron microscopy, and atomic force microscopy. Analysis results confirm that high quality single-layer graphene was fabricated on PtRh{sub 20} foil at 1050 °C using a lower flux of methane under low pressure. Graphene films were transferred onto the SiO{sub 2}/Si substrate by the bubbling transfer method. The mobility of a test field effect transistor made of the graphene grown on PtRh{sub 20} was measured and reckoned at room temperature, showing that the carrier mobility was about 4000 cm{sup 2} V{sup −1} s{sup −1}. The results indicate that desired quality of single-layer graphene grown on PtRh{sub 20} foils can be obtained by tuning reaction conditions.

  6. Design, development and tests of high-performance silicon vapor chamber

    International Nuclear Information System (INIS)

    Cai, Qingjun; Chen, Bing-chung; Tsai, Chialun

    2012-01-01

    This paper presents a novel triple stack process to develop an all-silicon thermal ground plane (TGP) vapor chamber that enables fabrication of compact, large scale, low thermal expansion coefficient mismatch and high-performance heat transfer devices. The TGP vapor chamber is formed through bonding three etched silicon wafers. On both the top and bottom wafers, microscale and high aspect ratio wick structures are etched for liquid transport. The 1.5 mm thick middle layer contains the cavities for vapor flow. To achieve hermetic seal, glass frit with four sealing rings, approximately 300 µm wide and 30 µm thick, is used to bond the edges and supporting posts. For experimental evaluations, 3 mm × 38 mm × 38 mm TGP vapor chambers are developed. The volume density of the heat transfer device is approximately 1.5 × 10 3 kg m −3 . Measurement of mass loss and stability studies of heat transfer indicates that the vapor chamber system is hermetically sealed. Using ethanol as the operating liquid, high heat transfer performance is demonstrated. Effective thermal conductivity reaches over 2500 W m −1  ⋅ K −1 . Under high g environment, experimental results show good liquid transport capabilities of the wick structures. (paper)

  7. Design, development and tests of high-performance silicon vapor chamber

    Science.gov (United States)

    Cai, Qingjun; Chen, Bing-chung; Tsai, Chialun

    2012-03-01

    This paper presents a novel triple stack process to develop an all-silicon thermal ground plane (TGP) vapor chamber that enables fabrication of compact, large scale, low thermal expansion coefficient mismatch and high-performance heat transfer devices. The TGP vapor chamber is formed through bonding three etched silicon wafers. On both the top and bottom wafers, microscale and high aspect ratio wick structures are etched for liquid transport. The 1.5 mm thick middle layer contains the cavities for vapor flow. To achieve hermetic seal, glass frit with four sealing rings, approximately 300 µm wide and 30 µm thick, is used to bond the edges and supporting posts. For experimental evaluations, 3 mm × 38 mm × 38 mm TGP vapor chambers are developed. The volume density of the heat transfer device is approximately 1.5 × 103 kg m-3. Measurement of mass loss and stability studies of heat transfer indicates that the vapor chamber system is hermetically sealed. Using ethanol as the operating liquid, high heat transfer performance is demonstrated. Effective thermal conductivity reaches over 2500 W m-1 ṡ K-1. Under high g environment, experimental results show good liquid transport capabilities of the wick structures.

  8. Passivated graphene transistors fabricated on a millimeter-sized single-crystal graphene film prepared with chemical vapor deposition

    International Nuclear Information System (INIS)

    Lin, Meng-Yu; Lee, Si-Chen; Lin, Shih-Yen; Wang, Cheng-Hung; Chang, Shu-Wei

    2015-01-01

    In this work, we first investigate the effects of partial pressures and flow rates of precursors on the single-crystal graphene growth using chemical vapor depositions on copper foils. These factors are shown to be critical to the growth rate, seeding density and size of graphene single crystals. The prepared graphene films in millimeter sizes are then bubbling transferred to silicon-dioxide/silicon substrates for high-mobility graphene transistor fabrications. After high-temperature annealing and hexamethyldisilazane passivation, the water attachment is removed from the graphene channel. The elimination of uncontrolled doping and enhancement of carrier mobility accompanied by these procedures indicate that they are promising for fabrications of graphene transistors. (paper)

  9. Facile synthesis of graphene on single mode fiber via chemical vapor deposition

    International Nuclear Information System (INIS)

    Zhang, C.; Man, B.Y.; Jiang, S.Z.; Yang, C.; Liu, M.; Chen, C.S.; Xu, S.C.; Feng, D.J.; Bi, D.; Liu, F.Y.; Qiu, H.W.

    2014-01-01

    Direct deposition of graphene film on the standard single mode fiber is offered using a Cu-vapor-assisted chemical vapor deposition system. The gas flow of H 2 and Ar before the growth process plays a crucial role for the direct deposition of the graphene film and the layers of the graphene can be controlled by the growth time. With a large gas flow, Cu atoms are carried off with the gas flow and hard to deposit on the surface of the single mode fiber before the growth process. Consequently, uniform graphene film is obtained in this case. On the contrary, with a lower one, Cu atoms is facile to deposit on the surface of the single mode fiber and form nanodots acting as active catalytic sites for the growth of carbon nanotubes. This method presents us a promising transfer-free technique for fabrication of the photonic applications.

  10. Review on mechanism of directly fabricating wafer-scale graphene on dielectric substrates by chemical vapor deposition

    Science.gov (United States)

    Ning, Jing; Wang, Dong; Chai, Yang; Feng, Xin; Mu, Meishan; Guo, Lixin; Zhang, Jincheng; Hao, Yue

    2017-07-01

    To date, chemical vapor deposition on transition metal catalysts is a potential way to achieve low cost, high quality and uniform wafer-scale graphene. However, the removal and transfer process of the annoying catalytic metals underneath can bring large amounts of uncertain factors causing the performance deterioration of graphene, such as the pollution of surface polymeric residues, unmentioned doping and structural damages. Thus, to develop a technique of directly fabricating graphene on dielectric substrates is quite meaningful. In this review, we will present specific methods of catalyst- or transfer-free techniques for graphene growth and discuss the diversity of growth mechanisms.

  11. Low-pressure chemical vapor deposition as a tool for deposition of thin film battery materials

    NARCIS (Netherlands)

    Oudenhoven, J.F.M.; Dongen, van T.; Niessen, R.A.H.; Croon, de M.H.J.M.; Notten, P.H.L.

    2009-01-01

    Low Pressure Chemical Vapor Deposition was utilized for the deposition of LiCoO2 cathode materials for all-solid-state thin-film micro-batteries. To obtain insight in the deposition process, the most important process parameters were optimized for the deposition of crystalline electrode films on

  12. Structured nanocarbon on various metal foils by microwave plasma enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Rius, G; Yoshimura, M

    2013-01-01

    We present a versatile process for the engineering of nanostructures made of crystalline carbon on metal foils. The single step process by microwave plasma-enhance chemical vapor deposition is demonstrated for various substrate materials, such as Ni or Cu. Either carbon nanotubes (CNT) or carbon nanowalls (CNW) are obtained under same growth conditions and without the need of additional catalyst. The use of spacer and insulator implies a certain control over the kind of allotropes that are obtained. High density and large surface area are morphological characteristics of the thus obtained C products. The possibility of application on many metals, and in the alloy composition, on as-delivered commercially available foils indicates that this strategy can be adapted to a bunch of specific applications, while the production of C nanostructures is of remarkable simplicity.

  13. Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing

    Science.gov (United States)

    2018-04-27

    Final 3. DATES COVERED (From - To) 4. TITLE AND SUBTITLE Test Operations Procedure (TOP) 08-2-188 Chemical Point Detector Vapor Testing 5a. CONTRACT...NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) 5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING...ORGANIZATION NAME(S) AND ADDRESS(ES) US Army Dugway Proving Ground West Desert Test Center (TEDT-DPW) Dugway, UT 84022-5000 8. PERFORMING ORGANIZATION

  14. Chemical vapor infiltration of TiB{sub 2} composites

    Energy Technology Data Exchange (ETDEWEB)

    Besmann, T.M. [Oak Ridge National Laboratory, TN (United States)

    1995-05-01

    This program is designed to develop a Hall-Heroult aluminum smelting cathode with substantially improved properties. The carbon cathodes in current use require significant anode-to-cathode spacing in order to prevent shorting, causing significant electrical inefficiencies. This is due to the non-wettability of carbon by aluminum which causes instability in the cathodic aluminum pad. It is suggested that a fiber reinforced-TiB{sub 2} matrix composite would have the requisite wettability, strength, strain-to-failure, cost, and lifetime to solve this problem. The approach selected to fabricate such a cathode material is chemical vapor infiltration (CVI). This program is designed to evaluate potential fiber reinforcements, fabricate test specimens, and test the materials in a static bath and lab-scale Hall cell.

  15. Characterization of tin dioxide film for chemical vapors sensor

    International Nuclear Information System (INIS)

    Hafaiedh, I.; Helali, S.; Cherif, K.; Abdelghani, A.; Tournier, G.

    2008-01-01

    Recently, oxide semiconductor material used as transducer has been the central topic of many studies for gas sensor. In this paper we investigated the characteristic of a thick film of tin dioxide (SnO 2 ) film for chemical vapor sensor. It has been prepared by screen-printing technology and deposited on alumina substrate provided with two gold electrodes. The morphology, the molecular composition and the electrical properties of this material have been characterized respectively by Atomic Force Spectroscopy (AFM), Fourier Transformed Infrared Spectroscopy (FTIR) and Impedance Spectroscopy (IS). The electrical properties showed a resistive behaviour of this material less than 300 deg. C which is the operating temperature of the sensor. The developed sensor can identify the nature of the detected gas, oxidizing or reducing

  16. High-temperature stability of chemically vapor-deposited tungsten-silicon couples rapid thermal annealed in ammonia and argon

    International Nuclear Information System (INIS)

    Broadbent, E.K.; Morgan, A.E.; Flanner, J.M.; Coulman, B.; Sadana, D.K.; Burrow, B.J.; Ellwanger, R.C.

    1988-01-01

    A rapid thermal anneal (RTA) in an NH 3 ambient has been found to increase the thermal stability of W films chemically vapor deposited (CVD) on Si. W films deposited onto single-crystal Si by low-pressure CVD were rapid thermal annealed at temperatures between 500 and 1100 0 C in NH 3 and Ar ambients. The reactions were studied using Rutherford backscattering spectrometry, x-ray diffraction, Auger electron spectroscopy, transmission electron microscopy, and four-point resistivity probe. High-temperature (≥1000 0 C) RTA in Ar completely converted W into the low resistivity (31 μΩ cm) tetragonal WSi 2 phase. In contrast, after a prior 900 0 C RTA in NH 3 , N inclusion within the W film and at the W/Si interface almost completely suppressed the W-Si reaction. Detailed examination, however, revealed some patches of WSi 2 formed at the interface accompanied by long tunnels extending into the substrate, and some crystalline precipitates in the substrate close to the interface. The associated interfacial contact resistance was only slightly altered by the 900 0 C NH 3 anneal. The NH 3 -treated W film acted as a diffusion barrier in an Al/W/Si contact metallurgy up to at least 550 0 C, at which point some increase in contact resistance was measured

  17. Organic, inorganic and total mercury determination in fish by chemical vapor generation with collection on a gold gauze and electrothermal atomic absorption spectrometry

    International Nuclear Information System (INIS)

    Duarte, Fabio Andrei; Bizzi, Cezar Augusto; Goldschmidt Antes, Fabiane; Dressler, Valderi Luiz; Flores, Erico Marlon de Moraes

    2009-01-01

    A method for organic, inorganic and total mercury determination in fish tissue has been developed using chemical vapor generation and collection of mercury vapor on a gold gauze inside a graphite tube and further atomization by electrothermal atomic absorption spectrometry. After drying and cryogenic grinding, potassium bromide and hydrochloric acid solution (1 mol L - 1 KBr in 6 mol L - 1 HCl) was added to the samples. After centrifugation, total mercury was determined in the supernatant. Organomercury compounds were selectively extracted from KBr solution using chloroform and the resultant solution was back extracted with 1% m/v L-cysteine. This solution was used for organic Hg determination. Inorganic Hg remaining in KBr solution was directly determined by chemical vapor generation electrothermal atomic absorption spectrometry. Mercury vapor generation from extracts was performed using 1 mol L - 1 HCl and 2.5% m/v NaBH 4 solutions and a batch chemical vapor generation system. Mercury vapor was collected on the gold gauze heated resistively at 80 deg. C and the atomization temperature was set at 650 deg. C. The selectivity of extraction was evaluated using liquid chromatography coupled to chemical vapor generation and determination by inductively coupled plasma mass spectrometry. The proposed method was applied for mercury analysis in shark, croaker and tuna fish tissues. Certified reference materials were used to check accuracy and the agreement was better than 95%. The characteristic mass was 60 pg and method limits of detection were 5, 1 and 1 ng g - 1 for organic, inorganic and total mercury, respectively. With the proposed method it was possible to analyze up to 2, 2 and 6 samples per hour for organic, inorganic and total Hg determination, respectively.

  18. ZnO nanowall network grown by chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Mukherjee, Amrita, E-mail: but.then.perhaps@gmail.com; Dhar, Subhabrata [Department of Physics, Indian Institute of Technology Bombay, Powai, Mumbai-400076 (India)

    2015-06-24

    Network of wedge shaped ZnO nanowalls are grown on c-sapphire by Chemical Vapor Deposition (CVD) technique. Structural studies using x-ray diffraction show much better crystallinity in the nanowall sample as compared to the continuous film. Moreover, the defect related broad green luminescence is found to be suppressed in the nanowall sample. The low temperature photoluminescence study also suggests the quantum confinement of carriers in nanowall sample. Electrical studies performed on the nanowalls show higher conductivity, which has been explained in terms of the reduction of scattering cross-section as a result of 1D quantum confinement of carriers on the tip of the nanowalls.

  19. Vacuum surface flashover and high pressure gas streamers

    International Nuclear Information System (INIS)

    Elizondo, J.M.; Krogh, M.L.; Smith, D.; Stolz, D.; Wright, S.N.

    1997-07-01

    Pre-breakdown current traces obtained during high pressure gas breakdown and vacuum surface flashover show similar signatures. The initial pre-breakdown current spike, a flat constant current phase, and the breakdown phase with voltage collapse and current surge differ mostly in magnitude. Given these similarities, a model, consisting of the initial current spike corresponding to a fast precursor streamer (ionization wave led by a photoionizing front), the flat current stage as the heating or glow phase, and the terminal avalanche and gap closure, is applied to vacuum surface flashover. A simple analytical approximation based on the resistivity changes induced in the vacuum and dielectric surface is presented. The approximation yields an excellent fit to pre-breakdown time delay vs applied field for previously published experimental data. A detailed kinetics model that includes surface and gas contributions is being developed based in the initial approximation

  20. Improved crystal quality of a-plane GaN with high- temperature 3-dimensional GaN buffer layers deposited by using metal-organic chemical vapor deposition

    International Nuclear Information System (INIS)

    Park, Sung Hyun; Moon, Dae Young; Kim, Bum Ho; Kim, Dong Uk; Chang, Ho Jun; Jeon, Heon Su; Yoon, Eui Joon; Joo, Ki Su; You, Duck Jae; Nanishi, Yasushi

    2012-01-01

    a-plane GaN on r-plane sapphire substrates suffers from high density defects and rough surfaces. To obtain pit-free a-plane GaN by metal-organic chemical vapor deposition, we intentionally grew high-temperature (HT) 3-dimensional (3D) GaN buffer layers on a GaN nucleation layer. The effects of the HT 3D GaN buffer layers on crystal quality and the surface morphology of a-plane GaN were studied. The insertion of a 3D GaN buffer layer with an optimum thickness was found to be an effective method to obtain pit-free a-plane GaN with improved crystalline quality on r-plane sapphire substrates. An a-plane GaN light emitting diode (LED) at an emission wavelength around 480 nm with negligible peak shift was successfully fabricated.

  1. Vacuum science, technology, and applications

    CERN Document Server

    Naik, Pramod K

    2018-01-01

    Vacuum plays an important role in science and technology. The study of interaction of charged particles, neutrals and radiation with each other and with solid surfaces requires a vacuum environment for reliable investigations. Vacuum has contributed immensely to advancements made in nuclear science, space, metallurgy, electrical/electronic technology, chemical engineering, transportation, robotics and many other fields. This book is intended to assist students, scientists, technicians and engineers to understand the basics of vacuum science and technology for application in their projects. The fundamental theories, concepts, devices, applications, and key inventions are discussed.

  2. Fast-opening vacuum switches for high-power inductive energy storage

    International Nuclear Information System (INIS)

    Cooperstein, G.

    1988-01-01

    The subject of fast-opening vacuum switches for high-power inductive energy storage is emerging as an exciting new area of plasma science research. This opening switch technology, which generally involves the use of plasmas as the switching medium, is key to the development of inductive energy storage techniques for pulsed power which have a number of advantages over conventional capacitive techniques with regard to cost and size. This paper reviews the state of the art in this area with emphasis on applications to inductive storage pulsed power generators. Discussion focuses on fast-opening vacuum switches capable of operating at high power (≥10 12 W). These include plasma erosion opening switches, ion beam opening switches, plasma filled diodes, reflex diodes, plasma flow switches, and other novel vacuum opening switches

  3. ELETTRA vacuum system

    International Nuclear Information System (INIS)

    Bernardini, M.; Daclon, F.; Giacuzzo, F.; Miertusova, J.; Pradal, F.; Kersevan, R.

    1993-01-01

    Elettra is a third-generation synchrotron light source which is being built especially for the use of high brilliance radiation from insertion devices and bending magnets. The UHV conditions in a storage ring lead to a longer beam lifetime - one of the most important criterion. The Elettra vacuum system presents some pecularities which cannot be found in any already existing machine. The final version of bending magnet vacuum chamber is presented. After chemical and thermal conditioning the specific outgassing rate of about 1.5e-12 Torr. liters sec -1 cm -2 was obtained. A microprocessor-controlled system has been developed to perform bake-out at the uniform temperature. The etched-foil type heaters are glued to the chamber and Microtherm insulation is used. UHV pumps based on standard triode sputter-ion pumps were modified with ST 707 NEG (Non Evaporable Getter) modules. A special installation enables the resistive activation of getters and significantly increases pumping speed for hydrogen and other residual gases (except methane and argon). All these technological innovations improve vacuum conditions in Elettra storage ring and consequently also the other parameters of the light source

  4. Top-gated chemical vapor deposition grown graphene transistors with current saturation.

    Science.gov (United States)

    Bai, Jingwei; Liao, Lei; Zhou, Hailong; Cheng, Rui; Liu, Lixin; Huang, Yu; Duan, Xiangfeng

    2011-06-08

    Graphene transistors are of considerable interest for radio frequency (rf) applications. In general, transistors with large transconductance and drain current saturation are desirable for rf performance, which is however nontrivial to achieve in graphene transistors. Here we report high-performance top-gated graphene transistors based on chemical vapor deposition (CVD) grown graphene with large transconductance and drain current saturation. The graphene transistors were fabricated with evaporated high dielectric constant material (HfO(2)) as the top-gate dielectrics. Length scaling studies of the transistors with channel length from 5.6 μm to 100 nm show that complete current saturation can be achieved in 5.6 μm devices and the saturation characteristics degrade as the channel length shrinks down to the 100-300 nm regime. The drain current saturation was primarily attributed to drain bias induced shift of the Dirac points. With the selective deposition of HfO(2) gate dielectrics, we have further demonstrated a simple scheme to realize a 300 nm channel length graphene transistors with self-aligned source-drain electrodes to achieve the highest transconductance of 250 μS/μm reported in CVD graphene to date.

  5. Microwave plasma-assisted chemical vapor deposition of porous carbon film as supercapacitive electrodes

    Science.gov (United States)

    Wu, Ai-Min; Feng, Chen-Chen; Huang, Hao; Paredes Camacho, Ramon Alberto; Gao, Song; Lei, Ming-Kai; Cao, Guo-Zhong

    2017-07-01

    Highly porous carbon film (PCF) coated on nickel foam was prepared successfully by microwave plasma-assisted chemical vapor deposition (MPCVD) with C2H2 as carbon source and Ar as discharge gas. The PCF is uniform and dense with 3D-crosslinked nanoscale network structure possessing high degree of graphitization. When used as the electrode material in an electrochemical supercapacitor, the PCF samples verify their advantageous electrical conductivity, ion contact and electrochemical stability. The test results show that the sample prepared under 1000 W microwave power has good electrochemical performance. It displays the specific capacitance of 62.75 F/g at the current density of 2.0 A/g and retains 95% of its capacitance after 10,000 cycles at the current density of 2.0 A/g. Besides, its near-rectangular shape of the cyclic voltammograms (CV) curves exhibits typical character of an electric double-layer capacitor, which owns an enhanced ionic diffusion that can fit the requirements for energy storage applications.

  6. Liquid and vapor phase fluids visualization using an exciplex chemical sensor

    International Nuclear Information System (INIS)

    Kim, Jong Uk; Kim, Guang Hoon; Kim, Chang Bum; Suk, Hyyong

    2001-01-01

    Two dimensional slices of the cross-sectional distributions of fuel images in the combustion chamber were visualized quantitatively using a laser-induced exciplex (excited state complex) fluorescence technique. A new exciplex visualization system consisting of 5%DMA (N, N-dimethylaniline) · 5%1, 4,6-TMN (trimethylnaphthalene) in 90% isooctane (2,2,4-trimethylpentane) fuel was employed. In this method, the vapor phase was tagged by the monomer fluorescence while the liquid phase was tracked by the red-shifted exciplex fluorescence with good spectral and spatial resolution. The direct calibration of the fluorescence intensity as a function of the fluorescing dopant concentrations then permitted the determination of quantitative concentration maps of liquid and vapor phases in the fuel. The 308 nm (XeCl) line of the excimer laser was used to excite the doped molecules in the fuel and the resulting fluorescence images were obtained with an ICCD detector as a function time. In this paper, the spectroscopy of the exciplex chemical sensors as well as the optical diagnostic method of the fluid distribution is discussed in detail.

  7. Low temperature synthesis of Zn nanowires by physical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Schroeder, Philipp; Kast, Michael; Brueckl, Hubert [Austrian Research Centers GmbH ARC, Nano- Systemtechnologies, Donau-City-Strasse 1, A-1220 Wien (Austria)

    2007-07-01

    We demonstrate catalytic growth of zinc nanowires by physical vapor deposition at modest temperatures of 125-175 C on various substrates. In contrast to conventional approaches using tube furnaces our home-built growth system allows to control the vapor sources and the substrate temperature separately. The silicon substrates were sputter coated with a thin gold layer as metal catalyst. The samples were heated to the growth temperature and subsequently exposed to the zinc vapor at high vacuum conditions. The work pressure was adjusted by the partial pressure of oxygen or argon flow gas. Scanning electron microscopy and atomic force microscopy characterizations revealed that the nanowires exhibit straight, uniform morphology and have diameters in the range of 50-350 nm and lengths up to 70 {mu}m. The Zn nanowires grow independently of the substrates crystal orientation via a catalytic vapor-solid growth mechanism. Since no nanowire formation was observed without gold coating, we expect that the onedimensional growth is initiated by a surface reactive Au seed. ZnO nanowires can be produced in the same preparation chamber by oxidation at 500 C in 1atm (80% Ar, 20% O{sub 2}) for 1 hour. ZnO is highly attractive for sensor applications.

  8. In-well vapor stripping drilling and characterization work plan

    International Nuclear Information System (INIS)

    Koegler, K.J.

    1994-01-01

    This work plan provides the information necessary for drilling, sampling, and hydrologic testing of wells to be completed in support of a demonstration of the in-well vapor stripping system. The in-well vapor stripping system is a remediation technology designed to preferentially extract volatile organic compounds (VOCs) from contaminated groundwater by converting them to a vapor phase. Air-lift pumping is used to lift and aerate groundwater within the well. The volatiles escaping the aerated water are drawn off by a slight vacuum and treated at the surface while the water is allowed to infiltrate the vadose zone back to the watertable

  9. Determining the mode of high voltage breakdowns in vacuum devices

    International Nuclear Information System (INIS)

    Miller, H.C.; Furno, E.J.; Sturtz, J.P.

    1980-01-01

    Devices were constructed which were essentially vacuum diodes equipped with windows allowing observation of high voltage breakdowns. The waveform of the applied voltage was photographed, and the x-ray output was monitored to investigate electrical breakdown in these vacuum diodes. Results indicate that breakdowns may be divided into two types: (1) vacuum (interelectrode) breakdown - characterized by a diffuse moderately bright discharge, a relative slow and smooth voltage collapse, and a large burst of x-rays, and (2) surface (insulator) flashover - characterized by a bright discharge with a very bright filamentary core, a relatively fast and noisy voltage collapse and no x-ray burst. Useful information concerning the type of breakdown in a vacuum device can be obtained by monitoring the voltage (current) waveform and the x-ray output

  10. Monatomic chemical-vapor-deposited graphene membranes bridge a half-millimeter-scale gap.

    Science.gov (United States)

    Lee, Choong-Kwang; Hwangbo, Yun; Kim, Sang-Min; Lee, Seoung-Ki; Lee, Seung-Mo; Kim, Seong-Su; Kim, Kwang-Seop; Lee, Hak-Joo; Choi, Byung-Ik; Song, Chang-Kyu; Ahn, Jong-Hyun; Kim, Jae-Hyun

    2014-03-25

    One of the main concerns in nanotechnology is the utilization of nanomaterials in macroscopic applications without losing their extreme properties. In an effort to bridge the gap between the nano- and macroscales, we propose a clever fabrication method, the inverted floating method (IFM), for preparing freestanding chemical-vapor-deposited (CVD) graphene membranes. These freestanding membranes were then successfully suspended over a gap a half-millimeter in diameter. To understand the working principle of IFM, high-speed photography and white light interferometry were used to characterize and analyze the deformation behaviors of the freestanding graphene membranes in contact with a liquid during fabrication. Some nanoscale configurations in the macroscopic graphene membranes were able to be characterized by simple optical microscopy. The proposed IFM is a powerful approach to investigating the macroscopic structures of CVD graphene and enables the exploitation of freestanding CVD graphene for device applications.

  11. Chemically vapor deposited coatings for multibarrier containment of nuclear wastes

    International Nuclear Information System (INIS)

    Rusin, J.M.; Shade, J.W.; Kidd, R.W.; Browning, M.F.

    1981-01-01

    Chemical vapor deposition (CVD) was selected as a feasible method to coat ceramic cores, since the technology has previously been demonstrated for high-temperature gas-cooled reactor (HTGR) fuel particles. CVD coatings, including SiC, PyC (pyrolytic carbon), SiO 2 , and Al 2 O 3 were studied. This paper will discuss the development and characterization of PyC and Al 2 O 3 CVD coatings on supercalcine cores. Coatings were applied to 2 mm particles in either fluidized or vibrating beds. The PyC coating was deposited in a fluidized bed with ZrO 2 diluent from C 2 H 2 at temperatures between 1100 and 1200 0 C. The Al 2 O 3 coatings were deposited in a vibrated bed by a two-stage process to minimize loss of PyC during the overcoating operation. This process involved applying 10 μm of Al 2 O 3 using water vapor hydrolysis of AlCl 3 and then switching to the more surface-controlled hydrolysis via the H 2 + CO 2 reaction (3CO 2 + 3H 2 + 2AlCl 3 = Al 2 O 3 + 6HCl + 3CO). Typically, 50 to 80 μm Al 2 O 3 coatings were applied over 30 to 40 μm PyC coatings. The coatings were evaluated by metallographic examination, PyC oxidation tests, and leach resistance. After air oxidation for 100 hours at 750 0 C, the duplex PyC/Al 2 O 3 coated particles exhibited a weight loss of 0.01 percent. Leach resistance is being determined for temperatures from 50 to 150 0 C in various solutions. Typical results are given for selected ions. The leach resistance of supercalcine cores is significantly improved by the application of PyC and/or Al 2 O 3 coatings

  12. Electronic and Mechanical Properties of GrapheneGermanium Interfaces Grown by Chemical Vapor Deposition

    Science.gov (United States)

    2015-10-27

    that graphene acts as a diffusion barrier to ambient contaminants, as similarly prepared bare Ge exposed to ambient conditions possesses a much...in-plane order underneath the graphene (Figure 1b,f). The stabilization of Ge terraces with half-step heights indicates that the graphene modifies the...Electronic and Mechanical Properties of Graphene −Germanium Interfaces Grown by Chemical Vapor Deposition Brian Kiraly,†,‡ Robert M. Jacobberger

  13. Control of residual carbon concentration in GaN high electron mobility transistor and realization of high-resistance GaN grown by metal-organic chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    He, X.G. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhao, D.G., E-mail: dgzhao@red.semi.ac.cn [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Jiang, D.S.; Liu, Z.S.; Chen, P.; Le, L.C.; Yang, J.; Li, X.J. [State Key Laboratory on Integrated Optoelectronics, Institute of Semiconductors, Chinese Academy of Sciences, P.O. Box 912, Beijing 100083 (China); Zhang, S.M.; Zhu, J.J.; Wang, H.; Yang, H. [Suzhou Institute of Nano-tech and Nano-bionics, Chinese Academy of Sciences, Suzhou 215125 (China)

    2014-08-01

    GaN films were grown by metal-organic chemical vapor deposition (MOCVD) under various growth conditions. The influences of MOCVD growth parameters, i.e., growth pressure, ammonia (NH{sub 3}) flux, growth temperature, trimethyl-gallium flux and H{sub 2} flux, on residual carbon concentration ([C]) were systematically investigated. Secondary ion mass spectroscopy measurements show that [C] can be effectively modulated by growth conditions. Especially, it can increase by reducing growth pressure up to two orders of magnitude. High-resistance (HR) GaN epilayer with a resistivity over 1.0 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. The mechanism of the formation of HR GaN epilayer is discussed. An Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor structure with a HR GaN buffer layer and an additional low-carbon GaN channel layer is presented, exhibiting a high two dimensional electron gas mobility of 1815 cm{sup 2}/Vs. - Highlights: • Influence of MOCVD parameters on residual carbon concentration in GaN is studied. • GaN layer with a resistivity over 1 × 10{sup 9} Ω·cm is achieved by reducing growth pressure. • High electron mobility transistor (HEMT) structures were prepared. • Control of residual carbon content results in HEMT with high 2-D electron gas mobility.

  14. Highly sensitive and selective room-temperature NO{sub 2} gas sensor based on bilayer transferred chemical vapor deposited graphene

    Energy Technology Data Exchange (ETDEWEB)

    Seekaew, Yotsarayuth [Department of Physics, Faculty of Science, Kasetsart University, Chatuchak, Bangkok 10900 (Thailand); Phokharatkul, Ditsayut; Wisitsoraat, Anurat [Nanoelectronics and MEMS Laboratory, National Electronics and Computer Technology Center, Klong Luang, Pathumthani 12120 (Thailand); Wongchoosuk, Chatchawal, E-mail: chatchawal.w@ku.ac.th [Department of Physics, Faculty of Science, Kasetsart University, Chatuchak, Bangkok 10900 (Thailand)

    2017-05-15

    Highlights: • Simple and low-cost fabrication of bilayer graphene gas sensor was presented. • Layer effects of graphene on NO{sub 2} gas-sensing properties were investigated. • Bilayer graphene sensor exhibited a high linear NO{sub 2} sensitivity of 1.409 ppm{sup −1}. • The NO{sub 2}-sensing mechanisms based on band diagram were highlighted. - Abstract: This work presents a highly sensitive room-temperature gas sensor based on bilayer graphene fabricated by an interfacial transfer of chemical vapor deposited graphene onto nickel interdigitated electrodes. Scanning electron microscopic and Raman spectroscopic characterizations confirm the presence of graphene on interdigitated nickel electrodes with varying numbers of graphene layers. The NO{sub 2} detection performances of bilayer graphene gas sensor have been investigated in comparison with those of monolayer and multilayer graphene gas sensors at room temperature. From results, the bilayer graphene gas sensor exhibits higher response, sensitivity and selectivity to NO{sub 2} than monolayer and multilayer graphene. The sensitivity of bilayer graphene gas sensor is 1.409 ppm{sup −1} towards NO{sub 2} over a concentration range of 1–25 ppm, which is more than twice higher than that of monolayer graphene. The NO{sub 2}-sensing mechanism of graphene sensing film has been explained based on the direct charge transfer process due to the adsorption of NO{sub 2} molecules.

  15. Vacuum evaporation of pure metals

    OpenAIRE

    Safarian, Jafar; Engh, Thorvald Abel

    2013-01-01

    Theories on the evaporation of pure substances are reviewed and applied to study vacuum evaporation of pure metals. It is shown that there is good agreement between different theories for weak evaporation, whereas there are differences under intensive evaporation conditions. For weak evaporation, the evaporation coefficient in Hertz-Knudsen equation is 1.66. Vapor velocity as a function of the pressure is calculated applying several theories. If a condensing surface is less than one collision...

  16. Synthesis and characterization of beta-Ga2O3 nanorod array clumps by chemical vapor deposition.

    Science.gov (United States)

    Shi, Feng; Wei, Xiaofeng

    2012-11-01

    beta-Ga2O3 nanorod array clumps were successfully synthesized on Si (111) substrates by chemical vapor deposition. The composition, microstructure, morphology, and light-emitting property of these clumps were characterized by X-ray diffraction, Fourier transform infrared spectrophotometry, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy, Raman spectroscopy, and photoluminescence. The results demonstrate that the sample synthesized at 1050 degrees C for 15 min was composed of monoclinic beta-Ga2O3 nanorod array clumps, where each single nanorod was about 300 nm in diameter with some nano-droplets on its tip. These results reveal that the growth mechanism agrees with the vapor-liquid-solid (VLS) process. The photoluminescence spectrum shows that the Ga2O3 nanorods have a blue emission at 438 nm, which may be attributed to defects, such as oxygen vacancies and gallium-oxygen vacancy pairs. Defect-energy aggregation confinement growth theory was proposed to explain the growth mechanism of Ga2O3 nanorod array clumps collaborated with the VLS mechanism.

  17. Cermet insert high voltage holdoff improvement for ceramic/metal vacuum devices

    Science.gov (United States)

    Ierna, W.F.

    1986-03-11

    An improved metal-to-ceramic seal is provided wherein the ceramic body of the seal contains an integral region of cermet material in electrical contact with the metallic member, e.g., an electrode, of the seal. The seal is useful in high voltage vacuum devices, e.g., vacuum switches, and increases the high-voltage holdoff capabilities of such devices. A method of fabricating such seals is also provided.

  18. Suitable alkaline for graphene peeling grown on metallic catalysts using chemical vapor deposition

    Science.gov (United States)

    Karamat, S.; Sonuşen, S.; Çelik, Ü.; Uysallı, Y.; Oral, A.

    2016-04-01

    In chemical vapor deposition, the higher growth temperature roughens the surface of the metal catalyst and a delicate method is necessary for the transfer of graphene from metal catalyst to the desired substrates. In this work, we grow graphene on Pt and Cu foil via ambient pressure chemical vapor deposition (AP-CVD) method and further alkaline water electrolysis was used to peel off graphene from the metallic catalyst. We used different electrolytes i.e., sodium hydroxide (NaOH), potassium hydroxide (KOH), lithium hydroxide (LiOH) and barium hydroxide Ba(OH)2 for electrolysis, hydrogen bubbles evolved at the Pt cathode (graphene/Pt/PMMA stack) and as a result graphene layer peeled off from the substrate without damage. The peeling time for KOH and LiOH was ∼6 min and for NaOH and Ba(OH)2 it was ∼15 min. KOH and LiOH peeled off graphene very efficiently as compared to NaOH and Ba(OH)2 from the Pt electrode. In case of copper, the peeling time is ∼3-5 min. Different characterizations like optical microscopy, Raman spectroscopy, X-ray photoelectron spectroscopy and atomic force microscopy were done to analyze the as grown and transferred graphene samples.

  19. Behavior of pressure rise and condensation caused by water evaporation under vacuum at high temperature

    International Nuclear Information System (INIS)

    Takase, Kazuyuki; Kunugi, Tomoaki; Yamazaki, Seiichiro; Fujii, Sadao

    1998-01-01

    Pressure rise and condensation characteristics during the ingress-of-coolant event (ICE) in fusion reactors were investigated using the preliminary ICE apparatus with a vacuum vessel (VV), an additional tank (AT) and an isolation valve (IV). A surface of the AT was cooled by water at RT. The high temperature and pressure water was injected into the VV which was heated up to 250degC and pressure and temperature transients in the VV were measured. The pressure increased rapidly with an injection time of the water because of the water evaporation. After the IV was opened and the VV was connected with the AT, the pressure in the VV decreased suddenly. From a series of the experiments, it was confirmed that control factors on the pressure rise were the flushing evaporation and boiling heat transfer in the VV, and then, condensation of the vapor after was effective to the depressurization in the VV. (author)

  20. Post-Decontamination Vapor Sampling and Analytical Test Methods

    Science.gov (United States)

    2015-08-12

    is decontaminated that could pose an exposure hazard to unprotected personnel. The chemical contaminants may include chemical warfare agents (CWAs... decontamination process. Chemical contaminants can include chemical warfare agents (CWAs) or their simulants, nontraditional agents (NTAs), toxic industrial...a range of test articles from coupons, panels, and small fielded equipment items. 15. SUBJECT TERMS Vapor hazard; vapor sampling; chemical warfare

  1. Chemical vapor deposition of TiB2 on graphite

    International Nuclear Information System (INIS)

    Pierson, H.O.; Randich, E.; Mattox, D.M.

    1978-01-01

    This study is an experimental investigation of the coating of graphite with TiB 2 by chemical vapor deposition (CVD) using the hydrogen reduction of BCl 3 and TiCl 4 at 925 0 C and 1 atm. Reasonable matching of the thermal expansion of TiB 2 and graphite was necessary to eliminate cracking. A suitable graphite was POCO DFP-1. Adhesion was improved by having a slightly rough graphite surface. Heat treatment at 2000 0 C and above resulted in a certain degree of diffusion. No melting or solid phases other than TiB 2 and graphite were detected up to 2400 0 C. The coatings showed no failure when repeatedly submitted to an electron beam pulse of 2 KW/cm 2 for 0.8 sec

  2. The growth of axially modulated p–n GaN nanowires by plasma-enhanced chemical vapor deposition

    International Nuclear Information System (INIS)

    Wu, Tung-Hsien; Hong, Franklin Chau-Nan

    2013-01-01

    Due to the n-type characteristics of intrinsic gallium nitride, p-type gallium nitride (GaN) is more difficult to synthesize than n-type gallium nitride in forming the p–n junctions for optoelectronic applications. For the growth of the p-type gallium nitride, magnesium is used as the dopant. The Mg-doped GaN nanowires (NWs) have been synthesized on (111)-oriented n + -silicon substrates by plasma-enhanced chemical vapor deposition. The scanning electron microscope images showed that the GaN NWs were bent at high Mg doping levels, and the transmission electron microscope characterization indicated that single-crystalline GaN NWs grew along < 0001 > orientation. As shown by energy dispersive spectroscopy, the Mg doping levels in GaN NWs increased with increasing partial pressure of magnesium nitride, which was employed as the dopant precursor for p-GaN NW growth. Photoluminescence measurements suggested the presence of both p- and n‐type GaN NWs. Furthermore, the GaN NWs with axial p–n junctions were aligned between either two-Ni or two-Al electrodes by applying alternating current voltages. The current–voltage characteristics have confirmed the formation of axial p–n junctions in GaN nanowires. - Highlights: ► Grow axially modulated GaN nanowires by plasma-enhanced chemical vapor deposition ► Control the Mg concentration of GaN nanowires by tuning Mg 3 N 2 temperature ► Align the GaN nanowires by applying alternating current voltages between electrodes

  3. Application of high speed photography for high current vacuum arcs

    NARCIS (Netherlands)

    Damstra, G.C.; Merck, W.F.H.; Vossen, J.W.G.L.; Janssen, M.F.P.; Bouwmeester, C.E.

    1998-01-01

    A high speed image detection system for 106 frames per second or 107 streaks per second has been developed for the testing of vacuum circuit breakers, using 10×16 optical fibres for light transfer to 160 fast photo diodes. The output of these diodes is multiplexed, AD converted in a 4 bit

  4. A Citizen's Guide to Vapor Intrusion Mitigation

    Science.gov (United States)

    This guide describes how vapor intrusion is the movement of chemical vapors from contaminated soil and groundwater into nearby buildings.Vapors primarily enter through openings in the building foundation or basement walls.

  5. Vacuum ultraviolet beamline at the Swiss Light Source for chemical dynamics studies

    International Nuclear Information System (INIS)

    Johnson, Melanie; Bodi, Andras; Schulz, Lothar; Gerber, Thomas

    2009-01-01

    A bend-magnet vacuum ultraviolet (VUV) beamline, intended for chemical dynamics studies, was constructed and brought into operation at the Swiss Light Source (SLS) of the Paul Scherrer Institut. The beamline delivers synchrotron radiation in the 5-30 eV photon energy range with a photon flux of 10 11 photons/s at 10 eV and 10 12 photons/s at 20 eV with a resolving power of 2500. The resolving power increases to 10 4 at the cost of photon flux. An in-house designed rare gas filter is used to suppress higher harmonic radiation by a factor of >10 4 , yielding purely monochromatic light in the energy range of 5-21.6 eV. The filter is compact, easy to align, requires a total pumping power of less than 645 l/s and consumes only 3 normal l/h of filter gas. It is located at the end of the beamline, right in front of the experimental endstation. It is usually operated at a higher pressure than the endstation, which offers the additional benefit of protecting the beamline vacuum from sample contamination.

  6. Breakthrough to Non-Vacuum Deposition of Single-Crystal, Ultra-Thin, Homogeneous Nanoparticle Layers: A Better Alternative to Chemical Bath Deposition and Atomic Layer Deposition

    Directory of Open Access Journals (Sweden)

    Yu-Kuang Liao

    2017-04-01

    Full Text Available Most thin-film techniques require a multiple vacuum process, and cannot produce high-coverage continuous thin films with the thickness of a few nanometers on rough surfaces. We present a new ”paradigm shift” non-vacuum process to deposit high-quality, ultra-thin, single-crystal layers of coalesced sulfide nanoparticles (NPs with controllable thickness down to a few nanometers, based on thermal decomposition. This provides high-coverage, homogeneous thickness, and large-area deposition over a rough surface, with little material loss or liquid chemical waste, and deposition rates of 10 nm/min. This technique can potentially replace conventional thin-film deposition methods, such as atomic layer deposition (ALD and chemical bath deposition (CBD as used by the Cu(In,GaSe2 (CIGS thin-film solar cell industry for decades. We demonstrate 32% improvement of CIGS thin-film solar cell efficiency in comparison to reference devices prepared by conventional CBD deposition method by depositing the ZnS NPs buffer layer using the new process. The new ZnS NPs layer allows reduction of an intrinsic ZnO layer, which can lead to severe shunt leakage in case of a CBD buffer layer. This leads to a 65% relative efficiency increase.

  7. Influence of the catalyst type on the growth of carbon nanotubes via methane chemical vapor deposition

    NARCIS (Netherlands)

    Jodin, Lucie; Dupuis, Anne-Claire; Rouvière, Emmanuelle; Reiss, Peter

    2006-01-01

    The preparation of the catalyst is one of the key parameters which governs the quality of carbon nanotubes (CNTs) grown by catalyzed chemical vapor deposition (CVD). We investigated the influence of three different procedures of catalyst preparation on the type and diameter of CNTs formed under

  8. Growth of graphene underlayers by chemical vapor deposition

    International Nuclear Information System (INIS)

    Fabiane, Mopeli; Khamlich, Saleh; Bello, Abdulhakeem; Dangbegnon, Julien; Momodu, Damilola; Manyala, Ncholu; Charlie Johnson, A. T.

    2013-01-01

    We present a simple and very convincing approach to visualizing that subsequent layers of graphene grow between the existing monolayer graphene and the copper catalyst in chemical vapor deposition (CVD). Graphene samples were grown by CVD and then transferred onto glass substrates by the bubbling method in two ways, either direct-transfer (DT) to yield poly (methyl methacrylate) (PMMA)/graphene/glass or (2) inverted transfer (IT) to yield graphene/PMMA/glass. Field emission scanning electron microscopy (FE-SEM) and atomic force microscopy (AFM) were used to reveal surface features for both the DT and IT samples. The results from FE-SEM and AFM topographic analyses of the surfaces revealed the underlayer growth of subsequent layers. The subsequent layers in the IT samples are visualized as 3D structures, where the smaller graphene layers lie above the larger layers stacked in a concentric manner. The results support the formation of the so-called “inverted wedding cake” stacking in multilayer graphene growth

  9. Microscale interfacial behavior at vapor film collapse on high-temperature particle surface

    International Nuclear Information System (INIS)

    Abe, Yutaka; Tochio, Daisuke

    2009-01-01

    It has been pointed out that vapor film on a premixed high-temperature droplet surface should be collapsed to trigger vapor explosion. Thus, it is important to clarify the micromechanism of vapor film collapse behavior for the occurrence of vapor explosion. In the present study, microscale vapor-liquid interface behavior upon vapor film collapse caused by an external pressure pulse is experimentally observed and qualitatively analyzed. In the analytical investigation, interfacial temperature and interface movement were estimated with heat conduction analysis and visual data processing technique. Results show that condensation can possibly occur at the vapor-liquid interface when the pressure pulse arrived. That is, this result indicates that the vapor film collapse behavior is dominated not by fluid motion but by phase change. (author)

  10. Miniature pulsed vacuum arc plasma gun and apparatus for thin-film fabrication

    Science.gov (United States)

    Brown, Ian G.; MacGill, Robert A.; Galvin, James E.; Ogletree, David F.; Salmeron, Miquel

    1998-01-01

    A miniature (dime-size in cross-section) vapor vacuum arc plasma gun is described for use in an apparatus to produce thin films. Any conductive material can be layered as a film on virtually any substrate. Because the entire apparatus can easily be contained in a small vacuum chamber, multiple dissimilar layers can be applied without risk of additional contamination. The invention has special applications in semiconductor manufacturing.

  11. Dosimetric characterization of chemical-vapor-deposited diamond film irradiated with UV and beta radiation

    Science.gov (United States)

    Meléndrez, R.; Chernov, V.; Pedroza-Montero, M.; Barboza-Flores, M.

    2003-03-01

    Diamond is an excellent prospect for clinical radiation dosimetry due to its tissue-equivalence properties and being chemically inert. The use of diamond in radiation dosimetry has been halted by the high market price; although recently the capability of growing high quality polycrystalline has renewed the interest in using diamond films as detectors and dosimeters. In the present work we have characterized the dosimetric properties of diamond films synthesized by using chemical vapor deposition. The thermoluminescence (TL) of UV and beta exposed samples shows a glow curve composed of at least four peaks; one located around 587 K presents excellent TL properties suitable for dosimetric applications with ionizing and non ionizing radiation. The TL excitation spectrum exhibits maximum TL efficiency at 220 nm. The samples show regions of linear as well as supralinear behavior as a function or irradiation dose. The linear dose dependence was found for up to sixteen minutes of UV irradiation and 300 Gy for beta irradiated samples. The activation energy and the frequency factor were determined and found in the range of 0.32 - 0.89 eV and 1.1x10^2 - 2x10^8s_-1, respectively. The observed TL performance is reasonable appropriate to justify further investigation of diamond films as radiation dosimeters.

  12. Probing the vacuum with highly charged ions

    International Nuclear Information System (INIS)

    Bottcher, C.; Strayer, M.R.

    1987-01-01

    The physics of the Fermion vacuum is briefly described, and applied to pair production in heavy ion collisions. We consider in turn low energies (<50 MeV/nucleon), intermediate energies (<5 GeV/nucleon), and ultrahigh energies such as would be produced in a ring collider. At high energies, interesting questions of Lorentz and gauge invariance arise. Finally, some applications to the structure of high Z atoms are examined. 14 refs., 11 figs

  13. Semi-continuous high speed gas analysis of generated vapors of chemical warfare agents

    NARCIS (Netherlands)

    Trap, H.C.; Langenberg, J.P.

    1999-01-01

    A method is presented for the continuous analysis of generated vapors of the nerve agents soman and satin and the blistering agent sulfur mustard. By using a gas sampling valve and a very short (15 cm) column connected to an on-column injector with a 'standard length' column, the system can either

  14. High-temperature stability of chemically vapor-deposited tungsten-silicon couples rapid thermal annealed in ammonia and argon

    Energy Technology Data Exchange (ETDEWEB)

    Broadbent, E.K.; Morgan, A.E.; Flanner, J.M.; Coulman, B.; Sadana, D.K.; Burrow, B.J.; Ellwanger, R.C.

    1988-12-15

    A rapid thermal anneal (RTA) in an NH/sub 3/ ambient has been found to increase the thermal stability of W films chemically vapor deposited (CVD) on Si. W films deposited onto single-crystal Si by low-pressure CVD were rapid thermal annealed at temperatures between 500 and 1100 /sup 0/C in NH/sub 3/ and Ar ambients. The reactions were studied using Rutherford backscattering spectrometry, x-ray diffraction, Auger electron spectroscopy, transmission electron microscopy, and four-point resistivity probe. High-temperature (greater than or equal to1000 /sup 0/C) RTA in Ar completely converted W into the low resistivity (31 ..mu cap omega.. cm) tetragonal WSi/sub 2/ phase. In contrast, after a prior 900 /sup 0/C RTA in NH/sub 3/, N inclusion within the W film and at the W/Si interface almost completely suppressed the W-Si reaction. Detailed examination, however, revealed some patches of WSi/sub 2/ formed at the interface accompanied by long tunnels extending into the substrate, and some crystalline precipitates in the substrate close to the interface. The associated interfacial contact resistance was only slightly altered by the 900 /sup 0/C NH/sub 3/ anneal. The NH/sub 3/-treated W film acted as a diffusion barrier in an Al/W/Si contact metallurgy up to at least 550 /sup 0/C, at which point some increase in contact resistance was measured.

  15. Experimental research for vacuum gap breakdown in high voltage multi-pulse

    International Nuclear Information System (INIS)

    Huang Ziping; He Jialong; Chen Sifu; Deng Jianjun; Wang Liping

    2008-01-01

    Base on the breakdown theory of vacuum gaps, experiments have been done to find out the breakdown electric field intensities in high voltage single-and triple-pulse for 26 vacuum gaps with different shapes. The experimental results match up to the theory and confirm the effect of the pulse-number increase on the breakdown electric field intensity. The key point to decide the macroscopical breakdown electric field intensity of a vacuum gap has been pointed out with some advises about the design of a multi-pulse linear inductive accelerator's accelerate gap. (authors)

  16. Effect of vacuum-packaging and low dose gamma irradiation on the microbial, bio-chemical quality and shelf life of peeled shrimp (Litopenaeus vannamei) during ice storage

    International Nuclear Information System (INIS)

    Bojayanaik, Manjanaik; Naroth, Kavya; Prasad, Surjith; Shetty, Veena; Hiriyur, Somashekarappa; Patil, Rajashekar

    2015-01-01

    The present investigation was carried out to see the combined effect of vacuum packaging and low dose gamma irradiation (3kGy) on the shelf life of peeled and undeveined shrimp (Litopeanus vannamie) during ice storage. The fresh farm raised shrimps were peeled and un deveined, packed in high density polyethylene bags (aerobic and vacuum packaging) and were divided into four groups viz. control (C), Irradiated (I), Vacuum packed (V) and vacuum-packed with irradiation (VI). The two groups (I and VI) were irradiated at 3 kGy (Dose rate at the rate 6.043 kGy/hr) and aseptically stored in ice in an insulated polystyrene box. All the samples were periodically analysed for microbial (Total bacterial load, total Coliform, Faecal Coliforms, Staphylococcus, Salmonella, Vibrios and E. coli) and bio chemical (TVB-N, TMA, TBARS and pH) quality. The results revealed that the combination of low dose gamma irradiation and vacuum packaging had a significant effect on microbial load (p>0.05). The TVB-N, TMA-N, TBARS and pH were significantly lower in vacuum packed with irradiation when compare to non-irradiated and aerobically packed shrimp (p> 0.05), and shelf life of peeled shrimp extended up to 21 days in ice storage. (author)

  17. Vapor Phase Growth of High-Quality Bi-Te Compounds Using Elemental Bi and Te Sources: A Comparison Between High Vacuum and Atmospheric Pressure

    Science.gov (United States)

    Concepción, O.; Escobosa, A.; de Melo, O.

    2018-03-01

    Bismuth telluride (Bi2Te3), traditionally used in the industry as thermoelectric material, has deserved much attention recently due to its properties as a topological insulator, a kind of material that might have relevant applications in spintronics or quantum computing, among other innovative uses. The preparation of high-quality material has become a very important technological task. Here, we compare the preparation of Bi2Te3 by physical vapor transport from the evaporation of elemental Bi and Te sources, under either low pressure or atmospheric pressure. The layers were characterized by different techniques to evaluate its structural properties. As a result, it is concluded that, as a consequence of the different transport regimes, films grown at atmospheric pressure present better crystal quality.

  18. Evaluation of ISABELLE full cell ultra high vacuum system

    International Nuclear Information System (INIS)

    Foerster, C.L.; Briggs, J.; Chou, T.S.; Stattel, P.

    1980-01-01

    The ISABELLE Full Cell Vacuum System consisting of a 40 m long, by 8.8 cm diameter stainless steel tube pumped by seven pumping stations was assembled and processed for 10 -12 Torr operation. Evaluation and testing of the system and its sub-assemblies has been completed. Detail design of system components and the determination of the conditioning process was completed. The best procedure to rough pump, leak test, vacuum bake the system, condition pumps, degas gauges, turn on ion pumps and flash sublimation pumps was established. Pressures below 2 x 10 -11 Torr are now routinely achieved in normal operation of the Full Cell. This includes pump down after replacement of various components and pump down after back fill with moist unfiltered air. The techniques developed for the Full Cell will be used to build the ISABELLE Ultra High Vacuum System

  19. Thermal barrier coatings on gas turbine blades: Chemical vapor deposition (Review)

    Science.gov (United States)

    Igumenov, I. K.; Aksenov, A. N.

    2017-12-01

    Schemes are presented for experimental setups (reactors) developed at leading scientific centers connected with the development of technologies for the deposition of coatings using the CVD method: at the Technical University of Braunschweig (Germany), the French Aerospace Research Center, the Materials Research Institute (Tohoku University, Japan) and the National Laboratory Oak Ridge (USA). Conditions and modes for obtaining the coatings with high operational parameters are considered. It is established that the formed thermal barrier coatings do not fundamentally differ in their properties (columnar microstructure, thermocyclic resistance, thermal conductivity coefficient) from standard electron-beam condensates, but the highest growth rates and the perfection of the crystal structure are achieved in the case of plasma-chemical processes and in reactors with additional laser or induction heating of a workpiece. It is shown that CVD reactors can serve as a basis for the development of rational and more advanced technologies for coating gas turbine blades that are not inferior to standard electron-beam plants in terms of the quality of produced coatings and have a much simpler and cheaper structure. The possibility of developing a new technology based on CVD processes for the formation of thermal barrier coatings with high operational parameters is discussed, including a set of requirements for industrial reactors, high-performance sources of vapor precursors, and promising new materials.

  20. Precise control of multiwall carbon nanotube diameters using thermal chemical vapor deposition

    Science.gov (United States)

    Siegal, M. P.; Overmyer, D. L.; Provencio, P. P.

    2002-03-01

    We grow multiwall carbon nanotube (CNT) films using thermal chemical vapor deposition at atmospheric pressure using a mixture of acetylene and nitrogen from a 4-nm-thick Ni film catalyst. CNTs are characterized using electron microscopy and Rutherford backscattering spectrometry. CNTs grown with this method are extremely uniform in diameter, both throughout the sample and within the lengths of individual tubes. Nanotube outer diameters, ranging from 5-350 nm, and the total deposition of carbon material, increase exponentially with growth temperature from 630 °C-790 °C.

  1. Toxicity of vapor phase petroleum contaminants to microbial degrader communities

    International Nuclear Information System (INIS)

    Long, S.C.; Davey, C.A.

    1994-01-01

    Petroleum products constitute the largest quantity of synthetic organic chemical products produced in the US. They are comprised of mostly hydrocarbon constituents from many different chemical classes including alkenes, cycloalkanes, aromatic compounds, and polyaromatic hydrocarbons. Many petroleum constituents are classified as volatile organic compounds or VOCs. Petroleum products also constitute a major portion of environmental pollution. One emerging technology, with promise for applications to VOCs in subsurface soil environments, is bioventing coupled with soil vapor extraction. These technologies involve volatilization of contaminants into the soil gas phase by injection and withdrawal of air. This air movement causes enhancement of the aerobic microbial degradation of the mobilized vapors by the indigenous populations. This study investigated the effects of exposure of mixed, subsurface microbial communities to vapor phase petroleum constituents or vapors of petroleum mixtures. Soil slurries were prepared and plated onto mineral salts agar plates and exposed to vapor phase contaminants at equilibrium with pure product. Representative n-alkane, branched alkane, cycloalkane, and aromatic compounds were tested as well as petroleum product mixtures. Vapor exposure altered the numbers and morphologies of the colonies enumerated when compared to controls. However, even at high, equilibrium vapor concentrations, microbial degrader populations were not completely inhibited

  2. Upgraded vacuum arc ion source for metal ion implantation

    International Nuclear Information System (INIS)

    Nikolaev, A. G.; Oks, E. M.; Savkin, K. P.; Yushkov, G. Yu.; Brown, I. G.

    2012-01-01

    Vacuum arc ion sources have been made and used by a large number of research groups around the world over the past twenty years. The first generation of vacuum arc ion sources (dubbed ''Mevva,'' for metal vapor vacuum arc) was developed at Lawrence Berkeley National Laboratory in the 1980s. This paper considers the design, performance parameters, and some applications of a new modified version of this kind of source which we have called Mevva-V.Ru. The source produces broad beams of metal ions at an extraction voltage of up to 60 kV and a time-averaged ion beam current in the milliampere range. Here, we describe the Mevva-V.Ru vacuum arc ion source that we have developed at Tomsk and summarize its beam characteristics along with some of the applications to which we have put it. We also describe the source performance using compound cathodes.

  3. Electrochemical properties of N-doped hydrogenated amorphous carbon films fabricated by plasma-enhanced chemical vapor deposition methods

    Energy Technology Data Exchange (ETDEWEB)

    Tanaka, Yoriko; Furuta, Masahiro; Kuriyama, Koichi; Kuwabara, Ryosuke; Katsuki, Yukiko [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan); Kondo, Takeshi [Department of Pure and Applied Chemistry, Faculty of Science and Technology, Tokyo University of Science, 2641 Yamazaki, Noda 278-8510 (Japan); Fujishima, Akira [Kanagawa Advanced Science and Technology (KAST), 3-2-1, Sakato, Takatsu-ku, Kawasaki-shi, Kanagawa 213-0012 (Japan); Honda, Kensuke, E-mail: khonda@yamaguchi-u.ac.j [Division of Environmental Science and Engineering, Graduate School of Science and Engineering, Yamaguchi University, 1677-1 Yoshida, Yamaguchi-shi, Yamaguchi 753-8512 (Japan)

    2011-01-01

    Nitrogen-doped hydrogenated amorphous carbon thin films (a-C:N:H, N-doped DLC) were synthesized with microwave-assisted plasma-enhanced chemical vapor deposition widely used for DLC coating such as the inner surface of PET bottles. The electrochemical properties of N-doped DLC surfaces that can be useful in the application as an electrochemical sensor were investigated. N-doped DLC was easily fabricated using the vapor of nitrogen contained hydrocarbon as carbon and nitrogen source. A N/C ratio of resulting N-doped DLC films was 0.08 and atomic ratio of sp{sup 3}/sp{sup 2}-bonded carbons was 25/75. The electrical resistivity and optical gap were 0.695 {Omega} cm and 0.38 eV, respectively. N-doped DLC thin film was found to be an ideal polarizable electrode material with physical stability and chemical inertness. The film has a wide working potential range over 3 V, low double-layer capacitance, and high resistance to electrochemically induced corrosion in strong acid media, which were the same level as those for boron-doped diamond (BDD). The charge transfer rates for the inorganic redox species, Fe{sup 2+/3+} and Fe(CN){sub 6}{sup 4-/3-} at N-doped DLC were sufficiently high. The redox reaction of Ce{sup 2+/3+} with standard potential higher than H{sub 2}O/O{sub 2} were observed due to the wider potential window. At N-doped DLC, the change of the kinetics of Fe(CN){sub 6}{sup 3-/4-} by surface oxidation is different from that at BDD. The rate of Fe(CN){sub 6}{sup 3-/4-} was not varied before and after oxidative treatment on N-doped DLC includes sp{sup 2} carbons, which indicates high durability of the electrochemical activity against surface oxidation.

  4. A new TXRF vacuum chamber with sample changer for chemical analysis using silicon drift chamber detector

    International Nuclear Information System (INIS)

    Streli, C.; Wobrauschek, P.; Zoeger, N.; Pepponi, G.

    2003-01-01

    Full text: Several TXRF spectrometers for chemical analysis as well as for wafer surface analysis are commercially available. But there is no one available for chemical analysis offering the possibility to measure the samples in vacuum conditions. Vacuum of 10 -2 mbar in the sample environment helps to reduce the background due to scattering from air, thus to improve the detection limits as well as to reduce the absorption of low energy fluorescence radiation from low Z elements and extend the elemental range to be measured and removes the Ar lines from the spectrum. The x-ray group of the Atominstitut designed and fabricated a new vacuum chamber for TXRF equipped with a 12 position sample changer from Italstructures, Riva, Italy. The detector used was a 10 mm 2 silicon drift detector (KETEK, Munich, Germany), offering the advantage of electrically cooling, so no LN2 is required. The chamber was designed to be attached to a diffraction tube housing, e.g. with a fine focus Mo-x-ray tube and uses a multilayer monochromator. Spectra are stored by a small AMTEK MCA and control between sample changer and MCA communication is done by a modified AMPTEK software. The performance is expressed in detection limits of 1 pg Rb for Mo Ka excitation with 50 kV, 40 mA excitation conditions, 1000 s lifetime, obtained from a sample containing 600 pg Rb as single element standard. Details on performance, reproducibility and light element excitation and detection are presented. (author)

  5. Functional aluminum alloys for ultra high vacuum use

    International Nuclear Information System (INIS)

    Kato, Yutaka; Tsukamoto, Kenji; Isoyama, Eizo

    1985-01-01

    Ultra high vacuum systems made of aluminum alloys are actively developed. The reasons for using aluminum alloys are low residual radioactivity, light weight, good machinability, good thermal conductivity, non-magnetism. The important function required for ultra high vacuum materials is low outgassing rate, but surface gas on ordinary aluminum is much. Then the research on aluminum surface structure with low outgassing rate has been made and the special extrusion method, that is, extrusion method with the conditions of preventing air from entering inside of pipe and of taking in mixture gas of Ar + O 2 , was developed. 6063 alloy obtained by special extrusion method showed low outgassing rate (2 x 10 -13 Torr. 1/s. cm 2 ) by only 150 deg C, 24 h baking. For the future it will be important to develop aluminum alloys with low dynamic outgassing rate as well as low static outgassing rate. (author)

  6. Mass transport measurements and modeling for chemical vapor infiltration

    Energy Technology Data Exchange (ETDEWEB)

    Starr, T.L.; Chiang, D.Y.; Fiadzo, O.G.; Hablutzel, N. [Georgia Inst. of Tech., Atlanta, GA (United States). School of Materials Science and Engineering

    1997-12-01

    This project involves experimental and modeling investigation of densification behavior and mass transport in fiber preforms and partially densified composites, and application of these results to chemical vapor infiltration (CVI) process modeling. This supports work on-going at ORNL in process development for fabrication of ceramic matrix composite (CMC) tubes. Tube-shaped composite preforms are fabricated at ORNL with Nextel{trademark} 312 fiber (3M Corporation, St. Paul, MN) by placing and compressing several layers of braided sleeve on a tubular mandrel. In terms of fiber architecture these preforms are significantly different than those made previously with Nicalon{trademark} fiber (Nippon Carbon Corp., Tokyo, Japan) square weave cloth. The authors have made microstructure and permeability measurements on several of these preforms and a few partially densified composites so as to better understand their densification behavior during CVI.

  7. The dependence of the texture of tellurium thin films on vacuum deposition angle

    International Nuclear Information System (INIS)

    Cocks, F.H.; Peterson, M.J.; Jones, P.L.

    1980-01-01

    Vacuum-deposited tellurium thin films can show substantially different surface morphologies depending on the angle with which the vapor stream impinges on the substrate surface. These tellurium thin films have a tendency to grow as acicular crystallites but as the deposition angle is increased so that the vapor stream becomes tangential to the substrate surface the spacing between crystallites increases and approaches, at stream angles of approximately 80 0 from the normal, dimensions roughly once or twice the average wavelength of visible light. Such films may have application in solar energy collector systems because of the high absorptivity of sunlight shown by such films. Mechanisms which describe the tendency for crystallite spacing to increase with increasing angle are discussed. (Auth.)

  8. Chemical vapor deposition of refractory ternary nitrides for advanced diffusion barriers

    Energy Technology Data Exchange (ETDEWEB)

    Custer, Jonathan S.; Fleming, James G.; Roherty-Osmun, Elizabeth; Smith, Paul Martin

    1998-09-22

    Refractory ternary nitride films for diffusion barriers in microelectronics have been grown using chemical vapor deposition. Thin films of titanium-silicon-nitride, tungsten-boron-nitride, and tungsten-silicon-nitride of various compositions have been deposited on 150 mm Si wafers. The microstructure of the films are either fully amorphous for the tungsten based films, or nauocrystalline TiN in an amorphous matrix for titanium-silicon-nitride. All films exhibit step coverages suitable for use in future microelectronics generations. Selected films have been tested as diffusion barriers between copper and silicon, and generally perform extremely weH. These fiIms are promising candidates for advanced diffusion barriers for microelectronics applications. The manufacturing of silicon wafers into integrated circuits uses many different process and materials. The manufacturing process is usually divided into two parts: the front end of line (FEOL) and the back end of line (BEOL). In the FEOL the individual transistors that are the heart of an integrated circuit are made on the silicon wafer. The responsibility of the BEOL is to wire all the transistors together to make a complete circuit. The transistors are fabricated in the silicon itself. The wiring is made out of metal, currently aluminum and tungsten, insulated by silicon dioxide, see Figure 1. Unfortunately, silicon will diffuse into aluminum, causing aluminum spiking of junctions, killing transistors. Similarly, during chemical vapor deposition (CVD) of tungsten from ~fj, the reactivity of the fluorine can cause "worn-holes" in the silicon, also destroying transistors. The solution to these problems is a so-called diffusion barrier, which will allow current to pass from the transistors to the wiring, but will prevent reactions between silicon and the metal.

  9. Structural and optical characterization of self-assembled Ge nanocrystal layers grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Saeed, S.; Buters, F.; Dohnalova, K.; Wosinski, L.; Gregorkiewicz, T.

    2014-01-01

    We present a structural and optical study of solid-state dispersions of Ge nanocrystals prepared by plasma-enhanced chemical vapor deposition. Structural analysis shows the presence of nanocrystalline germanium inclusions embedded in an amorphous matrix of Si-rich SiO2. Optical characterization

  10. The Effect of High Temperature Annealing on the Grain Characteristics of a Thin Chemical Vapor Deposition Silicon Carbide Layer.

    Energy Technology Data Exchange (ETDEWEB)

    Isabella J van Rooyen; Philippus M van Rooyen; Mary Lou Dunzik-Gougar

    2013-08-01

    The unique combination of thermo-mechanical and physiochemical properties of silicon carbide (SiC) provides interest and opportunity for its use in nuclear applications. One of the applications of SiC is as a very thin layer in the TRi-ISOtropic (TRISO) coated fuel particles for high temperature gas reactors (HTGRs). This SiC layer, produced by chemical vapor deposition (CVD), is designed to withstand the pressures of fission and transmutation product gases in a high temperature, radiation environment. Various researchers have demonstrated that macroscopic properties can be affected by changes in the distribution of grain boundary plane orientations and misorientations [1 - 3]. Additionally, various researchers have attributed the release behavior of Ag through the SiC layer as a grain boundary diffusion phenomenon [4 - 6]; further highlighting the importance of understanding the actual grain characteristics of the SiC layer. Both historic HTGR fission product release studies and recent experiments at Idaho National Laboratory (INL) [7] have shown that the release of Ag-110m is strongly temperature dependent. Although the maximum normal operating fuel temperature of a HTGR design is in the range of 1000-1250°C, the temperature may reach 1600°C under postulated accident conditions. The aim of this specific study is therefore to determine the magnitude of temperature dependence on SiC grain characteristics, expanding upon initial studies by Van Rooyen et al, [8; 9].

  11. The influence of charge effect on the growth of hydrogenated amorphous silicon by the hot-wire chemical vapor deposition technique

    Energy Technology Data Exchange (ETDEWEB)

    Wang, Q.; Nelson, B.P.; Iwaniczko, E.; Mahan, A.H.; Crandall, R.S.; Benner, J. [National Renewable Energy Lab., Golden, CO (United States)

    1998-09-01

    The authors observe at lower substrate temperatures that the scatter in the dark conductivity on hydrogenated amorphous silicon (a-Si:H) films grown on insulating substrates (e.g., Corning 7059 glass) by the hot-wire chemical vapor deposition technique (HWCVD) can be five orders of magnitude or more. This is especially true at deposition temperatures below 350 C. However, when the authors grow the same materials on substrates with a conductive grid, virtually all of their films have acceptable dark conductivity (< 5 {times} 10{sup {minus}10} S/cm) at all deposition temperatures below 425 C. This is in contrast to only about 20% of the materials grown in this same temperature range on insulating substrates having an acceptable dark conductivity. The authors estimated an average energy of 5 eV electrons reaching the growing surface in vacuum, and did additional experiments to see the influence of both the electron flux and the energy of the electrons on the film growth. Although these effects do not seem to be important for growing a-Si:H by HWCVD on conductive substrates, they help better understand the important parameters for a-Si:H growth, and thus, to optimize these parameters in other applications of HWCVD technology.

  12. Controlling the resistivity gradient in aluminum-doped zinc oxide grown by plasma-enhanced chemical vapor deposition

    NARCIS (Netherlands)

    Ponomarev, M.; Verheijen, M.A.; Keuning, W.; Sanden, van de M.C.M.; Creatore, M.

    2012-01-01

    Aluminum-doped ZnO (ZnO:Al) grown by chemical vapor deposition (CVD) generally exhibit a major drawback, i.e., a gradient in resistivity extending over a large range of film thickness. The present contribution addresses the plasma-enhanced CVD deposition of ZnO:Al layers by focusing on the control

  13. Two dimensional radial gas flows in atmospheric pressure plasma-enhanced chemical vapor deposition

    Science.gov (United States)

    Kim, Gwihyun; Park, Seran; Shin, Hyunsu; Song, Seungho; Oh, Hoon-Jung; Ko, Dae Hong; Choi, Jung-Il; Baik, Seung Jae

    2017-12-01

    Atmospheric pressure (AP) operation of plasma-enhanced chemical vapor deposition (PECVD) is one of promising concepts for high quality and low cost processing. Atmospheric plasma discharge requires narrow gap configuration, which causes an inherent feature of AP PECVD. Two dimensional radial gas flows in AP PECVD induces radial variation of mass-transport and that of substrate temperature. The opposite trend of these variations would be the key consideration in the development of uniform deposition process. Another inherent feature of AP PECVD is confined plasma discharge, from which volume power density concept is derived as a key parameter for the control of deposition rate. We investigated deposition rate as a function of volume power density, gas flux, source gas partial pressure, hydrogen partial pressure, plasma source frequency, and substrate temperature; and derived a design guideline of deposition tool and process development in terms of deposition rate and uniformity.

  14. The effect of chitosan-based edible film and high hydrostatic pressure process on the microbiological and chemical quality of rainbow trout (Oncorhynchus mykiss Walbaum) fillets during cold storage (4±1°C)

    Science.gov (United States)

    Günlü, Ali; Sipahioğlu, Sinem; Alpas, Hami

    2014-01-01

    The objective of this study is to determine the changes in the chemical and microbiological quality of fresh rainbow trout (Oncorhynchus mykiss Walbaum) fillets during storage at 4±1°C as a result of chitosan-based edible film coating, vacuum packaging and high pressure application processes. Chemical (pH, total volatile basic nitrogen and thiobarbituric acid index) and microbiological (total mesophilic and total psychrophilic microorganism) shelf life analyses were carried out in 4-day intervals for samples that were vacuum packaged (C), subjected to high pressure after vacuum packaging (high hydrostatic pressure (HHP)), vacuum packaged after being wrapped by chitosan-based film (CFW) and subjected to high pressure after vacuum packaging and being wrapped by chitosan-based film (HHP+CFW ). According to the chemical and microbiological shelf life analysis results of rainbow trout fillets, shelf life increases of 4 days in HHP group samples, 8 days in CFW group samples and 24 days in HHP+CFW group samples were provided in comparison with the control group. In conclusion, it was determined that high pressure and wrapping with chitosan-based film had protective effect both chemically and microbiologically and that the most effective protection was obtained when both methods were used together.

  15. Effects of etchants in the transfer of chemical vapor deposited graphene

    Science.gov (United States)

    Wang, M.; Yang, E. H.; Vajtai, R.; Kono, J.; Ajayan, P. M.

    2018-05-01

    The quality of graphene can be strongly modified during the transfer process following chemical vapor deposition (CVD) growth. Here, we transferred CVD-grown graphene from a copper foil to a SiO2/Si substrate using wet etching with four different etchants: HNO3, FeCl3, (NH4)2S2O8, and a commercial copper etchant. We then compared the quality of graphene after the transfer process in terms of surface modifications, pollutions (residues and contaminations), and electrical properties (mobility and density). Our tests and analyses showed that the commercial copper etchant provides the best structural integrity, the least amount of residues, and the smallest doping carrier concentration.

  16. New luminescence lines in nanodiamonds obtained by chemical vapor deposition

    Science.gov (United States)

    Golubev, V. G.; Grudinkin, S. A.; Davydov, V. Yu.; Smirnov, A. N.; Feoktistov, N. A.

    2017-12-01

    The spectral characteristics of the photoluminescence lines detected for nanodiamonds obtained by the reactive ion etching of diamond particles in oxygen plasma, deposited by chemical vapor deposition on a silicon substrate, are studied. At room temperature, narrow lines are observed in the visible and infrared spectral regions, with a full width at half-maximum in the range of 1-2 nm at an almost complete absence of a broadband photoluminescence background signal. At decreasing temperature, the lines narrowed to 0.2-0.6 nm at T = 79 K, and the minimum line width was 0.055 nm at T = 10 K. With increasing temperature, the narrow lines shifted to the long-wavelength region of the spectrum, and their intensity decreased.

  17. Chemical vapor deposition (CVD) of uranium for alpha spectrometry; Deposicion quimica de vapor (CVD) de uranio para espectrometria alfa

    Energy Technology Data Exchange (ETDEWEB)

    Ramirez V, M. L.; Rios M, C.; Ramirez O, J.; Davila R, J. I.; Mireles G, F., E-mail: luisalawliet@gmail.com [Universidad Autonoma de Zacatecas, Unidad Academica de Estudios Nucleares, Cipres No. 10, Fracc. La Penuela, 98068 Zacatecas (Mexico)

    2015-09-15

    The uranium determination through radiometric techniques as alpha spectrometry requires for its proper analysis, preparation methods of the source to analyze and procedures for the deposit of this on a surface or substrate. Given the characteristics of alpha particles (small penetration distance and great loss of energy during their journey or its interaction with the matter), is important to ensure that the prepared sources are thin, to avoid problems of self-absorption. The routine methods used for this are the cathodic electro deposition and the direct evaporation, among others. In this paper the use of technique of chemical vapor deposition (CVD) for the preparation of uranium sources is investigated; because by this, is possible to obtain thin films (much thinner than those resulting from electro deposition or evaporation) on a substrate and comprises reacting a precursor with a gas, which in turn serves as a carrier of the reaction products to achieve deposition. Preliminary results of the chemical vapor deposition of uranium are presented, synthesizing and using as precursor molecule the uranyl acetylacetonate, using oxygen as carrier gas for the deposition reaction on a glass substrate. The uranium films obtained were found suitable for alpha spectrometry. The variables taken into account were the precursor sublimation temperatures and deposition temperature, the reaction time and the type and flow of carrier gas. Of the investigated conditions, two depositions with encouraging results that can serve as reference for further work to improve the technique presented here were selected. Alpha spectra obtained for these depositions and the characterization of the representative samples by scanning electron microscopy and X-ray diffraction are also presented. (Author)

  18. Vacuum improvements for ultra high charge state ion acceleration

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.; Clark, D.J.; Guy, A.; Lundgren, S.A

    1998-06-01

    The installation of a second cryo panel has significantly improved the vacuum in the 88-Inch Cyclotron at Lawrence Berkeley National Laboratory. The neutral pressure in the extraction region decreased from 1.2 x 10 -6 down to about 7 x 10 -7 Torr. The vacuum improvement reduces beam loss from charge changing collisions and enhances the cyclotron beam transmission, especially for the high charge state heavy ions. Tests with improved vacuum show the cyclotron transmission increased more than 50% (from 5.7% to 9.0%) for a Xe 27+ at 603 MeV, more than doubled for a Bi 41+ beam (from 1.9% to 4.6%) at 904 MeV and tripled for a U 47+ beam (from 1.2% to 3.6%) at 1,115 MeV. At about 5 NeV/nucleon 92 enA (2.2 pnA) for Bi 41+ and 14 enA (0.3 pnA) for U 47+ were extracted ut of the 88-Inch Cyclotron Ion beams with charge states as high as U 64+ have been produced by the LBNL AECR-U ion source and accelerated through the cyclotron for the first time. The beam losses for a variety of ultra high charge state ions were measured as a function of cyclotron pressure and compared with the calculations from the existing models

  19. Vacuum improvements for ultra high charge state ion acceleration

    International Nuclear Information System (INIS)

    Xie, Z.Q.; Lyneis, C.M.; Clark, D.J.; Guy, A.; Lundgren, S.A.

    1999-01-01

    The installation of a second cryo panel has significantly improved the vacuum in the 88-Inch Cyclotron at Lawrence Berkeley National Laboratory. The neutral pressure in the extraction region decreased from 1.2 x 10 -6 down to about 7 x 10 -7 Torr. The vacuum improvement reduces beam loss from charge changing collisions and enhances the cyclotron beam transmission, especially for the high charge state heavy ions. Tests with improved vacuum show the cyclotron transmission increased more than 50% (from 5.7% to 9.0%) for a Xe 27+ at 603 MeV, more than doubled for a Bi 41+ beam (from 1.9% % to 4.6%) at 904 MeV and tripled for a U 47+ beam (from 1.2% to 3.6%) at 1115 MeV. At about 5 MeV/nucleon 92 enA (2.2 pnA) for Bi 41+ and 14 enA (0.3 pnA) for U 47+ were extracted out of the 88-Inch Cyclotron Ion beams with charge states as high as U 64+ have been produced by the LBNL AECR-U ion source and accelerated through the cyclotron for the first time. The beam losses for a variety of ultra high charge state ions were measured as a function of cyclotron pressure and compared with the calculations from the existing models. (authors)

  20. Testing of improved polyimide actuator rod seals at high temperature and under vacuum conditions for use in advanced aircraft hydraulic systems

    Science.gov (United States)

    Sellereite, B. K.; Waterman, A. W.; Nelson, W. G.

    1974-01-01

    Polyimide second-stage rod seals were evaluated to determine their suitability for applications in space station environments. The 6.35-cm (2.5-in.)K-section seal was verified for thermal cycling operation between room temperature and 478 K (400 F) and for operation in a 133 micron PA(0.000001 mm Hg) vacuum environment. The test seal completed the scheduled 96 thermal cycles and 1438 hr in vacuum with external rod seal leakage well within the maximum allowable of two drops per 25 actuation cycles. At program completion, the seals showed no signs of structural degradation. Posttest inspection showed the seals retained a snug fit against the shaft and housing walls, indicating additional wear life capability. Evaluation of a molecular flow section during vacuum testing, to inhibit fluid loss through vaporization, showed it to be beneficial with MIL-H-5606, a petroleum-base fluid, in comparison with MIL-H-83282, a synthetic hydrocarbon-base fluid.

  1. Review: Physical, physical chemistries, chemical and sensorial characteristics of the several fruits and vegetables chips by low-temperature vacuum frying machine

    Directory of Open Access Journals (Sweden)

    AHMAD DWI SETYAWAN

    2013-11-01

    Full Text Available Setyawan AD, Sugiyarto, Solichatun, Susilowati A. 2013. Review: Physical, physical chemistries, chemical and sensorial characteristics of the several fruits and vegetables chips by low-temperature vacuum frying machine. Nusantara Bioscience 5: 84-100. Frying process is one of the oldest cooking methods and most widely practiced in the world. Frying process is considered as a dry cooking method because the process does not involve water. In frying process, oil conduction occurs at high temperature pressing water out of food in the form of bubbles. Fried foods last longer due to reduced water levels lead less decomposition by microbes, even fried foods can be enhanced nutritional value and quality of appearance. Food frying technology can extend the shelf life of fruits and vegetables and frying oil enhances the flavors of the products, however, improper frying oil can have harmful effects on human health. Vacuum frying is a promising technology that may be an option for the production of novel snacks such as fruit and vegetable crisps that present the desired quality and respond to new health trends. This technique fry food at a low temperature and pressure so that the nutritional quality of the food is maintained and the quality of the used oil does not quickly declined and became saturated oils that are harmful to human health. This technique produces chips that have physical, physico-chemical, chemical, and sensory generally better than conventional deep-fat frying methods.

  2. Parametric Investigation of the Isothermal Kinetics of Growth of Graphene on a Nickel Catalyst in the Process of Chemical Vapor Deposition of Hydrocarbons

    Science.gov (United States)

    Futko, S. I.; Shulitskii, B. G.; Labunov, V. A.; Ermolaeva, E. M.

    2016-11-01

    A kinetic model of isothermal synthesis of multilayer graphene on the surface of a nickel foil in the process of chemical vapor deposition, on it, of hydrocarbons supplied in the pulsed regime is considered. The dependences of the number of graphene layers formed and the time of their growth on the temperature of the process, the concentration of acetylene, and the thickness of the nickel foil were calculated. The regime parameters of the process of chemical vapor deposition, at which single-layer graphene and bi-layer graphene are formed, were determined. The dynamics of growth of graphene domains at chemical-vapor-deposition parameters changing in wide ranges was investigated. It is shown that the time dependences of the rates of growth of single-layer graphene and bi-layer graphene are nonlinear in character and that they are determined by the kinetics of nucleation and growth of graphene and the diffusion flow of carbon atoms in the nickel foil.

  3. Molecular dynamics study of the vaporization of an ionic drop

    Science.gov (United States)

    Galamba, N.

    2010-09-01

    The melting of a microcrystal in vacuum and subsequent vaporization of a drop of NaCl were studied through molecular dynamics simulations with the Born-Mayer-Huggins-Tosi-Fumi rigid-ion effective potential. The vaporization was studied for a single isochor at increasing temperatures until the drop completely vaporized, and gaseous NaCl formed. Examination of the vapor composition shows that the vapor of the ionic drop and gaseous NaCl are composed of neutral species, the most abundant of which, ranging from simple NaCl monomers (ion pairs) to nonlinear polymers, (NanCln)n=2-4. The enthalpies of sublimation, vaporization, and dissociation of the different vapor species are found to be in reasonable agreement with available experimental data. The decrease of the enthalpy of vaporization of the vapor species, with the radius of the drop decrease, accounts for a larger fraction of trimers and tetramers than that inferred from experiments. Further, the rhombic dimer is significantly more abundant than its linear isomer although the latter increases with the temperature. The present results suggest that both trimers and linear dimers may be important to explain the vapor pressure of molten NaCl at temperatures above 1500 K.

  4. Electrical Transport and Low-Frequency Noise in Chemical Vapor Deposited Single-Layer MoS2 Devices

    Science.gov (United States)

    2014-03-18

    PERSON 19b. TELEPHONE NUMBER Pullickel Ajayan Deepak Sharma, Matin Amani, Abhishek Motayed, Pankaj B. Shah, A. Glen Birdwell, Sina Najmaei, Pulickel...in chemical vapor deposited single-layer MoS2 devices Deepak Sharma1,2, Matin Amani3, Abhishek Motayed2,4, Pankaj B Shah3, A Glen Birdwell3, Sina

  5. Decay rate of the false vacuum at high temperatures

    International Nuclear Information System (INIS)

    Eboli, O.J.P.; Marques, G.C.

    1986-01-01

    We investigate, within the semiclassical approach, the high temperature behaviour of the decay rate (Γ) of the metastable vacuum in Field Theory. We exhibit some exactly soluble (1+1) and (3+1) dimensional examples and develop a formal expression for γ in the high temperature limit. (Author) [pt

  6. Vacuum-ultraviolet circular dichroism spectrophotometer using synchrotron radiation

    International Nuclear Information System (INIS)

    Matsu, K.; Yonehara, R.; Gekko, K.

    2004-01-01

    Full text: Circular dichroism (CD) spectroscopy is powerful for analyzing the structure of optically active materials such as biopolymers. However, no commercial CD spectrophotometer is capable of measuring the CD in the vacuum ultraviolet (VUV) region below 190 nm because of technical difficulties involved in the light source, optical device, and sample cell. CD measurements extended to the VUV region can provide more detailed and new information on the structure of biopolymers based on the higher energy transition of chromophores such as hydroxyl and acetal groups. We have constructed a VUVCD spectrophotometer to measure the CD spectra of biomaterials in aqueous solutions in the 310-140 nm wavelength region under a high vacuum, using a small-scale SR source (0.7 GeV) at Hiroshima Synchrotron Radiation Center (HiSOR). All optical devices of the spectrophotometer were set up under a high vacuum (10 -6 Torr), to avoid the absorption of light by air and water vapor. The SR light is separated into two orthogonal linearly polarized light beams by a linear polarizer and then modulated to circularly polarized light at 50 kHz by a photo-elastic modulator (PEM). In order to control PEM accurately and to stabilize the lock-in amplifier under a high vacuum, we used the optical servo-control system. Also, an assembled-type MgF 2 cell with a temperature-control unit was constructed using a Peltier thermoelectric element. Its path length can be adjusted by various Tefron spacers in the range from 1.3 to 50 μm and its temperature can be controlled within an accuracy of ± 1 deg C in the range from -30 to 70 deg C. The performance of the spectrophotometer and MgF 2 cell constructed was tested by monitoring the CD spectra of ammonium d-camphor-10-sulfonate (ACS), D- and L-isomers of amino acids. These obtained results demonstrate that the optical system and the sample cell constructed normally operate under a high vacuum to provide useful information on the structure analysis of

  7. Deposition of yttria stabilized zirconia layer for solid oxide fuel cell by chemical vapor infiltration

    International Nuclear Information System (INIS)

    John, John T.; Dubey, Vivekanand; Kain, Vivekanand; Dey, Gautham Kumar; Prakash, Deep

    2011-01-01

    Free energy associated with a chemical reaction can be converted into electricity, if we can split the reaction into an anodic reaction and a cathodic reaction and carry out the reactions in an electrochemical cell using electrodes that will catalyze the reactions. We also have to use a suitable electrolyte, that serves to isolate the chemical species in the two compartments from getting mixed directly but allow an ion produced in one of the reactions to proceed to the other side and complete the reaction. For this reason cracks and porosity are not tolerated in the electrolyte. First generation solid oxide fuel cell (SOFC) uses yttria stabilized zirconia (YSZ) as the electrolyte. In spite of the fact that several solid electrolytes with higher conductivities at lower temperature are being investigated and developed, 8 mol% yttria stabilized zirconia (8YSZ) is considered to be the most favored electrolyte for the SOFC today. The electrolyte should be present as a thin, impervious layer of uniform thickness with good adherence, chemical and mechanical stability, in between the porous cathode and anode. Efforts to produce the 8YSZ coatings on porous lanthanum strontium manganite tubes by electrochemical vapor deposition (ECVD) have met with unexpected difficulties such as impurity pick up and chemical and mechanical instability of the LSM tubes in the ECVD environment. It was also difficult to keep the chemical composition of the YSZ coating at exactly 8 mol% Yttria in zirconia and to control the coating thickness in tight control. These problems were overcome by a two step deposition process where a YSZ layer of required thickness was produced by electrophoretic coating from an acetyl acetone bath at a voltage of 30-300V DC and sintered at 1300 deg C. The resulting porous YSZ layer was made impervious by chemical vapor infiltration (CVI) by the reaction between a mixture of vapors of YCl 3 and ZrCl 4 and steam at 1300 deg C as in the case of ECVD for a short

  8. One-step microwave plasma enhanced chemical vapor deposition (MW-PECVD) for transparent superhydrophobic surface

    Science.gov (United States)

    Thongrom, Sukrit; Tirawanichakul, Yutthana; Munsit, Nantakan; Deangngam, Chalongrat

    2018-02-01

    We demonstrate a rapid and environmental friendly fabrication technique to produce optically clear superhydrophobic surfaces using poly (dimethylsiloxane) (PDMS) as a sole coating material. The inert PDMS chain is transformed into a 3-D irregular solid network through microwave plasma enhanced chemical vapor deposition (MW-PECVD) process. Thanks to high electron density in the microwave-activated plasma, coating can be done in just a single step with rapid deposition rate, typically much shorter than 10 s. Deposited layers show excellent superhydrophobic properties with water contact angles of ∼170° and roll-off angles as small as ∼3°. The plasma-deposited films can be ultrathin with thicknesses under 400 nm, greatly diminishing the optical loss. Moreover, with appropriate coating conditions, the coating layer can even enhance the transmission over the entire visible spectrum due to a partial anti-reflection effect.

  9. Plasma-enhanced chemical vapor deposited silicon oxynitride films for optical waveguide bridges for use in mechanical sensors

    DEFF Research Database (Denmark)

    Storgaard-Larsen, Torben; Leistiko, Otto

    1997-01-01

    In this paper the influence of RF power, ammonia flow, annealing temperature, and annealing time on the optical and mechanical properties of plasma-enhanced chemically vapor deposited silicon oxynitride films, is presented. A low refractive index (1.47 to 1.48) film having tensile stress has been...

  10. Chemical vapor deposition of amorphous ruthenium-phosphorus alloy films

    International Nuclear Information System (INIS)

    Shin Jinhong; Waheed, Abdul; Winkenwerder, Wyatt A.; Kim, Hyun-Woo; Agapiou, Kyriacos; Jones, Richard A.; Hwang, Gyeong S.; Ekerdt, John G.

    2007-01-01

    Chemical vapor deposition growth of amorphous ruthenium-phosphorus films on SiO 2 containing ∼ 15% phosphorus is reported. cis-Ruthenium(II)dihydridotetrakis-(trimethylphosphine), cis-RuH 2 (PMe 3 ) 4 (Me = CH 3 ) was used at growth temperatures ranging from 525 to 575 K. Both Ru and P are zero-valent. The films are metastable, becoming increasingly more polycrystalline upon annealing to 775 and 975 K. Surface studies illustrate that demethylation is quite efficient near 560 K. Precursor adsorption at 135 K or 210 K and heating reveal the precursor undergoes a complex decomposition process in which the hydride and trimethylphosphine ligands are lost at temperatures as low at 280 K. Phosphorus and its manner of incorporation appear responsible for the amorphous-like character. Molecular dynamics simulations are presented to suggest the local structure in the films and the causes for phosphorus stabilizing the amorphous phase

  11. Kinetics of chemical vapor deposition of boron on molybdenum

    International Nuclear Information System (INIS)

    Tanaka, H.; Nakanishi, N.; Kato, E.

    1987-01-01

    Experimental rate data of chemical vapor deposition of boron by reduction of boron trichloride with hydrogen are analyzed to determine the reaction mechanism. The experiments were conducted at atmospheric pressure. The weight change of the sample was noted by means of a thermobalance. Molybdenum was used as the substrate. It has been found that the outer layer of the deposited film is Mo/sub 2/B/sub 5/ and the inner layer is MoB, and in the stational state of the reaction, the diffusion in the solid state is considered not to be rate controlling. When mass transport limitation was absent, the reaction orders with respect to boron trichloride and hydrogen were one third and one half, respectively. By comparing these orders with those obtained from Langmuir-Hinshelwood type equations, the rate controlling mechanism is identified to be the desorption of hydrogen chloride from the substrate

  12. A new method for the determination of vaporization enthalpies of ionic liquids at low temperatures.

    Science.gov (United States)

    Verevkin, Sergey P; Zaitsau, Dzmitry H; Emelyanenko, Vladimir N; Heintz, Andreas

    2011-11-10

    A new method for the determination of vaporization enthalpies of extremely low volatile ILs has been developed using a newly constructed quartz crystal microbalance (QCM) vacuum setup. Because of the very high sensitivity of the QCM it has been possible to reduce the average temperature of the vaporization studies by approximately 100 K in comparison to other conventional techniques. The physical basis of the evaluation procedure has been developed and test measurements have been performed with the common ionic liquid 1-ethyl-3-methylimidazolium bis(trifluoromethanesulfonyl)imide [C(2)mim][NTf(2)] extending the range of measuring vaporization enthalpies down to 363 K. The results obtained for [C(2)mim][NTf(2)] have been tested for thermodynamic consistency by comparison with data already available at higher temperatures. Comparison of the temperature-dependent vaporization enthalpy data taken from the literature show only acceptable agreement with the heat capacity difference of -40 J K(-1) mol(-1). The method developed in this work opens also a new way to obtain reliable values of vaporization enthalpies of thermally unstable ionic liquids.

  13. Uptake rate constants and partition coefficients for vapor phase organic chemicals using semipermeable membrane devices (SPMDs)

    Science.gov (United States)

    Cranor, W.L.; Alvarez, D.A.; Huckins, J.N.; Petty, J.D.

    2009-01-01

    To fully utilize semipermeable membrane devices (SPMDs) as passive samplers in air monitoring, data are required to accurately estimate airborne concentrations of environmental contaminants. Limited uptake rate constants (kua) and no SPMD air partitioning coefficient (Ksa) existed for vapor-phase contaminants. This research was conducted to expand the existing body of kinetic data for SPMD air sampling by determining kua and Ksa for a number of airborne contaminants including the chemical classes: polycyclic aromatic hydrocarbons, organochlorine pesticides, brominated diphenyl ethers, phthalate esters, synthetic pyrethroids, and organophosphate/organosulfur pesticides. The kuas were obtained for 48 of 50 chemicals investigated and ranged from 0.03 to 3.07??m3??g-1??d-1. In cases where uptake was approaching equilibrium, Ksas were approximated. Ksa values (no units) were determined or estimated for 48 of the chemicals investigated and ranging from 3.84E+5 to 7.34E+7. This research utilized a test system (United States Patent 6,877,724 B1) which afforded the capability to generate and maintain constant concentrations of vapor-phase chemical mixtures. The test system and experimental design employed gave reproducible results during experimental runs spanning more than two years. This reproducibility was shown by obtaining mean kua values (n??=??3) of anthracene and p,p???-DDE at 0.96 and 1.57??m3??g-1??d-1 with relative standard deviations of 8.4% and 8.6% respectively.

  14. Properties of amorphous silicon thin films synthesized by reactive particle beam assisted chemical vapor deposition

    International Nuclear Information System (INIS)

    Choi, Sun Gyu; Wang, Seok-Joo; Park, Hyeong-Ho; Jang, Jin-Nyoung; Hong, MunPyo; Kwon, Kwang-Ho; Park, Hyung-Ho

    2010-01-01

    Amorphous silicon thin films were formed by chemical vapor deposition of reactive particle beam assisted inductively coupled plasma type with various reflector bias voltages. During the deposition, the substrate was heated at 150 o C. The effects of reflector bias voltage on the physical and chemical properties of the films were systematically studied. X-ray diffraction and Raman spectroscopy results showed that the deposited films were amorphous and the films under higher reflector voltage had higher internal energy to be easily crystallized. The chemical state of amorphous silicon films was revealed as metallic bonding of Si atoms by using X-ray photoelectron spectroscopy. An increase in reflector voltage induced an increase of surface morphology of films and optical bandgap and a decrease of photoconductivity.

  15. Vacuum arc ion charge state distributions

    International Nuclear Information System (INIS)

    Brown, I.G.; Godechot, X.

    1990-06-01

    We have measured vacuum arc ion charge state spectra for a wide range of metallic cathode materials. The charge state distributions were measured using a time-of-flight diagnostic to monitor the energetic ion beam produced by a metal vapor vacuum arc ion source. We have obtained data for 48 metallic cathode elements: Li, C, Mg, Al, Si, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, In, Sn, Ba, La, Ce, Pr, Nd, Sm, Gd, Dy, Ho, Er, Yb, Hf, Ta, W, Ir, Pt, Au, Pb, Bi, Th and U. The arc was operated in a pulsed mode with pulse length 0.25 msec; arc current was 100 A throughout. This array of elements extends and completes previous work by us. In this paper the measured distributions are cataloged and compared with our earlier results and with those of other workers. We also make some observations about the performance of the various elements as suitable vacuum arc cathode materials

  16. Vacuum arc ion charge-state distributions

    International Nuclear Information System (INIS)

    Brown, I.G.; Godechot, X.

    1991-01-01

    The authors have measured vacuum arc ion charge-state spectra for a wide range of metallic cathode materials. The charge-state distributions were measured using a time-of-flight diagnostic to monitor the energetic ion beam produced by a metal vapor vacuum arc ion source. They have obtained data for 48 metallic cathode elements: Li, C, Mg, Al, Si, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ge, Sr, Y, Zr, Nb, Mo, Pd, Ag, Cd, In, Sn, Ba, La, Ce, Pr, Nd, Sm, Gd, Dy, Ho, Er, Yb, Hf, Ta, W, Ir, Pt, Au, Pb, Bi, Th, and U. The arc was operated in a pulsed mode with pulse length 0.25 ms; arc current was 100 A throughout. This array of elements extends and completes previous work by the authors. In this paper the measured distributions are cataloged and compared with their earlier results and those of other workers. They also make some observations about the performance of the various elements as suitable vacuum arc cathode materials

  17. Low-Temperature Process for Atomic Layer Chemical Vapor Deposition of an Al2O3 Passivation Layer for Organic Photovoltaic Cells.

    Science.gov (United States)

    Kim, Hoonbae; Lee, Jihye; Sohn, Sunyoung; Jung, Donggeun

    2016-05-01

    Flexible organic photovoltaic (OPV) cells have drawn extensive attention due to their light weight, cost efficiency, portability, and so on. However, OPV cells degrade quickly due to organic damage by water vapor or oxygen penetration when the devices are driven in the atmosphere without a passivation layer. In order to prevent damage due to water vapor or oxygen permeation into the devices, passivation layers have been introduced through methods such as sputtering, plasma enhanced chemical vapor deposition, and atomic layer chemical vapor deposition (ALCVD). In this work, the structural and chemical properties of Al2O3 films, deposited via ALCVD at relatively low temperatures of 109 degrees C, 200 degrees C, and 300 degrees C, are analyzed. In our experiment, trimethylaluminum (TMA) and H2O were used as precursors for Al2O3 film deposition via ALCVD. All of the Al2O3 films showed very smooth, featureless surfaces without notable defects. However, we found that the plastic flexible substrate of an OPV device passivated with 300 degrees C deposition temperature was partially bended and melted, indicating that passivation layers for OPV cells on plastic flexible substrates need to be formed at temperatures lower than 300 degrees C. The OPV cells on plastic flexible substrates were passivated by the Al2O3 film deposited at the temperature of 109 degrees C. Thereafter, the photovoltaic properties of passivated OPV cells were investigated as a function of exposure time under the atmosphere.

  18. Vacuum mechatronics

    Science.gov (United States)

    Hackwood, Susan; Belinski, Steven E.; Beni, Gerardo

    1989-01-01

    The discipline of vacuum mechatronics is defined as the design and development of vacuum-compatible computer-controlled mechanisms for manipulating, sensing and testing in a vacuum environment. The importance of vacuum mechatronics is growing with an increased application of vacuum in space studies and in manufacturing for material processing, medicine, microelectronics, emission studies, lyophylisation, freeze drying and packaging. The quickly developing field of vacuum mechatronics will also be the driving force for the realization of an advanced era of totally enclosed clean manufacturing cells. High technology manufacturing has increasingly demanding requirements for precision manipulation, in situ process monitoring and contamination-free environments. To remove the contamination problems associated with human workers, the tendency in many manufacturing processes is to move towards total automation. This will become a requirement in the near future for e.g., microelectronics manufacturing. Automation in ultra-clean manufacturing environments is evolving into the concept of self-contained and fully enclosed manufacturing. A Self Contained Automated Robotic Factory (SCARF) is being developed as a flexible research facility for totally enclosed manufacturing. The construction and successful operation of a SCARF will provide a novel, flexible, self-contained, clean, vacuum manufacturing environment. SCARF also requires very high reliability and intelligent control. The trends in vacuum mechatronics and some of the key research issues are reviewed.

  19. Resolving the nanostructure of plasma-enhanced chemical vapor deposited nanocrystalline SiOx layers for application in solar cells

    Science.gov (United States)

    Klingsporn, M.; Kirner, S.; Villringer, C.; Abou-Ras, D.; Costina, I.; Lehmann, M.; Stannowski, B.

    2016-06-01

    Nanocrystalline silicon suboxides (nc-SiOx) have attracted attention during the past years for the use in thin-film silicon solar cells. We investigated the relationships between the nanostructure as well as the chemical, electrical, and optical properties of phosphorous, doped, nc-SiO0.8:H fabricated by plasma-enhanced chemical vapor deposition. The nanostructure was varied through the sample series by changing the deposition pressure from 533 to 1067 Pa. The samples were then characterized by X-ray photoelectron spectroscopy, spectroscopic ellipsometry, Raman spectroscopy, aberration-corrected high-resolution transmission electron microscopy, selected-area electron diffraction, and a specialized plasmon imaging method. We found that the material changed with increasing pressure from predominantly amorphous silicon monoxide to silicon dioxide containing nanocrystalline silicon. The nanostructure changed from amorphous silicon filaments to nanocrystalline silicon filaments, which were found to cause anisotropic electron transport.

  20. Cathodic Vacuum Arc Plasma of Thallium

    International Nuclear Information System (INIS)

    Yushkov, Georgy Yu.; Anders, Andre

    2006-01-01

    Thallium arc plasma was investigated in a vacuum arc ion source. As expected from previous consideration of cathode materials in the Periodic Table of the Elements, thallium plasma shows lead-like behavior. Its mean ion charge state exceeds 2.0 immediately after arc triggering, reaches the predicted 1.60 and 1.45 after about 100 microsec and 150 microsec, respectively. The most likely ion velocity is initially8000 m/s and decays to 6500 m/s and 6200 m/s after 100 microsec and 150microsec, respectively. Both ion charge states and ion velocities decay further towards steady state values, which are not reached within the 300microsec pulses used here. It is argued that the exceptionally high vapor pressure and charge exchange reactions are associated with the establishment of steady state ion values

  1. Beam tube vacuum in future superconducting proton colliders

    International Nuclear Information System (INIS)

    Turner, W.

    1994-10-01

    The beam tube vacuum requirements in future superconducting proton colliders that have been proposed or discussed in the literature -- SSC, LHC, and ELN -- are reviewed. The main beam tube vacuum problem encountered in these machines is how to deal with the magnitude of gas desorption and power deposition by synchrotron radiation while satisfying resistivity, impedance, and space constraints in the cryogenic environment of superconducting magnets. A beam tube vacuum model is developed that treats photodesorption of tightly bound H, C, and 0, photodesorption of physisorbed molecules, and the isotherm vapor pressure of H 2 . Experimental data on cold tube photodesorption experiments are reviewed and applied to model calculations of beam tube vacuum performance for simple cold beam tube and liner configurations. Particular emphasis is placed on the modeling and interpretation of beam tube photodesorpiion experiments at electron synchrotron light sources. The paper also includes discussion of the constraints imposed by beam image current heating, the growth rate of the resistive wall instability, and single-bunch instability impedance limits

  2. Chemical vapor deposition diamond based multilayered radiation detector: Physical analysis of detection properties

    International Nuclear Information System (INIS)

    Almaviva, S.; Marinelli, Marco; Milani, E.; Prestopino, G.; Tucciarone, A.; Verona, C.; Verona-Rinati, G.; Angelone, M.; Pillon, M.; Dolbnya, I.; Sawhney, K.; Tartoni, N.

    2010-01-01

    Recently, solid state photovoltaic Schottky diodes, able to detect ionizing radiation, in particular, x-ray and ultraviolet radiation, have been developed at the University of Rome 'Tor Vergata'. We report on a physical and electrical properties analysis of the device and a detailed study of its detection capabilities as determined by its electrical properties. The design of the device is based on a metal/nominally intrinsic/p-type diamond layered structure obtained by microwave plasma chemical vapor deposition of homoepitaxial single crystal diamond followed by thermal evaporation of a metallic contact. The device can operate in an unbiased mode by using the built-in potential arising from the electrode-diamond junction. We compare the expected response of the device to photons of various energies calculated through Monte Carlo simulation with experimental data collected in a well controlled experimental setup i.e., monochromatic high flux x-ray beams from 6 to 20 keV, available at the Diamond Light Source synchrotron in Harwell (U.K.).

  3. Ionic liquids. Combination of combustion calorimetry with high-level quantum chemical calculations for deriving vaporization enthalpies.

    Science.gov (United States)

    Emel'yanenko, Vladimir N; Verevkin, Sergey P; Heintz, Andreas; Schick, Christoph

    2008-07-10

    In this work, the molar enthalpies of formation of the ionic liquids [C2MIM][NO3] and [C4MIM][NO3] were measured by means of combustion calorimetry. The molar enthalpy of fusion of [C2MIM][NO3] was measured using differential scanning calorimetry. Ab initio calculations of the enthalpy of formation in the gaseous phase have been performed for the ionic species using the G3MP2 theory. We have used a combination of traditional combustion calorimetry with modern high-level ab initio calculations in order to obtain the molar enthalpies of vaporization of a series of the ionic liquids under study.

  4. Ethanol production from food waste at high solids content with vacuum recovery technology.

    Science.gov (United States)

    Huang, Haibo; Qureshi, Nasib; Chen, Ming-Hsu; Liu, Wei; Singh, Vijay

    2015-03-18

    Ethanol production from food wastes does not only solve environmental issues but also provides renewable biofuels. This study investigated the feasibility of producing ethanol from food wastes at high solids content (35%, w/w). A vacuum recovery system was developed and applied to remove ethanol from fermentation broth to reduce yeast ethanol inhibition. A high concentration of ethanol (144 g/L) was produced by the conventional fermentation of food waste without a vacuum recovery system. When the vacuum recovery is applied to the fermentation process, the ethanol concentration in the fermentation broth was controlled below 100 g/L, thus reducing yeast ethanol inhibition. At the end of the conventional fermentation, the residual glucose in the fermentation broth was 5.7 g/L, indicating incomplete utilization of glucose, while the vacuum fermentation allowed for complete utilization of glucose. The ethanol yield for the vacuum fermentation was found to be 358 g/kg of food waste (dry basis), higher than that for the conventional fermentation at 327 g/kg of food waste (dry basis).

  5. Insulation vacuum and beam vacuum overpressure release

    CERN Document Server

    Parma, V

    2009-01-01

    There is evidence that the incident of 19th September caused a high pressure build-up inside the cryostat insulation vacuum which the existing overpressure devices could not contain. As a result, high longitudinal forces acting on the insulation vacuum barriers developed and broke the floor and the floor fixations of the SSS with vacuum barriers. The consequent large longitudinal displacements of the SSS damaged chains of adjacent dipole cryo-magnets. Estimates of the helium mass flow and the pressure build- up experienced in the incident are presented together with the pressure build-up for an even more hazardous event, the Maximum Credible Incident (MCI). The strategy of limiting the maximum pressure by the installation of addition pressure relieve devices is presented and discussed. Both beam vacuum lines were ruptured during the incident in sector 3-4 giving rise to both mechanical damage and pollution of the system. The sequence, causes and effects of this damage will be briefly reviewed. We will then an...

  6. Experimental and numerical study of the chemical composition of WSex thin films obtained by pulsed laser deposition in vacuum and in a buffer gas atmosphere

    International Nuclear Information System (INIS)

    Grigoriev, S.N.; Fominski, V.Yu.; Gnedovets, A.G.; Romanov, R.I.

    2012-01-01

    WSe x thin films were obtained by pulsed laser deposition in vacuum and at various Ar gas pressures up to 10 Pa. Stoichiometry and chemical state of the WSe x films were studied by means of Rutherford backscattering spectrometry and X-ray photoelectron spectroscopy. In the case of pulsed laser deposition of WSe x films in vacuum the value of stoichiometric coefficient x was 1.3. During the deposition in argon at pressures of 2-10 Pa the value of x varied from 1.5 to 2.2. To explain the influence of the buffer gas, a model was used that takes into account the following processes: (1) congruent pulsed laser evaporation of the WSe 2.2 target; (2) scattering of laser-evaporated W and Se atoms in Ar; (3) sputtering of the deposited film by high-energy atoms from the laser plume. Experimentally, the velocity distributions of laser-evaporated W and Se atoms in vacuum were determined by the time-of-flight measurements. Collision Monte Carlo simulations were used to quantify the impact of the buffer gas on the energy and the incidence angle distributions of the deposited W and Se atoms. Model distributions were used to determine the chemical composition of the WSe x films, depending on the efficiency of the preferential sputtering of Se atoms.

  7. Structural integrity testing of glass-ceramic/molybdenum vacuum tube frames

    International Nuclear Information System (INIS)

    Spears, R.K.

    1980-01-01

    In this study, vacuum tube subassemblies made of glass-ceramic insulators sealed to inner and outer molybdenum frames were loaded in compression to failure with a tensile test machine. Several factors were varied in processing these subassemblies. These factors included etching and nonetching of molybdenum piece parts, annealing and nonannealing of subassemblies, and vapor and non-vapor honing of insulators after sealing. After failure, the subassemblies were examined for fracture patterns. In most cases, fracture started at points near the lower portion of the inner sleeve-insulator interface. More load was carried by subassemblies having molybdenum piece parts that were acid etched. No difference appeared between the strength of subassemblies having annealed and nonannealed glass-ceramic insulators. Parts with vapor-honed insulators failed at substantially lower loads

  8. Reactive Chemical Vapor Deposition Method as New Approach for Obtaining Electroluminescent Thin Film Materials

    Directory of Open Access Journals (Sweden)

    Valentina V. Utochnikova

    2012-01-01

    Full Text Available The new reactive chemical vapor deposition (RCVD method has been proposed for thin film deposition of luminescent nonvolatile lanthanide aromatic carboxylates. This method is based on metathesis reaction between the vapors of volatile lanthanide dipivaloylmethanate (Ln(dpm3 and carboxylic acid (HCarb orH2Carb′ and was successfully used in case of HCarb. Advantages of the method were demonstrated on example of terbium benzoate (Tb(bz3 and o-phenoxybenzoate thin films, and Tb(bz3 thin films were successfully examined in the OLED with the following structure glass/ITO/PEDOT:PSS/TPD/Tb(bz3/Ca/Al. Electroluminescence spectra of Tb(bz3 showed only typical luminescent bands, originated from transitions of the terbium ion. Method peculiarities for deposition of compounds of dibasic acids H2Carb′ are established on example of terbium and europium terephtalates and europium 2,6-naphtalenedicarboxylate.

  9. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    International Nuclear Information System (INIS)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong; Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee; Boo, Jin-Hyo

    2011-01-01

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  10. Flow-dependent directional growth of carbon nanotube forests by chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kim, Hyeongkeun; Park, Young Chul; Chun, Kyoung-Yong; Kim, Young-Jin; Choi, Jae-Boong [School of Mechanical Engineering, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Kim, Keun Soo; Kang, Junmo; Hong, Byung Hee [SKKU Advanced Institute of Nanotechnology (SAINT) and Center for Human Interface Nano Technology (HINT), Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of); Boo, Jin-Hyo, E-mail: byunghee@skku.edu, E-mail: boong33@skku.edu [Department of Chemistry, RIAN and Institute of Basic Science, Sungkyunkwan University, Suwon, 440-746 (Korea, Republic of)

    2011-03-04

    We demonstrated that the structural formation of vertically aligned carbon nanotube (CNT) forests is primarily affected by the geometry-related gas flow, leading to the change of growth directions during the chemical vapor deposition (CVD) process. By varying the growing time, flow rate, and direction of the carrier gas, the structures and the formation mechanisms of the vertically aligned CNT forests were carefully investigated. The growth directions of CNTs are found to be highly dependent on the nonlinear local gas flows induced by microchannels. The angle of growth significantly changes with increasing gas flows perpendicular to the microchannel, while the parallel gas flow shows almost no effect. A computational fluid dynamics (CFD) model was employed to explain the flow-dependent growth of CNT forests, revealing that the variation of the local pressure induced by microchannels is an important parameter determining the directionality of the CNT growth. We expect that the present method and analyses would provide useful information to control the micro- and macrostructures of vertically aligned CNTs for various structural/electrical applications.

  11. Potassium permanganate for mercury vapor environmental control

    Science.gov (United States)

    Kuivinen, D. E.

    1972-01-01

    Potassium permanganate (KMnO4) was evaluated for application in removing mercury vapor from exhaust air systems. The KMnO4 may be used in water solution with a liquid spray scrubber system or as a solid adsorber bed material when impregnated onto a zeolite. Air samples contaminated with as much as 112 mg/cu m of mercury were scrubbed to 0.06mg/cum with the KMnO4-impregnated zeolite (molecular sieve material). The water spray solution of permanganate was also found to be as effective as the impregnated zeolite. The KMnO4-impregnated zeolite was applied as a solid adsorber material to (1) a hardware decontamination system, (2) a model incinerator, and (3) a high vacuum chamber for ion engine testing with mercury as the propellant. A liquid scrubber system was also applied in an incinerator system. Based on the results of these experiments, it is concluded that the use of KMnO4 can be an effective method for controlling noxious mercury vapor.

  12. Experimental Study on Solar Cooling Tube Using Thermal/Vacuum Emptying Method

    Directory of Open Access Journals (Sweden)

    Huizhong Zhao

    2012-01-01

    Full Text Available A solar cooling tube using thermal/vacuum emptying method was experimentally studied in this paper. The coefficient of performance (COP of the solar cooling tube was mostly affected by the vacuum degree of the system. In past research, the thermal vacuum method, using an electric oven and iodine-tungsten lamp to heat up the adsorbent bed and H2O vapor to expel the air from the solar cooling tube, was used to manufacture solar cooling tubes. This paper presents a novel thermal vacuum combined with vacuum pump method allowing an increased vacuum state for producing solar cooling tubes. The following conclusions are reached: the adsorbent bed temperature of solar cooling tube could reaches up to 233°C, and this temperature is sufficient to meet desorption demand; the refrigerator power of a single solar cooling tube varies from 1 W to 12 W; the total supply refrigerating capacity is about 287 kJ; and the COP of this solar cooling tube is about 0.215.

  13. Vapor Pressure Plus: An Experiment for Studying Phase Equilibria in Water, with Observation of Supercooling, Spontaneous Freezing, and the Triple Point

    Science.gov (United States)

    Tellinghuisen, Joel

    2010-01-01

    Liquid-vapor, solid-vapor, and solid-liquid-vapor equilibria are studied for the pure substance water, using modern equipment that includes specially fabricated glass cells. Samples are evaporatively frozen initially, during which they typically supercool to -5 to -10 [degrees]C before spontaneously freezing. Vacuum pumping lowers the temperature…

  14. Applicability of Vacuum Impregnation to Modify Physico-Chemical, Sensory and Nutritive Characteristics of Plant Origin Products—A Review

    Directory of Open Access Journals (Sweden)

    Elżbieta Radziejewska-Kubzdela

    2014-09-01

    Full Text Available Vacuum impregnation is a non-destructive method of introducing a solution with a specific composition to the porous matrices of fruit and vegetables. Mass transfer in this process is a result of mechanically induced differences in pressure. Vacuum impregnation makes it possible to fill large volumes of intercellular spaces in tissues of fruit and vegetables, thus modifying physico-chemical properties and sensory attributes of products. This method may be used, e.g., to reduce pH and water activity of the product, change its thermal properties, improve texture, color, taste and aroma. Additionally, bioactive compounds may be introduced together with impregnating solutions, thus improving health-promoting properties of the product or facilitating production of functional food.

  15. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD) Method

    Science.gov (United States)

    Manawi, Yehia M.; Samara, Ayman; Al-Ansari, Tareq; Atieh, Muataz A.

    2018-01-01

    Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD) method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs), carbon nanofibers (CNFs), graphene, carbide-derived carbon (CDC), carbon nano-onion (CNO) and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research. PMID:29772760

  16. A Review of Carbon Nanomaterials’ Synthesis via the Chemical Vapor Deposition (CVD Method

    Directory of Open Access Journals (Sweden)

    Yehia M. Manawi

    2018-05-01

    Full Text Available Carbon nanomaterials have been extensively used in many applications owing to their unique thermal, electrical and mechanical properties. One of the prime challenges is the production of these nanomaterials on a large scale. This review paper summarizes the synthesis of various carbon nanomaterials via the chemical vapor deposition (CVD method. These carbon nanomaterials include fullerenes, carbon nanotubes (CNTs, carbon nanofibers (CNFs, graphene, carbide-derived carbon (CDC, carbon nano-onion (CNO and MXenes. Furthermore, current challenges in the synthesis and application of these nanomaterials are highlighted with suggested areas for future research.

  17. Dispersion of carbon nanotubes in hydroxyapatite powder by in situ chemical vapor deposition

    International Nuclear Information System (INIS)

    Li Haipeng; Wang Lihui; Liang, Chunyong; Wang Zhifeng; Zhao Weimin

    2010-01-01

    In the present work, we use chemical vapor deposition of methane to disperse carbon nanotubes (CNTs) within hydroxyapatite (HA) powder. The effect of different catalytic metal particles (Fe, Ni or Co) on the morphological and structural development of the powder and dispersion of CNTs in HA powder was investigated. The results show that the technique is effective in dispersing the nanotubes within HA powder, which simultaneously protects the nanotubes from damage. The results can have important and promising speculations for the processing of CNT-reinforced HA-matrix composites in general.

  18. Low-temperature synthesis of diamond films by photoemission-assisted plasma-enhanced chemical vapor deposition

    Energy Technology Data Exchange (ETDEWEB)

    Kawata, Mayuri, E-mail: kawata@mail.tagen.tohoku.ac.jp; Ojiro, Yoshihiro; Ogawa, Shuichi; Takakuwa, Yuji [Institute of Multidisciplinary Research for Advanced Materials, Tohoku University, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577 (Japan); Masuzawa, Tomoaki; Okano, Ken [International Christian University, 3-10-2 Osawa, Mitaka 181-8585 (Japan)

    2014-03-15

    Photoemission-assisted plasma-enhanced chemical vapor deposition (PA-PECVD), a process in which photoelectrons emitted from a substrate irradiated with ultraviolet light are utilized as a trigger for DC discharge, was investigated in this study; specifically, the DC discharge characteristics of PA-PECVD were examined for an Si substrate deposited in advance through hot-filament chemical vapor deposition with a nitrogen-doped diamond layer of thickness ∼1 μm. Using a commercially available Xe excimer lamp (hν = 7.2 eV) to illuminate the diamond surface with and without hydrogen termination, the photocurrents were found to be 3.17 × 10{sup 12} and 2.11 × 10{sup 11} electrons/cm{sup 2}/s, respectively. The 15-fold increase in photocurrent was ascribed to negative electron affinity (NEA) caused by hydrogen termination on the diamond surfaces. The DC discharge characteristics revealed that a transition bias voltage from a Townsend-to-glow discharge was considerably decreased because of NEA (from 490 to 373 V for H{sub 2} gas and from 330 to 200 V for Ar gas), enabling a reduction in electric power consumption needed to synthesize diamond films through PA-PECVD. In fact, the authors have succeeded in growing high-quality diamond films of area 2.0 cm{sup 2} at 540 °C with a discharge power of only 1.8 W, plasma voltage of 156.4 V, and discharge current of 11.7 mA under the glow discharge of CH{sub 4}/H{sub 2}/Ar mixed gases. In addition to having only negligible amounts of graphite and amorphous carbon, the diamond films exhibit a relatively high diamond growth rate of 0.5 μm/h at temperatures as low as 540 °C, which is attributed to Ar{sup +} ions impinging on the diamond surface, and causing the removal of hydrogen atoms from the surface through sputtering. This process leads to enhanced CH{sub x} radical adsorption, because the sample was applied with a negative potential to accelerate photoelectrons in PA-PECVD.

  19. Ultrasonic Spray Drying vs High Vacuum and Microwaves Technology for Blueberries

    Science.gov (United States)

    Candia-Muñoz, N.; Ramirez-Bunster, M.; Vargas-Hernández, Y.; Gaete-Garretón, L.

    Interest in high quality foods: good taste and a high content of nutrients with healthy beneficial effects are increasing. Fruits have good properties but, they are lost because the oxidation process, additionally, for different reasons a 40% of harvested fruit are lost. To conserve the fruit properties an ultrasonic assisted spray dryer was developed and tested, comparing its results with microwave-vacuum drying technology. Results did shown taste, color, smell, particle shape and size distribution better than the conventional one. The antioxidants conservation were quite good except in the anthocyanins, in which the microwave and vacuum technology shown best results.

  20. Study on the effect of subcooling on vapor film collapse on high temperature particle surface

    International Nuclear Information System (INIS)

    Abe, Yutaka; Tochio, Daisuke; Yanagida, Hiroshi

    2000-01-01

    Thermal detonation model is proposed to describe vapor explosion. According to this model, vapor film on pre-mixed high temperature droplet surface is needed to be collapsed for the trigger of the vapor explosion. It is pointed out that the vapor film collapse behavior is significantly affected by the subcooling of low temperature liquid. However, the effect of subcooling on micro-mechanism of vapor film collapse behavior is not experimentally well identified. The objective of the present research is to experimentally investigate the effect of subcooling on micro-mechanism of film boiling collapse behavior. As the results, it is experimentally clarified that the vapor film collapse behavior in low subcooling condition is qualitatively different from the vapor film collapse behavior in high subcooling condition. In case of vapor film collapse by pressure pulse, homogeneous vapor generation occurred all over the surface of steel particle in low subcooling condition. On the other hand, heterogeneous vapor generation was observed for higher subcooling condition. In case of vapor film collapse spontaneously, fluctuation of the gas-liquid interface after quenching propagated from bottom to top of the steel particle heterogeneously in low subcooling condition. On the other hand, simultaneous vapor generation occurred for higher subcooling condition. And the time transient of pressure, particle surface temperature, water temperature and visual information were simultaneously measured in the vapor film collapse experiment by external pressure pulse. Film thickness was estimated by visual data processing technique with the pictures taken by the high-speed video camera. Temperature and heat flux at the vapor-liquid interface were estimated by solving the heat condition equation with the measured pressure, liquid temperature and vapor film thickness as boundary conditions. Movement of the vapor-liquid interface were estimated with the PIV technique with the visual observation

  1. Stress evaluation of chemical vapor deposited silicon dioxide films

    International Nuclear Information System (INIS)

    Maeda, Masahiko; Itsumi, Manabu

    2002-01-01

    Film stress of chemical vapor deposited silicon dioxide films was evaluated. All of the deposited films show tensile intrinsic stresses. Oxygen partial pressure dependence of the intrinsic stress is very close to that of deposition rate. The intrinsic stress increases with increasing the deposition rate under the same deposition temperature, and decreases with increasing substrate temperature. Electron spin resonance (ESR) active defects in the films were observed when the films were deposited at 380 deg. C and 450 deg. C. The ESR signal intensity decreases drastically with increasing deposition temperature. The intrinsic stress correlates very closely to the intensity of the ESR-active defects, that is, the films with larger intrinsic stress have larger ESR-active defects. It is considered that the intrinsic stress was generated because the voids caused by local bond disorder were formed during random network formation among the SiO 4 tetrahedra. This local bond disorder also causes the ESR-active defects

  2. Review: Plasma-enhanced chemical vapor deposition of nanocrystalline diamond

    Directory of Open Access Journals (Sweden)

    Katsuyuki Okada

    2007-01-01

    Full Text Available Nanocrystalline diamond films have attracted considerable attention because they have a low coefficient of friction and a low electron emission threshold voltage. In this paper, the author reviews the plasma-enhanced chemical vapor deposition (PE-CVD of nanocrystalline diamond and mainly focuses on the growth of nanocrystalline diamond by low-pressure PE-CVD. Nanocrystalline diamond particles of 200–700 nm diameter have been prepared in a 13.56 MHz low-pressure inductively coupled CH4/CO/H2 plasma. The bonding state of carbon atoms was investigated by ultraviolet-excited Raman spectroscopy. Electron energy loss spectroscopy identified sp2-bonded carbons around the 20–50 nm subgrains of nanocrystalline diamond particles. Plasma diagnostics using a Langmuir probe and the comparison with plasma simulation are also reviewed. The electron energy distribution functions are discussed by considering different inelastic interaction channels between electrons and heavy particles in a molecular CH4/H2 plasma.

  3. Molecular dynamics study of the vaporization of an ionic drop.

    Science.gov (United States)

    Galamba, N

    2010-09-28

    The melting of a microcrystal in vacuum and subsequent vaporization of a drop of NaCl were studied through molecular dynamics simulations with the Born-Mayer-Huggins-Tosi-Fumi rigid-ion effective potential. The vaporization was studied for a single isochor at increasing temperatures until the drop completely vaporized, and gaseous NaCl formed. Examination of the vapor composition shows that the vapor of the ionic drop and gaseous NaCl are composed of neutral species, the most abundant of which, ranging from simple NaCl monomers (ion pairs) to nonlinear polymers, (Na(n)Cl(n))(n=2-4). The enthalpies of sublimation, vaporization, and dissociation of the different vapor species are found to be in reasonable agreement with available experimental data. The decrease of the enthalpy of vaporization of the vapor species, with the radius of the drop decrease, accounts for a larger fraction of trimers and tetramers than that inferred from experiments. Further, the rhombic dimer is significantly more abundant than its linear isomer although the latter increases with the temperature. The present results suggest that both trimers and linear dimers may be important to explain the vapor pressure of molten NaCl at temperatures above 1500 K.

  4. Large-Area Chemical Vapor Deposited MoS2 with Transparent Conducting Oxide Contacts toward Fully Transparent 2D Electronics

    KAUST Repository

    Dai, Zhenyu

    2017-09-08

    2D semiconductors are poised to revolutionize the future of electronics and photonics, much like transparent oxide conductors and semiconductors have revolutionized the display industry. Herein, these two types of materials are combined to realize fully transparent 2D electronic devices and circuits. Specifically, a large-area chemical vapor deposition process is developed to grow monolayer MoS2 continuous films, which are, for the first time, combined with transparent conducting oxide (TCO) contacts. Transparent conducting aluminum doped zinc oxide contacts are deposited by atomic layer deposition, with composition tuning to achieve optimal conductivity and band-offsets with MoS2. The optimized process gives fully transparent TCO/MoS2 2D electronics with average visible-range transmittance of 85%. The transistors show high mobility (4.2 cm2 V−1 s−1), fast switching speed (0.114 V dec−1), very low threshold voltage (0.69 V), and large switching ratio (4 × 108). To our knowledge, these are the lowest threshold voltage and subthreshold swing values reported for monolayer chemical vapor deposition MoS2 transistors. The transparent inverters show fast switching properties with a gain of 155 at a supply voltage of 10 V. The results demonstrate that transparent conducting oxides can be used as contact materials for 2D semiconductors, which opens new possibilities in 2D electronic and photonic applications.

  5. Transport of Chemical Vapors from Subsurface Sources to Atmosphere as Affected by Shallow Subsurface and Atmospheric Conditions

    Science.gov (United States)

    Rice, A. K.; Smits, K. M.; Hosken, K.; Schulte, P.; Illangasekare, T. H.

    2012-12-01

    Understanding the movement and modeling of chemical vapor through unsaturated soil in the shallow subsurface when subjected to natural atmospheric thermal and mass flux boundary conditions at the land surface is of importance to applications such as landmine detection and vapor intrusion into subsurface structures. New, advanced technologies exist to sense chemical signatures at the land/atmosphere interface, but interpretation of these sensor signals to make assessment of source conditions remains a challenge. Chemical signatures are subject to numerous interactions while migrating through the unsaturated soil environment, attenuating signal strength and masking contaminant source conditions. The dominant process governing movement of gases through porous media is often assumed to be Fickian diffusion through the air phase with minimal or no quantification of other processes contributing to vapor migration, such as thermal diffusion, convective gas flow due to the displacement of air, expansion/contraction of air due to temperature changes, temporal and spatial variations of soil moisture and fluctuations in atmospheric pressure. Soil water evaporation and interfacial mass transfer add to the complexity of the system. The goal of this work is to perform controlled experiments under transient conditions of soil moisture, temperature and wind at the land/atmosphere interface and use the resulting dataset to test existing theories on subsurface gas flow and iterate between numerical modeling efforts and experimental data. Ultimately, we aim to update conceptual models of shallow subsurface vapor transport to include conditionally significant transport processes and inform placement of mobile sensors and/or networks. We have developed a two-dimensional tank apparatus equipped with a network of sensors and a flow-through head space for simulation of the atmospheric interface. A detailed matrix of realistic atmospheric boundary conditions was applied in a series of

  6. Vacuum Bloch-Siegert shift in Landau polaritons with ultra-high cooperativity

    Science.gov (United States)

    Li, Xinwei; Bamba, Motoaki; Zhang, Qi; Fallahi, Saeed; Gardner, Geoff C.; Gao, Weilu; Lou, Minhan; Yoshioka, Katsumasa; Manfra, Michael J.; Kono, Junichiro

    2018-06-01

    A two-level system resonantly interacting with an a.c. magnetic or electric field constitutes the physical basis of diverse phenomena and technologies. However, Schrödinger's equation for this seemingly simple system can be solved exactly only under the rotating-wave approximation, which neglects the counter-rotating field component. When the a.c. field is sufficiently strong, this approximation fails, leading to a resonance-frequency shift known as the Bloch-Siegert shift. Here, we report the vacuum Bloch-Siegert shift, which is induced by the ultra-strong coupling of matter with the counter-rotating component of the vacuum fluctuation field in a cavity. Specifically, an ultra-high-mobility two-dimensional electron gas inside a high-Q terahertz cavity in a quantizing magnetic field revealed ultra-narrow Landau polaritons, which exhibited a vacuum Bloch-Siegert shift up to 40 GHz. This shift, clearly distinguishable from the photon-field self-interaction effect, represents a unique manifestation of a strong-field phenomenon without a strong field.

  7. The development of chemically vapor deposited mullite coatings for the corrosion protection of SiC

    Energy Technology Data Exchange (ETDEWEB)

    Auger, M.; Hou, P.; Sengupta, A.; Basu, S.; Sarin, V. [Boston Univ., MA (United States)

    1998-05-01

    Crystalline mullite coatings have been chemically vapor deposited onto SiC substrates to enhance the corrosion and oxidation resistance of the substrate. Current research has been divided into three distinct areas: (1) Development of the deposition processing conditions for increased control over coating`s growth rate, microstructure, and morphology; (2) Analysis of the coating`s crystal structure and stability; (3) The corrosion resistance of the CVD mullite coating on SiC.

  8. Deflated-Victims of vacuum

    International Nuclear Information System (INIS)

    Sanders, Roy E.

    2007-01-01

    Atmospheric pressure combined with a partial vacuum within chemical plant or refinery tanks can result in some ego-deflating moments. This article will review three catastrophic vessel failures in detail and touch on several other incidents. A 4000-gal acid tank was destroyed by a siphoning action; a well maintained tank truck was destroyed during a routine delivery; and a large, brand new refinery mega-vessel collapsed as the steam within it condensed. Seasoned engineers are aware of the frail nature of tanks and provide safeguards or procedures to limit damages. The purpose of this paper is to ensure this new generation of chemical plant/refinery employees understand the problems of the past and take the necessary precautions to guard against tank damages created by partial vacuum conditions

  9. Chemical vapor deposition of diamond onto iron based substrates. The use of barrier layers

    International Nuclear Information System (INIS)

    Weiser, P.S.; Prawer, S.

    1995-01-01

    When Fe is exposed to the plasma environment suitable for the chemical vapor deposition (CVD) of diamond, the surface is rapidly covered with a thick layer graphitic soot and C swiftly diffuses into the Fe substrate. Once the soot reaches a critical thickness, diamond films nucleate and grow on top of it. However, adhesion of the film to the substrate is poor due to the lack of structural integrity of the soot layer, A thin coating of TiN on the Fe can act to prevent diffusion and soot formation. Diamond readily grows upon the TiN via an a-C interface layer, but the a-C/TiN interface is weak and delamination occurs at this interface. In order to try and improve the adhesion, the use of a high dose Ti implant was investigated to replace the TiN coating. 7 refs., 6 figs

  10. Advanced performance of small diaphragm vacuum pumps through the use of mechatronics

    Science.gov (United States)

    Lachenmann, R.; Dirscherl, J.

    Oil-free diaphragm vacuum pumps have proven to be the best way in vacuum generation for the chemical laboratory and they also find increasing use as backing pumps for modern wide-range turbo molecular pumps. The majority of vacuum pumps in practical use pump only a rather small percentage of their lifetime at full gas load. A pump backing a turbo molecular pump does not have to pump a significant gas load when the high-vacuum pump is running at ultimate vacuum pressure. Also, for a vacuum distillation the vacuum pump has to operate at full speed only at the beginning to lower the pressure inside the system to a vacuum level where evaporation starts. In a rather leak-tight system the distillation process continues by evaporating from the hot liquid and condensing at the cold condenser without the need of a mechanical vacuum pump. Rotational speed controlled diaphragm pumps are now available through progress in mechatronics and offer high pumping speed capability for fast pump-down cycles and precise pressure control for distillations. At low gas load the rotational speed can be reduced, improving maintenance intervals, power consumption, noise, vibration and - surprisingly - also ultimate pressure. The different behaviour in pumping speed and ultimate pressure of rotational speed controlled diaphragm pumps in comparison to constant-speed pumps is related to the mechanical properties of the valves and gas dynamics .

  11. Kinetics of laser pulse vaporization of uranium dioxide by mass spectrometry

    Energy Technology Data Exchange (ETDEWEB)

    Tsai, C.

    1981-11-01

    Safety analyses of nuclear reactors require knowledge of the evaporation behavior of UO/sub 2/ at temperatures well above the melting point of 3140 K. In this study, rapid transient heating of a small spot on a UO/sub 2/ specimen was accomplished by a laser pulse, which generates a surface temperature excursion. This in turn vaporizes the target surface and the gas expands into vacuum. The surface temperature transient was monitored by a fast-response automatic optical pyrometer. The maximum surface temperatures investigated range from approx. 3700 K to approx. 4300 K. A computer program was developed to simulate the laser heating process and calculate the surface temperature evolution. The effect of the uncertainties of the high temperature material properties on the calculation was included in a sensitivity study for UO/sub 2/ vaporization. The measured surface temperatures were in satisfactory agreements.

  12. Application of vacuum metallurgy to separate pure metal from mixed metallic particles of crushed waste printed circuit board scraps.

    Science.gov (United States)

    Zhan, Lu; Xu, Zhenming

    2008-10-15

    The principle of separating pure metal from mixed metallic particles (MMPs) byvacuum metallurgy is that the vapor pressures of various metals at the same temperature are different As a result, the metal with high vapor pressure and low boiling point can be separated from the mixed metals through distillation or sublimation, and then it can be recycled through condensation under a certain condition. The vacuum metallurgy separation (VMS) of MMPs of crushed waste printed circuit boards (WPCBs) has been studied in this paper. Theoretical analyses show that the MMPs (copper, zinc, bismuth, lead, and indium, for example) can be separated by vacuum metallurgy. The copper particles (0.15-0.20 mm) and zinc particles (<0.30 mm) were chosen to simulate the MMPs of crushed WPCBs. Experimental results show that the separated efficiency of zinc in the copper-rich particles achieves 96.19 wt % when the vacuum pressure is 0.01-0.10 Pa, the heating temperature is 1123 K, and the heating time is 105 min. Under this operation condition, the separated efficiency of zinc in the copper-rich particles from crushed WPCBs achieves 97.00 wt % and the copper purity increases from 90.68 to 99.84 wt %.

  13. Limiting Size of Monolayer Graphene Flakes Grown on Silicon Carbide or via Chemical Vapor Deposition on Different Substrates

    Science.gov (United States)

    Alekseev, N. I.

    2018-05-01

    The maximum size of homogeneous monolayer graphene flakes that form during the high-temperature evaporation of silicon from a surface of SiC or during graphene synthesis via chemical vapor deposition is estimated, based on the theoretical calculations developed in this work. Conditions conducive to the fragmentation of a monolayer graphene sheet to form discrete fragments or terrace-type structures in which excess energy due to dangling bonds at the edges is compensated for by the lack of internal stress are indentified and described. The results from calculations for the sizes of graphene structures are compared with experimental findings for the most successful graphene syntheses reported in the literature.

  14. Fabrication of Nanocarbon Composites Using In Situ Chemical Vapor Deposition and Their Applications.

    Science.gov (United States)

    He, Chunnian; Zhao, Naiqin; Shi, Chunsheng; Liu, Enzuo; Li, Jiajun

    2015-09-23

    Nanocarbon (carbon nanotubes (CNTs) and graphene (GN)) composites attract considerable research interest due to their fascinating applications in many fields. Here, recent developments in the field of in situ chemical vapor deposition (CVD) for the design and controlled preparation of advanced nanocarbon composites are highlighted, specifically, CNT-reinforced bulk structural composites, as well as CNT, GN, and CNT/GN functional composites, together with their practical and potential applications. In situ CVD is a very attractive approach for the fabrication of composites because of its engaging features, such as its simplicity, low-cost, versatility, and tunability. The morphologies, structures, dispersion, and interface of the resulting nanocarbon composites can be easily modulated by varying the experimental parameters (such as temperature, catalysts, carbon sources, templates or template catalysts, etc.), which enables a great potential for the in situ synthesis of high-quality nanocarbons with tailored size and dimension for constructing high-performance composites, which has not yet been achieved by conventional methods. In addition, new trends of the in situ CVD toward nanocarbon composites are discussed. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. The vacuum system for the PEP II high energy ring straight sections

    International Nuclear Information System (INIS)

    Wienands, U.; Daly, E.; Heifets, S.A.; Kulikov, A.; Kurita, N.; Nordby, M.; Perkins, C.; Reuter, E.; Seeman, J.T.; Belser, F.C.; Berg, J.; Holdener, F.R.; Kerns, J.A.; McDaniel, M.R.; Stoeffl, W.

    1995-01-01

    The six straight sections of the PEP II High Energy Ring (HER) serve various functions: lattice tuning, beam injection and abort, providing space for rf cavities, longitudinal and transverse feedback, beam diagnostics and the interaction point. A stainless steel vacuum system has been designed; prototypes are currently being built. Cooling is required due to radiation coming from the last arc dipole and resistive losses in the vacuum chamber. Although the nominal beam current of the HER is 1 A the vacuum system is designed for 3 A to provide margin and an upgrade path. 5 refs., 7 figs

  16. Deposition of MgB2 Thin Films on Alumina-Buffered Si Substrates by using Hybrid Physical-Chemical Vapor Deposition Method

    International Nuclear Information System (INIS)

    Lee, T. G.; Park, S. W.; Seong, W. K.; Huh, J. Y.; Jung, S. G.; Kang, W. N.; Lee, B. K.; An, K. S.

    2008-01-01

    [ MgB 2 ] thin films were fabricated using hybrid physical-chemical vapor deposition (HPCVD) method on silicon substrates with buffers of alumina grown by using atomic layer deposition method. The growth war in a range of temperatures 500 - 600 degrees C and under the reactor pressures of 25 - 50 degrees C. There are some interfacial reactions in the as-grown films with impurities of mostly Mg 2 Si, MgAl 2 O 4 , and other phases. The T c 's of MgB 2 films were observed to be as high as 39 K, but the transition widths were increased with growth temperatures. The magnetization was measured as a function of temperature down to the temperature of 5 K, but the complete Meissner effect was not observed, which shows that the granular nature of weak links is prevailing. The formation of mostly Mg 2 Si impurity in HPCVD process is discussed, considering the diffusion and reaction of Mg vapor with silicon substrates.

  17. Chemical-Vapor-Deposited Graphene as Charge Storage Layer in Flash Memory Device

    Directory of Open Access Journals (Sweden)

    W. J. Liu

    2016-01-01

    Full Text Available We demonstrated a flash memory device with chemical-vapor-deposited graphene as a charge trapping layer. It was found that the average RMS roughness of block oxide on graphene storage layer can be significantly reduced from 5.9 nm to 0.5 nm by inserting a seed metal layer, which was verified by AFM measurements. The memory window is 5.6 V for a dual sweep of ±12 V at room temperature. Moreover, a reduced hysteresis at the low temperature was observed, indicative of water molecules or −OH groups between graphene and dielectric playing an important role in memory windows.

  18. Thermal conductivity of ultra-thin chemical vapor deposited hexagonal boron nitride films

    International Nuclear Information System (INIS)

    Alam, M. T.; Haque, M. A.; Bresnehan, M. S.; Robinson, J. A.

    2014-01-01

    Thermal conductivity of freestanding 10 nm and 20 nm thick chemical vapor deposited hexagonal boron nitride films was measured using both steady state and transient techniques. The measured value for both thicknesses, about 100 ± 10 W m −1 K −1 , is lower than the bulk basal plane value (390 W m −1 K −1 ) due to the imperfections in the specimen microstructure. Impressively, this value is still 100 times higher than conventional dielectrics. Considering scalability and ease of integration, hexagonal boron nitride grown over large area is an excellent candidate for thermal management in two dimensional materials-based nanoelectronics

  19. Robofurnace: A semi-automated laboratory chemical vapor deposition system for high-throughput nanomaterial synthesis and process discovery

    International Nuclear Information System (INIS)

    Oliver, C. Ryan; Westrick, William; Koehler, Jeremy; Brieland-Shoultz, Anna; Anagnostopoulos-Politis, Ilias; Cruz-Gonzalez, Tizoc; Hart, A. John

    2013-01-01

    Laboratory research and development on new materials, such as nanostructured thin films, often utilizes manual equipment such as tube furnaces due to its relatively low cost and ease of setup. However, these systems can be prone to inconsistent outcomes due to variations in standard operating procedures and limitations in performance such as heating and cooling rates restrict the parameter space that can be explored. Perhaps more importantly, maximization of research throughput and the successful and efficient translation of materials processing knowledge to production-scale systems, relies on the attainment of consistent outcomes. In response to this need, we present a semi-automated lab-scale chemical vapor deposition (CVD) furnace system, called “Robofurnace.” Robofurnace is an automated CVD system built around a standard tube furnace, which automates sample insertion and removal and uses motion of the furnace to achieve rapid heating and cooling. The system has a 10-sample magazine and motorized transfer arm, which isolates the samples from the lab atmosphere and enables highly repeatable placement of the sample within the tube. The system is designed to enable continuous operation of the CVD reactor, with asynchronous loading/unloading of samples. To demonstrate its performance, Robofurnace is used to develop a rapid CVD recipe for carbon nanotube (CNT) forest growth, achieving a 10-fold improvement in CNT forest mass density compared to a benchmark recipe using a manual tube furnace. In the long run, multiple systems like Robofurnace may be linked to share data among laboratories by methods such as Twitter. Our hope is Robofurnace and like automation will enable machine learning to optimize and discover relationships in complex material synthesis processes

  20. Robofurnace: A semi-automated laboratory chemical vapor deposition system for high-throughput nanomaterial synthesis and process discovery

    Energy Technology Data Exchange (ETDEWEB)

    Oliver, C. Ryan; Westrick, William; Koehler, Jeremy; Brieland-Shoultz, Anna; Anagnostopoulos-Politis, Ilias; Cruz-Gonzalez, Tizoc [Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109 (United States); Hart, A. John, E-mail: ajhart@mit.edu [Department of Mechanical Engineering, University of Michigan, Ann Arbor, Michigan 48109 (United States); Department of Mechanical Engineering, Massachusetts Institute of Technology, Cambridge, Massachusetts 02139 (United States)

    2013-11-15

    Laboratory research and development on new materials, such as nanostructured thin films, often utilizes manual equipment such as tube furnaces due to its relatively low cost and ease of setup. However, these systems can be prone to inconsistent outcomes due to variations in standard operating procedures and limitations in performance such as heating and cooling rates restrict the parameter space that can be explored. Perhaps more importantly, maximization of research throughput and the successful and efficient translation of materials processing knowledge to production-scale systems, relies on the attainment of consistent outcomes. In response to this need, we present a semi-automated lab-scale chemical vapor deposition (CVD) furnace system, called “Robofurnace.” Robofurnace is an automated CVD system built around a standard tube furnace, which automates sample insertion and removal and uses motion of the furnace to achieve rapid heating and cooling. The system has a 10-sample magazine and motorized transfer arm, which isolates the samples from the lab atmosphere and enables highly repeatable placement of the sample within the tube. The system is designed to enable continuous operation of the CVD reactor, with asynchronous loading/unloading of samples. To demonstrate its performance, Robofurnace is used to develop a rapid CVD recipe for carbon nanotube (CNT) forest growth, achieving a 10-fold improvement in CNT forest mass density compared to a benchmark recipe using a manual tube furnace. In the long run, multiple systems like Robofurnace may be linked to share data among laboratories by methods such as Twitter. Our hope is Robofurnace and like automation will enable machine learning to optimize and discover relationships in complex material synthesis processes.